CN108206180B - 半导体器件 - Google Patents

半导体器件 Download PDF

Info

Publication number
CN108206180B
CN108206180B CN201711337430.2A CN201711337430A CN108206180B CN 108206180 B CN108206180 B CN 108206180B CN 201711337430 A CN201711337430 A CN 201711337430A CN 108206180 B CN108206180 B CN 108206180B
Authority
CN
China
Prior art keywords
gate electrode
source
nanowire
region
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711337430.2A
Other languages
English (en)
Other versions
CN108206180A (zh
Inventor
梁正吉
裵金钟
裵东一
宋升珉
朴雨锡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108206180A publication Critical patent/CN108206180A/zh
Application granted granted Critical
Publication of CN108206180B publication Critical patent/CN108206180B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/413Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0646PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13086Junctionless Nanowire Transistor [JNT]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本公开涉及半导体器件。一种半导体器件包括第一区中的第一晶体管和第二区中的第二晶体管。第一晶体管包括:第一纳米线、第一栅电极、第一栅极电介质层、第一源极/漏极区和内绝缘间隔物。第一纳米线具有第一沟道区。第一栅电极围绕第一纳米线。第一栅极电介质层在第一纳米线与第一栅电极之间。第一源极/漏极区连接到第一纳米线的边缘。内绝缘间隔物在第一栅极电介质层与第一源极/漏极区之间。第二晶体管包括第二纳米线、第二栅电极、第二栅极电介质层和第二源极/漏极区。第二纳米线具有第二沟道区。第二栅电极围绕第二纳米线。第二栅极电介质层在第二纳米线与第二栅电极之间。第二源极/漏极区连接到第二纳米线的边缘。

Description

半导体器件
技术领域
本公开涉及半导体器件及制造其的方法。更具体地,本公开涉及包括纳米线晶体管的半导体器件及制造其的方法。
背景技术
电子装置已变得更小、更轻和更薄。结果,对半导体器件的高集成度的需求已经增加。由于半导体器件的缩小,短沟道效应在晶体管中产生,因而已出现了半导体器件已变得不太可靠的问题。因此,已经提出了具有多栅结构的半导体器件,诸如栅极环绕型纳米线晶体管,以减少晶体管中的短沟道效应。
发明内容
在此描述的构思提供半导体器件,其包括被构造为具有最佳性能的纳米线晶体管。
在此描述的构思还提供制造半导体器件的方法,所述半导体器件包括被构造为具有最佳性能的纳米线晶体管。
根据本公开的一方面,一种半导体器件包括第一晶体管和第二晶体管。第一晶体管在衬底的第一区中,第二晶体管在衬底的第二区中。第一晶体管包括第一纳米线、第一栅电极、第一栅极电介质层、第一源极/漏极区和内绝缘间隔物。第一纳米线具有第一沟道区。第一栅电极围绕第一纳米线。第一栅极电介质层在第一纳米线与第一栅电极之间。第一源极/漏极区连接到第一纳米线的边缘。内绝缘间隔物在第一栅极电介质层与第一源极/漏极区之间。第二晶体管包括第二纳米线、第二栅电极、第二栅极电介质层和第二源极/漏极区。第二纳米线具有第二沟道区。第二栅电极围绕第二纳米线。第二栅极电介质层在第二纳米线与第二栅电极之间。第二源极/漏极区连接到第二纳米线的边缘。
根据本公开的另一方面,一种半导体器件包括第一晶体管和第二晶体管。第一晶体管在衬底的第一区中,第二晶体管在衬底的第二区中。第一晶体管包括多个第一纳米线、第一栅电极、第一栅极电介质层、第一源极/漏极区和内绝缘间隔物。第一纳米线具有多个第一沟道区。第一栅电极围绕第一纳米线。第一栅极电介质层在第一纳米线与第一栅电极之间。第一源极/漏极区连接到第一纳米线的边缘。内绝缘间隔物在第一栅极电介质层与第一源极/漏极区之间。第二晶体管包括多个第二纳米线、第二栅电极、第二栅极电介质层和第二源极/漏极区。第二纳米线具有多个第二沟道区。第二栅电极围绕第二纳米线。第二栅极电介质层在第二纳米线与第二栅电极之间。第二源极/漏极区连接到第二纳米线的边缘。
根据本公开的另一方面,一种半导体器件包括:具有第一区和第二区的衬底;第一晶体管,其在衬底的第一区中,并且包括第一纳米线、围绕第一纳米线的第一栅电极、第一栅极电介质层、第一源极区、第一漏极区和内绝缘间隔物;以及衬底的第二区中的第二晶体管,其包括第二纳米线、围绕第二纳米线的第二栅电极、第二栅极电介质层、第二源极区和第二漏极区。第一纳米线在第一源极区与第一漏极区之间输送电子,第二纳米线在第二源极区与第二漏极区之间输送电子。第一栅极电介质层被提供在第一纳米线与第一栅电极之间以及在内绝缘间隔物与第一栅电极之间。内绝缘间隔物保持第一栅极电介质层与第一源极区和第一漏极区之间的间隔。第二栅极电介质层被提供在第二纳米线与第二栅电极之间、第二源极区与第二栅电极之间、以及第二漏极区与第二栅电极之间。
附图说明
由以下结合附图的详细描述,本公开的实施方式将被更清楚地理解,附图中:
图1是根据本公开一示例实施方式的半导体器件的俯视图;
图2是沿图1的线IIA-IIA'和IIB-IIB'截取的剖视图;
图3是沿图1的线IIIA-IIIA'和IIIB-IIIB'截取的剖视图;
图4是沿图1的线IVA-IVA'和IVB-IVB'截取的剖视图;
图5是根据本公开一示例实施方式的半导体器件的剖视图;
图6是根据本公开一示例实施方式的半导体器件的剖视图;
图7是根据本公开一示例实施方式的半导体器件的俯视图;
图8是沿图7的线VIIIA-VIIIA'和VIIIB-VIIIB'截取的剖视图;
图9是沿图7的线IXA-IXA'和IXB-IXB'截取的剖视图;
图10是沿图7的线XA-XA'和XB-XB'截取的剖视图;
图11是根据本公开一示例实施方式的半导体器件的剖视图;
图12是根据本公开一示例实施方式的半导体器件的剖视图;
图13是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图14是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图15是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图16是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图17是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图18是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图19是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;
图20是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图;以及
图21是示出根据本公开一示例实施方式的制造半导体器件的方法的剖视图。
具体实施方式
在下文中,将参照附图详细描述本公开的示例实施方式。
图1是根据本公开一示例实施方式的半导体器件100的俯视图。图2是沿图1的线IIA-IIA'和IIB-IIB'截取的剖视图。图3是沿图1的线IIIA-IIIA'和IIIB-IIIB'截取的剖视图。图4是沿图1的线IVA-IVA'和IVB-IVB'截取的剖视图。
参照图1至4,半导体器件100的衬底110包括第一区I和第二区II。有源区(未示出)可以由第一区I和第二区II中的每个中的隔离层112限定。第一晶体管TR1可以形成在第一区I的有源区中,第二晶体管TR2可以形成在第二区II的有源区中。在一示例实施方式中,第一晶体管TR1可以是n型金属氧化物半导体(NMOS)晶体管,第二晶体管TR2可以是p型金属氧化物半导体(PMOS)晶体管。
在一示例实施方式中,衬底110可以是硅衬底。在一示例实施方式中,衬底110可以构成从以下选择的至少一种器件:大规模集成(LSI)、逻辑电路、诸如CMOS成像传感器(CIS)的图像传感器、诸如闪速存储器、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、电可擦除可编程只读存储器(EEPROM)、相变RAM(PRAM)、磁性RAM(MRAM)和电阻式RAM(RRAM)的存储器件、以及微电子机械系统(MEMS)。
第一晶体管TR1可以包括第一纳米线120A、第一栅电极130A、第一栅极电介质层132A、一对第一源极/漏极区140A和内绝缘间隔物170。在图2中,第一栅电极130A被显示为在第一纳米线120A之上和之下。类似地,第一栅极电介质层132A被显示为在第一纳米线120A之上和之下,并且在第一纳米线120A之下围绕第一栅电极130A的全部四侧以及在第一纳米线120A之上围绕第一栅电极130A的四侧中的三侧。因此,如图2中所示,第一栅电极130A和第一栅极电介质层132A在Y和Z方向上的平行平面内围绕第一纳米线120A。
第一纳米线120A可以包括第一晶体管TR1的第一沟道区(未示出)。在一示例实施方式中,第一纳米线120A可以包括IV族半导体、II-IV族化合物半导体或III-V族化合物半导体。例如,第一纳米线120A可以包括Si、Ge、SiGe、InGaAs、InAs、GaSb、InSb或这些材料的组合。沟道区不相对于图1-12中的第一纳米线120A(或另外的纳米线)被详述,但是对应于关于图13-18描述的沟道层120P,并且通常用于在第一源极/漏极区140A之间输送能量(电子)。第一纳米线120A通常在X方向上比在YZ面中具有大得多的尺度(尺寸),甚至处于1000或更大的比率。
第一栅电极130A可以包括掺杂多晶硅、金属或这些材料的组合。例如,第一栅电极130A可以包括Al、Cu、Ti、Ta、W、Mo、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN或这些材料的组合,但第一栅电极130A不限于此。
第一栅极电介质层132A可以包括硅氧化物膜、硅氮氧化物膜、高k膜、或这些材料的组合,高k膜具有比硅氧化物膜的介电常数更大的介电常数。例如,可以用作第一栅极电介质层132A的高k膜可以包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、锆氧化物、铝氧化物、HfO2-Al2O3合金、或这些材料的组合,但第一栅极电介质层132A和高k膜不限于此。
该对第一源极/漏极区140A可以形成在衬底110上。该对第一源极/漏极区140A可以沿着垂直于衬底110的主表面的方向(Z方向)延伸至第一纳米线120A的两边缘。在一示例实施方式中,该对第一源极/漏极区140A可以包括掺杂的SiGe膜、掺杂的Ge膜、掺杂的SiC膜或掺杂的InGaAs膜,但是该对第一源极/漏极区140A不限于此。该对第一源极/漏极区140A可以是使用外延工艺从衬底110和第一纳米线120A再生长的半导体层,并且该对第一源极/漏极区140A可以包括与衬底110和第一纳米线120A不同的材料。
该对第一源极/漏极区140A可以具有比第一纳米线120A的上水平面更高的上水平面。在一示例实施方式中,该对第一源极/极漏区140A的一部分可以是用作用于第一晶体管TR1的源极/漏极区的掺杂区。例如,当该对第一源极/漏极区140A的从该对第一源极/漏极区140A的底表面至某高度的部分用掺杂剂离子高度掺杂时,该部分可以是用作用于第一晶体管TR1的源极/漏极区的掺杂剂区。与此不同,当该对第一源极/漏极区140A的从中心区至某高度的部分用掺杂剂高度掺杂时,该部分可以是用作用于第一晶体管TR1的源极/漏极区的掺杂剂区。在另一示例实施方式中,该对第一源极/漏极区140A的整个可以是用作用于第一晶体管TR1的源极/漏极区的掺杂剂区。
第一外部绝缘间隔物150A可以在第一栅极电介质层132A的与第一栅电极130A相反的侧覆盖第一栅电极130A的一侧壁或多个侧壁。就是说,第一外部绝缘间隔物150A可以在第一纳米线120A之上围绕第一栅电极130A的在Z方向上的平行平面内的一侧或多侧。对于在此描述的第二外部绝缘间隔物150B同样如此。此外,第一纳米线120A的与该对第一源极/漏极区140A相邻的两边缘的上表面也可以被第一外部绝缘间隔物150A覆盖。
该对第一源极/漏极区140A和部分第一外部绝缘间隔物150A可以被第一绝缘层160A覆盖。第一接触162A可以穿过第一绝缘层160A连接到该对第一源极/漏极区140A。第一金属硅化物层164A可以形成在第一接触162A与该对第一源极/漏极区140A之间。
内绝缘间隔物170可以形成在衬底110与第一纳米线120A之间。内绝缘间隔物170可以被布置在第一栅电极130A与该对第一源极/漏极区140A之间。第一栅极电介质层132A可以被布置在内绝缘间隔物170与第一栅电极130A之间。在图2中,内绝缘间隔物170被显示为在第一纳米线120A的一侧(即在下面)而非另一侧(即在上面)。因此,虽然第一栅电极130A和第一栅极电介质层132A在图2中被显示为在第一纳米线120A的两侧(即在下面和在上面),但是内绝缘间隔物170仅被显示在下面。就是说,第一栅极电介质层132A可以从第一纳米线120A的表面延伸至内绝缘间隔物170的侧壁的表面,使得在衬底110的上表面与第一纳米线120A(的在图2中的下表面)之间,第一栅极电介质层132A被插置在第一栅电极130A与内绝缘间隔物170之间。因此,该对第一源极/漏极区140A可以接触内绝缘间隔物170,并且可以不接触第一栅极电介质层132A。
内绝缘间隔物170可以包括与第一栅极电介质层132A不同的材料。在一示例实施方式中,内绝缘间隔物170可以包括比构成第一栅极电介质层132A的材料具有更小的介电常数的材料。在另一示例实施方式中,内绝缘间隔物170可以包括IV族半导体氧化物、II-IV族化合物半导体氧化物或III-V族化合物半导体氧化物、诸如硅氧化物的氧化物、或硅氮氧化物、硅氮化物、或这些材料的组合。
第一外部绝缘间隔物150A和内绝缘间隔物170可以沿着垂直于衬底110的主表面的方向(Z方向)分别布置在彼此垂直重叠的位置处并在彼此不同的高度。在一示例实施方式中,内绝缘间隔物170可以包括与构成第一外部绝缘间隔物150A的材料不同的材料。在一示例实施方式中,内绝缘间隔物170可以包括具有比构成第一外部绝缘间隔物150A的材料的介电常数更小的介电常数的材料。
第二晶体管TR2可以包括第二纳米线120B、第二栅电极130B、第二栅极电介质层132B和一对第二源极/漏极区140B。在图2中,第二栅电极130B被显示在第二纳米线120B上面和下面。类似地,第二栅极电介质层132B被显示为在第二纳米线120B上面和下面,并且在第二纳米线120B下面围绕第二栅电极130B的全部四侧以及在第二纳米线120B上面围绕第二栅电极130B的四侧中的三侧。因此,如图2中所示,第二栅电极130B和第二栅极电介质层132B在Y和Z方向上的平行平面中围绕第二纳米线120B。
第二纳米线120B可以包括第二晶体管TR2的第二沟道区(未示出)。沟道区不相对于图1-12中的第二纳米线120B(或另外的纳米线)被详细说明,但是对应于关于图13-18描述的沟道层120P,并且通常用于在第二源极/漏极区140B之间输送能量(电子)。第二纳米线120B通常在X方向上比在YZ平面中具有大得多的尺度(尺寸),甚至处于1000或更大的比率。
第二栅电极130B和第二栅极电介质层132B可以具有关于第一栅电极130A和第一栅极电介质层132A描述的相似的特征。例如,第二栅电极130B可以包括掺杂多晶硅、金属或这些材料的组合,第二栅极电介质层132B可以包括硅氧化物膜、硅氮氧化物膜、具有比硅氧化物膜的介电常数更大的介电常数的高k膜、或这些材料的组合。
在一示例实施方式中,第二栅电极130B和第一栅电极130A可以包括相同的材料,第二栅极电介质层132B和第一栅极电介质层132A可以包括相同的材料。与此不同,第二栅电极130B和第一栅电极130A可以包括彼此不同的材料,第二栅极电介质层132B和第一栅极电介质层132A可以包括彼此不同的材料。
该对第二源极/漏极区140B可以形成在衬底110上。该对第二源极/漏极区140B可以沿着垂直于衬底110的主表面的方向(Z方向)延伸至第二纳米线120B的两边缘。该对第二源极/漏极区140B可以是使用外延工艺从衬底110和第二纳米线120B再生长的半导体层,并且该对第二源极/漏极区140B可以包括与衬底110和第二纳米线120B不同的材料。在一示例实施方式中,该对第二源极/漏极区140B可以包括掺杂的SiGe膜、掺杂的Ge膜、掺杂的SiC膜或掺杂的InGaAs膜,但是该对第二源极/漏极区140B不限于此。
在一示例实施方式中,该对第二源极/漏极区140B可以包括与该对第一源极/漏极区140A不同的材料。例如,该对第一源极/漏极区140A可以包括SiC,该对第二源极/漏极区140B可以包括SiGe或Ge。
第二外部绝缘间隔物150B、第二绝缘层160B、第二接触162B和第二金属硅化物层164B可以分别具有与第一外部绝缘间隔物150A、第一绝缘层160A、第一接触162A和第一金属硅化物层164A相似的特性。在一示例实施方式中,第二外部绝缘间隔物150B、第二绝缘层160B、第二接触162B和第二金属硅化物层164B可以分别在用于形成第一外部绝缘间隔物150A、第一绝缘层160A、第一接触162A和第一金属硅化物层164A的相同的工艺中形成。在另一示例实施方式中,第二外部绝缘间隔物150B可以在与用于形成第一外部绝缘间隔物150A的工艺不同的工艺中形成。此外,第二绝缘层160B可以在与用于形成第一绝缘层160A的工艺不同的工艺中形成。
与第一晶体管TR1不同,第二晶体管TR2可以不包括内绝缘间隔物170,内绝缘间隔物170可以不布置在衬底110与第二纳米线120B之间。如图2中所绘,第二栅极电介质层132B可以被布置在第二栅电极130B与该对第二源极/漏极区140B之间。就是说,第二栅极电介质层132B可以从衬底110与第二纳米线120B之间延伸至第二栅电极130B与该对第二源极/漏极区140B之间。该对第二源极/漏极区140B可以接触第二栅极电介质层132B。
第二外部绝缘间隔物150B和第二栅电极130B的一部分可以沿着垂直于衬底110的主表面的方向(Z方向)被分别布置在彼此垂直重叠的位置处并且在彼此不同的高度。
如图2中所绘,内绝缘间隔物170可以形成在第一栅电极130A与该对第一源极/漏极区140A之间,而内绝缘间隔物170可以不形成在第二栅电极130B与该对第二源极/漏极区140B之间。因此,第一晶体管TR1和第二晶体管TR2被不同地构造,而第二栅极电介质层132B在第二栅电极130B的每一侧接触第二源极/漏极区140B,第一栅极电介质层132A在第一栅极电极130A的每一侧通过内绝缘间隔物170与第一源极/漏极区140A间隔开。
因为内绝缘间隔物170形成在第一栅电极130A与该对第一源极/漏极区140A之间,所以可以增大第一栅电极130A与该对第一源极/漏极区140A之间的分隔距离。因此,在第一晶体管TR1中,可以减少第一栅电极130A与该对第一源极/漏极区140A之间的寄生电容的产生,并且第一晶体管TR1可以显示出快速的操作速度。具体地,当第一晶体管TR1是NMOS晶体管时,该NMOS晶体管的性能可以由于寄生电容的减小而被提高。
因为内绝缘间隔物170不形成在第二栅电极130B与该对第二源极/漏极区140B之间,所以该对第二源极/漏极区140B可以具有高的晶体质量。如果内绝缘间隔物170在用于生长该对第二源极/漏极区140B的工艺中被布置在一对第二源极/漏极凹陷区140RB(参照图15)的暴露表面上,则多个堆垛层错或位错可以在该对第二源极/漏极区140B中产生。该对第二源极/漏极区140B的晶体质量可以由于堆垛层错或位错而不高,并且该对第二源极/漏极区140B可以难以用作向第二纳米线120B施加压缩应变的应力源(stressor)。
然而,因为内绝缘间隔物170不形成在第二栅电极130B与该对第二源极/漏极区140B之间,所以该对第二源极/漏极区140B中的堆垛层错或位错的产生可以被抑制,因而该对第二源极/漏极区140B可以具有高的晶体质量。因此,该对第二源极/漏极区140B可以用作向第二纳米线120B施加压缩应变的应力源,因而第二晶体管TR2可以显示出快速的操作速度。具体地,如果第二晶体管TR2是PMOS晶体管,则该PMOS晶体管的性能可以通过该对第二源极/漏极区140B的高晶体质量而被提高。
在根据上述一示例实施方式的半导体器件100中,由于通过内绝缘间隔物170产生的寄生电容的减小,例如NMOS晶体管的第一晶体管TR1可以提供高性能,并且由于该对第二源极/漏极区140B的高晶体质量,例如PMOS晶体管的第二晶体管TR2可以提供高性能。因此,半导体器件100可以具有最佳性能。
图5是根据本公开一示例实施方式的半导体器件100A的剖视图。图5显示了与沿图1的线IIA-IIA'和IIB-IIB'截取的剖面对应的剖面。在图5中,相同的附图标记用于指示与图1至4的元件相同的元件。
参照图5,半导体器件100A还可以包括在衬底110与第一栅电极130A之间的第一沟道分隔区180A以及在衬底110与第二栅电极130B之间的第二沟道分隔区180B。第一沟道分隔区180A可以包括与该对第一源极/漏极区140A中包括的导电类型掺杂剂相反的导电类型掺杂剂,第二沟道分隔区180B可以包括与该对第二源极/漏极区140B中包括的导电类型掺杂剂相反的导电类型掺杂剂。第一沟道分隔区180A和第二沟道分隔区180B可以防止沟道在衬底110的面对第一栅电极130A和第二栅电极130B的底表面的上表面上的形成。例如,沟道路径可以通过第一纳米线120A从该对第一源极/漏极区140A中的一个的下部形成到该对第一源极/漏极区140A中的另一个的下部,因此可以防止短沟道效应。
图6是根据本公开一示例实施方式的半导体器件100B的剖视图。图6显示了与沿图1的线IIA-IIA'和线IIB-IIB'截取的剖面对应的剖面。在图6中,相同的附图标记用于指示与图1至5的元件相同的元件。
参照图6,半导体器件100B还可以包括在衬底110与第一栅电极130A之间的第一缓冲层190A以及在衬底110与第二栅电极130B之间的第二缓冲层190B。
第一缓冲层190A和第二缓冲层190B可以包括具有比用于形成衬底110的材料的晶格常数更大的晶格常数的材料。在一示例实施方式中,衬底110可以包括Si,第一缓冲层190A和第二缓冲层190B可以包括GaAs、InP、InAlAs或这些材料的组合。在一示例实施方式中,第一缓冲层190A和第二缓冲层190B可以是单层或多层。例如,第一缓冲层190A和第二缓冲层190B可以具有一种多层结构,其中包括GaAs的第一层和包括InP或InAlAs的第二层被顺序堆叠在衬底110上。
在一示例实施方式中,该对第一源极/漏极区140A可以包括不同于第一纳米线120A的材料,该对第二源极/漏极区140B可以包括不同于第二纳米线120B的材料。因此,第一纳米线120A和第二纳米线120B可以包括应变沟道。结果,可以增大包括第一纳米线120A和第二纳米线120B的第一晶体管TR1和第二晶体管TR2的载流子迁移率。
例如,在第二晶体管TR2中,第二纳米线120B可以包括Ge,该对第二源极/漏极区140B可以包括掺杂的SiGe。在第一晶体管TR1中,第一纳米线120A可以包括InGaAs,该对第一源极/漏极区140A可以包括掺杂的InGaAs。第一纳米线120A中包括的InGaAs的In和Ga的成分比可以不同于该对第一源极/漏极区140A中包括的InGaAs的In和Ga的成分比。然而,第一纳米线120A和第二纳米线120B以及该对第一源极/漏极区140A和该对第二源极/漏极区140B的材料和成分比不限于此。
图7是根据本公开一示例实施方式的半导体器件200的俯视图。图8是沿图7的线VIIIA-VIIIA'和VIIIB-VIIIB'截取的剖视图。图9是沿图7的线IXA-IXA'和IXB-IXB'截取的剖视图。图10是沿图7的线XA-XA'和XB-XB'截取的剖视图。在图7至10中,相同的附图标记用于指示与图1至6的元件相同的元件。
参照图7至10,半导体器件200可以包括形成在衬底110的第一区I中的第一晶体管TR1以及形成在衬底110的第二区II中的第二晶体管TR2。
第一晶体管TR1可以包括多个第一纳米线120A1、120A2和120A3、第一栅电极230A、第一栅极电介质层232A、一对第一源极/漏极区140A、以及内绝缘间隔物170。第一栅电极230A在Y和Z方向上的平行平面中围绕第一纳米线120A1、120A2和120A3。第一栅极电介质层232A布置在第一栅电极230A与第一纳米线120A1、120A2和120A3之间。内绝缘间隔物170布置在该对第一源极/漏极区140A与第一栅电极230A之间。
第二晶体管TR2可以包括多个第二纳米线120B1、120B2和120B3、第二栅电极230B、第二栅极电介质层232B、以及一对第二源极/漏极区140B。第二栅电极230B在Y和Z方向上的平行平面中围绕第二纳米线120B1、120B2和120B3。第二栅极电介质层232B布置在第二栅电极230B与第二纳米线120B1、120B2和120B3之间。
在第一晶体管TR1中,第一纳米线120A1、120A2和120A3分别位于自衬底110的上表面起的彼此不同的高度上,并且从衬底110的上表面到第一纳米线120A1、120A2和120A3中的每个的距离彼此不同。第一纳米线120A1、120A2和120A3可以分别包括多个第一沟道区(未示出)。第一栅电极230A可以形成为在Y和Z方向上的平行平面中围绕第一纳米线120A1、120A2和120A3中的每个的至少一部分。第一栅电极230A可以包括分别形成在衬底110和第一纳米线120A1、120A2和120A3之间的空间中的第一子栅电极230A1、230A2和230A3。第一栅极电介质层232A可以布置在第一栅电极230A与第一纳米线120A1、120A2和120A3之间。
内绝缘间隔物170可以分别形成在第一子栅电极230A1、230A2和230A3与该对第一源极/漏极区140A之间,在衬底110和第一纳米线120A1、120A2和120A3之间。该对第一源极/漏极区140A可以不接触第一栅极电介质层232A,并且内绝缘间隔物170可以包括与第一栅极电介质层232A不同的材料。
在第二晶体管TR2中,第二纳米线120B1、120B2和120B3分别位于自衬底110的上表面起的彼此不同的高度上,并且从衬底110的上表面到第二纳米线120B1、120B2和120B3中的每个的距离彼此不同。第二纳米线120B1、120B2和120B3可以分别包括多个第二沟道区(未示出)。第二栅电极230B可以形成为在Y和Z方向上的平行平面中围绕第二纳米线120B1、120B2和120B3中的每个的至少一部分。第二栅电极230B可以包括分别形成在衬底110和第二纳米线120B1、120B2和120B3之间的空间中的第二子栅电极230B1、230B2和230B3。第二栅极电介质层232B可以布置在第二栅电极230B与第二纳米线120B1、120B2和120B3之间。第二栅极电介质层232B可以延伸至该对第二源极/漏极区140B与第二子栅电极230B1、230B2和230B3之间的空间。
如图8中所绘,内绝缘间隔物170仅形成在第一子栅电极230A1、230A2和230A3与该对第一源极/漏极区140A之间,并且可以不形成在第二子栅电极230B1、230B2和230B3与该对第二源极/漏极区140B之间。因此,该对第一源极/漏极区140A与第一子栅电极230A1、230A2和230A3之间的分隔距离可以大于该对第二源极/漏极区140B与第二子栅电极230B1、230B2和230B3之间的分隔距离。在一示例实施方式中,当第一晶体管TR1是NMOS晶体管时,因为该对第一源极/漏极区140A与第一子栅电极230A1、230A2和230A3之间的分隔距离相对较大,所以可以减少该对第一源极/漏极区140A和第一子栅电极230A1、230A2和230A3之间的寄生电容的产生。因此,NMOS晶体管可以具有快速的操作速度。
然而,内绝缘间隔物170不形成在第二子栅电极230B1、230B2和230B3与该对第二源极/漏极区140B之间。因此,该对第二源极/漏极区140B可以具有高的晶体质量。如果多个内绝缘间隔物170在用于生长该对第二源极/漏极区140B的工艺中被布置在成对第二源极/漏极凹陷区140RB(参照图15)的暴露表面上,则多个堆垛层错或位错可以由于包括绝缘材料的内绝缘间隔物170而在该对第二源极/漏极区140B中产生。该对第二源极/漏极区140B的晶体质量可以由于堆垛层错或位错而不高,并且对于该对第二源极/漏极区140B而言可以难以用作向第二纳米线120B施加应力的应力源。
然而,内绝缘间隔物170不形成在第二栅电极130B与该对第二源极/漏极区140B之间。该对第二源极/漏极区140B可以使用第一至第三牺牲层240P1、240P2、240P3(参照图16)和第二纳米线120B1、120B2和120B3作为籽晶层而被形成。该对第二源极/漏极区140B中的堆垛层错或位错的产生被抑制,因而该对第二源极/漏极区140B可以具有高的晶体质量。因此,该对第二源极/漏极区140B可以用作向第二纳米线120B1、120B2和120B3施加应力的应力源,因而第二晶体管TR2可以具有高的操作速度。具体地,当第二晶体管TR2是PMOS晶体管时,PMOS晶体管的性能可以通过该对第二源极/漏极区140B的高晶体质量而被提高。
在根据一示例实施方式的半导体器件200中,由于借助于内绝缘间隔物170的寄生电容的减小,第一晶体管TR1即NMOS晶体管可以提供高性能,并且通过该对第二源极/漏极区140B的高晶体质量,第二晶体管TR2即PMOS晶体管可以提供高性能。因此,半导体器件200可以提供高性能。
图11是根据本公开一示例实施方式的半导体器件200A的剖视图。图11显示与沿图7的线VIIIA-VIIIA'和线VIIIB-VIIIB'截取的剖面对应的剖面。在图11中,相同的附图标记用于指示与图1至10的元件相同的元件。
参照图11,内绝缘间隔物170中的每个可以具有在朝向第一子栅电极230A1、230A2和230A3的方向上凸出的侧壁。第一栅极电介质层232A可以形成为在内绝缘间隔物170中的每个的侧壁上具有共形的厚度。第一子栅电极230A1、230A2和230A3可以具有朝其内侧凹入的侧壁,第一子栅电极230A1、230A2和230A3被布置在内绝缘间隔物170的侧壁上,且第一栅极电介质层232A被插置在其间。如图11中所绘,第一子栅电极230A1、230A2和230A3可以分别包括与内绝缘间隔物170的侧壁的形状共形的在其上边缘和下边缘的尾部230AT。
为了说明的方便,内绝缘间隔物170的侧壁的轮廓被减小或夸大,因而内绝缘间隔物170的侧壁的斜度必要时可以改变。
在用于形成内绝缘间隔物170的一示例工艺中,在交替且顺序地形成牺牲层240P(参照图13)和沟道层120P(参照图13)之后,第一源极/漏极凹陷区140RA(参照图17)通过蚀刻部分牺牲层240P和沟道层120P形成。结果,牺牲层240P的侧壁可以被暴露在第一源极/漏极凹陷区140RA的侧壁上。此时,牺牲层240P的暴露的侧壁的部分可以使用其中牺牲层240P相对于沟道层120P具有蚀刻选择性(例如,牺牲层240P的蚀刻速率相对地高于沟道层120P的蚀刻速率)的蚀刻条件而被选择性地去除。牺牲层240P的根据该蚀刻条件被去除的部分在中央区域中可以比在上边缘或下边缘中更大。因此,如图11中所绘,通过在形成绝缘层(未示出)于牺牲层240P被去除的位置上之后执行回蚀刻工艺,每个具有凸出的侧壁的内绝缘间隔物170可以被形成。然而,内绝缘间隔物170和上述的另外的特征不限于以上描述。内绝缘间隔物170可以通过对牺牲层240P的暴露的侧壁执行热氧化工艺来形成。
图12是根据本公开一示例实施方式的半导体器件200B的剖视图。图12显示了与沿图7的线VIIIA-VIIIA'和线VIIIB-VIIIB'截取的剖面对应的剖面。在图12中,相同的附图标记用于指示与图1至11的元件相同的元件。
参照图12,该对第二源极/漏极区140B可以包括面向第二子栅电极230B1、230B2和230B3的多个突出部分140BP,并且第二栅极电介质层232B可以布置在突出部分140BP与第二子栅电极230B1、230B2和230B3之间。
在用于形成该对第二源极/漏极区140B的一示例工艺中,在交替且顺序地形成牺牲层240P(参照图13)和沟道层120P(参照图13)之后,成对的第二源极/漏极凹陷区140RB(参照图15)通过蚀刻牺牲层240P和沟道层120P的部分被形成。结果,牺牲层240P的侧壁可以被暴露在该对第二源极/漏极凹陷区140RB的侧壁上。此时,牺牲层240P的暴露的侧壁的部分可以使用其中牺牲层240P相对于沟道层120P具有蚀刻选择性(例如,牺牲层240P的蚀刻速率相对地高于沟道层120P的蚀刻速率)的蚀刻条件被选择性地去除。牺牲层240P的根据该蚀刻条件被去除的部分可以在中央区域中比在上边缘或下边缘中更大。此后,填充该对第二源极/漏极凹陷区140RB的内侧的该对第二源极/漏极区140B可以使用外延工艺被形成。
在示例实施方式中,在用于形成该对第二源极/漏极凹陷区140RB的蚀刻工艺中,对牺牲层240P的侧壁的损伤可能发生,或者牺牲层240P的晶体质量可能被部分地劣化。然而,牺牲层240P的侧壁的其中晶体质量劣化的部分可以通过选择性去除工艺被去除。此后,具有高晶体质量的该对第二源极/漏极区140B可以使用暴露在该对第二源极/漏极凹陷区140RB的侧壁上的牺牲层240P和沟道层120P作为籽晶层来被形成。
在另一示例实施方式中,该对第二源极/漏极凹陷区140RB的侧壁面积可以通过选择性去除工艺被增大,因此,牺牲层240P和沟道层120P的相对大的面积可以被暴露在该对第二源极/漏极凹陷区140RB的内侧壁上。因此,具有高晶体质量的该对第二源极/漏极区140B可以使用牺牲层240P和沟道层120P的暴露区域作为籽晶层来被形成。然而,该对第二源极/漏极区140B不限于此。
应理解,为了说明的方便,图12中所绘的该对第二源极/漏极区140B和突出部分140BP的侧壁轮廓被简化或夸大了。
在根据上述示例实施方式的半导体器件200中,第一晶体管TR1例如NMOS晶体管可以由于内绝缘间隔物170中的寄生电容的减小而提供高性能,并且第二晶体管TR2例如PMOS晶体管可以通过该对第二源极/漏极区140B的高晶体质量而提供高性能。因此,半导体器件200可以提供高性能。
图13至21是示出根据本公开一示例实施方式的制造半导体器件200的方法的剖视图。在图13至21中,根据制造工艺的顺序描绘了与沿图7的线VIIIA-VIIIA'和线VIIIB-VIIIB'截取的剖面对应的剖面。
参照图13,第一沟道分隔区180A和第二沟道分隔区180B可以通过从衬底110的主表面将掺杂剂离子以高浓度注入到衬底110中来形成。衬底110可以包括第一区I和第二区II。第一区I可以是NMOS晶体管区,第二区II可以是PMOS晶体管区。
然后,牺牲层240P和沟道层120P被交替且顺序地形成在衬底110上。牺牲层240P和沟道层120P可以使用外延工艺形成。牺牲层240P可以包括第一至第三牺牲层240P1、240P2和240P3,沟道层120P可以包括第一至第三沟道层120P1、120P2和120P3。
在示例实施方式中,牺牲层240P和沟道层120P可以包括相对于彼此具有蚀刻选择性的材料。例如,牺牲层240P和沟道层120P可以分别包括IV族半导体、II-IV族化合物半导体或III-V族化合物半导体的单层,并且牺牲层240P和沟道层120P可以包括彼此不同的材料。在一示例实施方式中,牺牲层240P可以包括SiGe,沟道层120P可以包括单晶硅。
在示例实施方式中,外延工艺可以是气相外延(VPE)工艺、诸如超高真空化学气相沉积(UHV-CVD)工艺的化学气相沉积(CVD)工艺、分子束外延工艺、或这些工艺的组合。在外延工艺中,液体或蒸汽前体可以被用作形成牺牲层240P和沟道层120P所需的前体。
参照图14,当在沟道层120P上形成在第一方向(X方向)上以预定长度延伸的掩模图案(未示出)之后,可以通过使用掩模图案作为蚀刻掩模蚀刻第一至第三沟道层120P1、120P2和120P3、第一至第三牺牲层240P1、240P2和240P3、第一沟道分隔区180A和第二沟道分隔区180B、以及衬底110来形成第一沟槽T1。
然后,沟槽T1的内侧用绝缘材料填充,隔离层112可以通过平坦化绝缘材料的上表面来形成。有源区AC可以被隔离层112限定在衬底110上,并且有源区AC可以包括预定类型的掺杂剂离子被注入到其中的阱。
然后,在第一区I和第二区II中,第一虚设栅极结构260A和第二虚设栅极结构260B可以形成在第一至第三牺牲层240P1、240P2和240P3以及第一至第三沟道层120P1、120P2和120P3的堆叠结构上。第一虚设栅极结构260A和第二虚设栅极结构260B可以分别包括第一蚀刻停止层262A和第二蚀刻停止层262B、第一虚设栅电极264A和第二虚设栅电极264B、第一封盖层266A和第二封盖层266B、以及第一外部绝缘间隔物150A和第二外部绝缘间隔物150B。
例如,第一虚设栅极结构260A和第二虚设栅极结构260B可以包括多晶硅,第一封盖层266A和第二封盖层266B可以包括硅氮化物膜。第一蚀刻停止层262A和第二蚀刻停止层262B可以包括相对于第一虚设栅极结构260A和第二虚设栅极结构260B具有蚀刻选择性的材料。例如,第一蚀刻停止层262A和第二蚀刻停止层262B可以包括从热氧化物膜、硅氧化物膜和硅氮化物膜中选择的至少一种膜。第一外部绝缘间隔物150A和第二外部绝缘间隔物150B可以包括硅氧化物、硅氮氧化物或硅氮化物,但不限于此。
参照图15,覆盖第一虚设栅极结构260A和第一至第三沟道层120P1、120P2和120P3的第一保护层272可以在第一区I中形成。在第二区II中,该对第二源极/漏极凹陷区140RB可以通过使用第二虚设栅极结构260B作为蚀刻掩模蚀刻部分第一至第三沟道层120P1、120P2和120P3、第一至第三牺牲层240P1、240P2和240P3、第二沟道分隔区180B、以及衬底110来形成。
在衬底110中,该对第二源极/漏极凹陷区140RB可以具有比第二沟道分隔区180B的深度更大的深度。因为该对第二源极/漏极凹陷区140RB被形成,所以部分第一至第三沟道层120P1、120P2和120P3被去除,并且第二纳米线120B1、120B2和120B3可以由第一至第三沟道层120P1、120P2和120P3的剩余部分被形成。
参照图16,当在该对第二源极/漏极凹陷区140RB中自衬底110、第二纳米线120B1、120B2和120B3以及第一至第三牺牲层240P1、240P2和240P3生长单晶膜之后,填充该对第二源极/漏极凹陷区140RB的该对第二源极/漏极区140B可以被形成。
在用于生长该对第二源极/漏极区140B的外延工艺中,暴露在该对第二源极/漏极凹陷区140RB的侧壁上的衬底110、第二纳米线120B1、120B2和120B3、以及第一至第三牺牲层240P1、240P2和240P3全部可以分别为单晶半导体层。因此,该对第二源极/漏极区140B的生长过程中由于晶格失配的位错或堆垛层错的产生可以被防止,因而该对第二源极/漏极区140B可以提供高的晶体质量。
通常,用于外延工艺的籽晶层或模板可以包括具有不连续界面的单晶半导体层或通过绝缘层被分开布置的多个单晶半导体表面。当这种情况发生时,有在生长于籽晶层或模板上的单晶半导体层中产生位错或堆垛层错的高可能性。包括位错或堆垛层错的单晶半导体层几乎不可以用作向沟道区施加压缩应变或拉伸应力的应力源。
然而,按照根据本公开的制造半导体器件200的方法,暴露在该对第二源极/漏极凹陷区140RB的侧壁上的衬底110、第二纳米线120B1、120B2和120B3、以及第一至第三牺牲层240P1、240P2和240P3全部可以是单晶半导体层。具体地,例如,当与其中绝缘层(或内绝缘间隔物)被暴露在该对第二源极/漏极区140RB的侧壁上的情况相比时,可以防止该对第二源极/漏极凹陷区140RB中生长的该对第二源极/漏极区140B中的位错或堆垛层错的产生,因而该对第二源极/漏极区140B可以提供高的晶体质量。
在示例实施方式中,第二源极/漏极区140B可以包括多个层。例如,第二源极/漏极区140B可以包括:其中含SiGe的第一层和第二层可以被形成但第一层和第二层可以分别具有不同的Si和Ge含量的多层结构;或者其中含SiGe的第一至第三层可以被形成但第一至第三层可以分别具有不同的Si和Ge含量的多层结构。
然后,第一保护层272可以被去除。
参照图17,第二保护层274可以在第二区II中形成。该对第一源极/漏极凹陷区140RA可以通过使用第一区I中的第一虚设栅极结构260A作为蚀刻掩模蚀刻第一至第三沟道层120P1、120P2和120P3、第一至第三牺牲层240P1、240P2和240P3、第一沟道分隔区180A、以及衬底110的在第一虚设栅极结构260A的两侧的部分来形成。
参照图18,牺牲凹陷区240R可以通过横向地蚀刻第一至第三牺牲层240P1、240P2和240P3的由该对第一源极/漏极凹陷区140RA暴露的部分来形成。
在示例实施方式中,可以存在其中第一至第三牺牲层240P1、240P2和240P3相对于第一至第三沟道层120P1、120P2和120P3具有高蚀刻速率的蚀刻条件。牺牲凹陷区240R可以通过基于该蚀刻条件去除暴露在该对第一源极/漏极凹陷区140RA的侧壁上的第一至第三牺牲层240P1、240P2和240P3的预定厚度来形成。如图18中所绘,牺牲凹陷区240R可以具有垂直的侧壁轮廓,与此不同,如图11中所绘,可以具有凹的侧壁轮廓。
参照图19,在通过在该对第一源极/漏极凹陷区140RA的侧壁上形成共形的绝缘层(未示出)来填充牺牲凹陷区240R的内侧之后,利用回蚀刻工艺,内绝缘间隔物170可以仅保留在牺牲凹陷区240R的内侧中。
参照图20,填充该对第一源极/漏极凹陷区140RA的该对第一源极/漏极区140A可以通过自衬底110和第一纳米线120A1、120A2和120A3再生长单晶层来形成在该对第一源极/漏极凹陷区140RA中。
参照图21,第二保护层274(参照图20)可以被去除。
然后,当在隔离层112、第一虚设栅极结构260A和第二虚设栅极结构260B(参照图20)、以及该对第一源极/漏极区140A和第二源极/漏极区140B上形成绝缘层160A和160B之后,绝缘层160A和160B的上部使用回蚀刻工艺的平坦化工艺被去除,结果,第一虚设栅极结构260A和第二虚设栅极结构260B可以被暴露于外部。然后,第一虚设栅极结构260A和第二虚设栅极结构260B被去除,以在该对外部绝缘间隔物150A和150B之间具有第一栅极空隙GSA和第二栅极空隙GSB。第一纳米线120A1、120A2和120A3可以通过第一栅极空隙GSA被暴露,第二纳米线120B1、120B2和120B3可以通过第二栅极空隙GSB被暴露。
然后,第一栅极空隙GSA和第二栅极空隙GSB可以通过选择性地去除第一至第三牺牲层240P1、240P2和240P3的经由第一栅极空隙GSA和第二栅极空隙GSB暴露的部分而延伸至衬底110的上表面。
例如,第一子栅极空隙GSA1、GSA2和GSA3可以在第一区I中在第一至第三牺牲层240P1、240P2和240P3被去除的位置上形成,第二子栅极空隙GSB1、GSB2和GSB3可以在第二区II中在第一至第三牺牲层240P1、240P2和240P3被去除的位置上形成。
参照图21和8,第一栅极电介质层232A和第二栅极电介质层232B分别形成在第一栅极空隙GSA和第二栅极空隙GSB中暴露的表面上。就是说,第一栅极电介质层232A和第二栅极电介质层232B分别在第一纳米线120A1、120A2和120A3、第二纳米线120B1、120B2和120B3、以及第一沟道分隔区180A和第二沟道分隔区180B中的每个的暴露表面、内绝缘间隔物170的暴露表面、以及该对第一外部绝缘间隔物150A和第二外部绝缘间隔物150B的暴露表面上形成。然后,分别填充第一栅极空隙GSA和第二栅极空隙GSB的第一栅电极230A和第二栅电极230B可以形成在第一栅极电介质层232A和第二栅极电介质层232B上。
在按照上述方法制造的半导体器件200中,例如NMOS晶体管的第一晶体管TR1可以提供高性能,因为内绝缘间隔物170中产生的寄生电容被减小;并且第二晶体管TR2可以通过该对第二源极/漏极区140B的高晶体质量提供高性能。
已经参照附图描述了本公开的示例实施方式。在本说明书中,示例实施方式通过使用特定术语被描述。然而,应理解,所述术语用来说明在此描述的构思的技术范围,而不是要限制权利要求中描述的特征、特性和构思的范围。因此,本领域普通技术人员将理解,可以对其进行形式和细节上的各种各样的改变而不背离本公开的精神和范围。因此,本公开的范围不由以上的详细描述限定,而由所附权利要求限定。
本专利申请要求2016年12月16日在韩国知识产权局(KIPO)提交的韩国专利申请第10-2016-0172883号的优先权,其公开通过引用全文合并于此。

Claims (18)

1.一种半导体器件,包括:
在衬底的第一区中的第一晶体管和在所述衬底的第二区中的第二晶体管,
其中所述第一晶体管是n型金属氧化物半导体(NMOS)晶体管,包括:具有第一沟道区的第一纳米线;围绕所述第一纳米线的第一栅电极;在所述第一纳米线与所述第一栅电极之间的第一栅极电介质层;连接到所述第一纳米线的边缘的第一源极/漏极区;以及在所述第一栅极电介质层与所述第一源极/漏极区之间的内绝缘间隔物,以及
所述第二晶体管是p型金属氧化物半导体(PMOS)晶体管,包括:具有第二沟道区的第二纳米线;围绕所述第二纳米线的第二栅电极;在所述第二纳米线与所述第二栅电极之间的第二栅极电介质层;以及连接到所述第二纳米线的边缘的第二源极/漏极区,
其中所述第二栅极电介质层进一步在所述第二栅电极与所述第二源极/漏极区之间延伸,并且与所述第二源极/漏极区接触,以及
其中所述内绝缘间隔物设置在所述第一栅电极与所述第一源极/漏极区之间,且所述第一栅电极与所述第一源极/漏极区之间的距离大于所述第二栅电极与所述第二源极/漏极区之间的距离。
2.根据权利要求1所述的半导体器件,其中所述第一栅极电介质层进一步在所述第一栅电极与所述内绝缘间隔物之间延伸。
3.根据权利要求1所述的半导体器件,其中所述第一源极/漏极区与所述第一栅极电介质层不接触。
4.根据权利要求1所述的半导体器件,其中所述内绝缘间隔物包括具有第一介电常数的第一材料,所述第一栅极电介质层和第二栅极电介质层包括具有比所述第一介电常数大的第二介电常数的第二材料。
5.根据权利要求1所述的半导体器件,还包括:
围绕所述第一纳米线之上的所述第一栅电极的侧面的第一外部绝缘间隔物,
其中,在垂直于所述衬底的方向上,所述第一外部绝缘间隔物和所述内绝缘间隔物重叠并且位于所述衬底之上在彼此不同的高度。
6.根据权利要求5所述的半导体器件,
其中所述第一外部绝缘间隔物和所述内绝缘间隔物包括彼此不同的材料。
7.根据权利要求1所述的半导体器件,还包括:
围绕所述第二纳米线之上的所述第二栅电极的侧面的第二外部绝缘间隔物,
其中,在垂直于所述衬底的方向上,所述第二外部绝缘间隔物和所述第二纳米线之下的所述第二栅电极重叠并且位于所述衬底之上在彼此不同的高度。
8.根据权利要求1所述的半导体器件,
其中所述第二源极/漏极区包括至少一个突出部分,以及所述至少一个突出部分接触所述第二栅极电介质层并且位于所述第二纳米线上方或下方。
9.根据权利要求1所述的半导体器件,还包括沿着所述衬底的主表面延伸方向在所述衬底与所述第一栅电极之间以及在所述衬底与所述第二栅电极之间延伸的缓冲层,其中所述缓冲层包括具有比所述衬底的晶格常数更大的晶格常数的材料。
10.根据权利要求1所述的半导体器件,还包括:
在所述衬底与所述第一栅电极之间并沿着所述衬底的主表面延伸方向延伸的第一沟道分隔区,其中所述第一沟道分隔区包括与所述第一源极/漏极区的导电类型掺杂剂不同的导电类型掺杂剂;以及
在所述衬底与所述第二栅电极之间并沿着所述衬底的所述主表面延伸方向延伸的第二沟道分隔区,其中所述第二沟道分隔区包括与所述第二源极/漏极区的导电类型掺杂剂不同的导电类型掺杂剂。
11.一种半导体器件,包括:
在衬底的第一区中的第一晶体管和在所述衬底的第二区中的第二晶体管,
其中所述第一晶体管是n型金属氧化物半导体(NMOS)晶体管,包括:具有多个第一沟道区的多个第一纳米线;围绕所述多个第一纳米线的第一栅电极;在所述多个第一纳米线与所述第一栅电极之间的第一栅极电介质层;连接到所述多个第一纳米线的边缘的第一源极/漏极区;以及在所述第一栅极电介质层与所述第一源极/漏极区之间的内绝缘间隔物,以及
所述第二晶体管是p型金属氧化物半导体(PMOS)晶体管,包括:具有多个第二沟道区的多个第二纳米线;围绕所述多个第二纳米线的第二栅电极;在所述多个第二纳米线与所述第二栅电极之间的第二栅极电介质层;以及连接到所述多个第二纳米线的边缘的第二源极/漏极区,
其中所述第二栅极电介质层进一步在所述第二栅电极与所述第二源极/漏极区之间延伸,并且与所述第二源极/漏极区接触,以及
其中所述内绝缘间隔物设置在所述第一栅电极与所述第一源极/漏极区之间,且所述第一栅电极与所述第一源极/漏极区之间的距离大于所述第二栅电极与所述第二源极/漏极区之间的距离。
12.根据权利要求11所述的半导体器件,其中所述第一栅极电介质层进一步在所述第一栅电极与所述内绝缘间隔物之间延伸。
13.根据权利要求11所述的半导体器件,其中所述第一源极/漏极区与所述第一栅极电介质层不接触。
14.根据权利要求11所述的半导体器件,还包括:
围绕所述多个第一纳米线之上的所述第一栅电极的侧面的第一外部绝缘间隔物;以及
围绕所述多个第二纳米线之上的所述第二栅电极的侧面的第二外部绝缘间隔物,
其中,在垂直于所述衬底的方向上,所述第一外部绝缘间隔物和所述内绝缘间隔物重叠并且位于所述衬底之上彼此不同的高度,以及
在垂直于所述衬底的方向上,所述第二外部绝缘间隔物和所述第二纳米线之下的所述第二栅电极重叠并且位于所述衬底之上彼此不同的高度。
15.一种半导体器件,包括:
具有第一区和第二区的衬底;
第一晶体管,其在所述衬底的所述第一区中,是n型金属氧化物半导体(NMOS)晶体管,并且包括第一纳米线、围绕所述第一纳米线的第一栅电极、第一栅极电介质层、第一源极区、第一漏极区和内绝缘间隔物;以及
所述衬底的所述第二区中的第二晶体管,其是p型金属氧化物半导体(PMOS)晶体管,包括第二纳米线、围绕所述第二纳米线的第二栅电极、第二栅极电介质层、第二源极区和第二漏极区,
其中所述第一纳米线在所述第一源极区与所述第一漏极区之间输送电子,所述第二纳米线在所述第二源极区与所述第二漏极区之间输送电子,
其中所述第一栅极电介质层被提供在所述第一纳米线与所述第一栅电极之间以及在所述内绝缘间隔物与所述第一栅电极之间,
其中所述内绝缘间隔物保持所述第一栅极电介质层与所述第一源极区和所述第一漏极区之间的间隔,
其中所述第二栅极电介质层被提供在所述第二纳米线与所述第二栅电极之间、所述第二源极区与所述第二栅电极之间、以及所述第二漏极区与所述第二栅电极之间,
其中所述第二栅极电介质层与所述第二源极区和所述第二漏极区接触,以及
其中所述内绝缘间隔物设置在所述第一栅电极与所述第一源极区和所述第一漏极区之间,且所述第一栅电极与所述第一源极区和所述第一漏极区之间的距离大于所述第二栅电极与所述第二源极区和所述第二漏极区之间的距离。
16.根据权利要求15所述的半导体器件,
其中所述第一源极区和所述第一漏极区与所述第一栅极电介质层不接触。
17.根据权利要求15所述的半导体器件,还包括:
第一外部绝缘间隔物,其在所述第一纳米线的与所述内绝缘间隔物以及与所述衬底相反的侧,在侧面围绕所述第一栅电极,以及
第二外部绝缘间隔物,其在所述第二纳米线的与所述衬底相反的侧,在侧面围绕所述第二栅电极。
18.根据权利要求15所述的半导体器件,
其中所述第一晶体管包括在所述第一源极区与所述第一漏极区之间输送电子并被所述第一栅电极围绕的至少一个第三纳米线,
其中所述第一栅极电介质层被提供在所述第一纳米线和所述至少一个第三纳米线中的每一个与所述第一栅电极之间,
其中所述内绝缘间隔物在垂直于所述衬底的方向上被提供在所述第一纳米线和所述至少一个第三纳米线中的相邻两个之间,
其中所述第二晶体管包括在所述第二源极区与所述第二漏极区之间输送电子并被所述第二栅电极围绕的至少一个第四纳米线,以及
其中所述第二栅极电介质层被提供在所述第二纳米线和所述至少一个第四纳米线中的每个与所述第二栅电极之间。
CN201711337430.2A 2016-12-16 2017-12-14 半导体器件 Active CN108206180B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020160172883A KR102574454B1 (ko) 2016-12-16 2016-12-16 반도체 장치 및 그 제조 방법
KR10-2016-0172883 2016-12-16

Publications (2)

Publication Number Publication Date
CN108206180A CN108206180A (zh) 2018-06-26
CN108206180B true CN108206180B (zh) 2023-11-14

Family

ID=62561999

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711337430.2A Active CN108206180B (zh) 2016-12-16 2017-12-14 半导体器件

Country Status (3)

Country Link
US (5) US10431585B2 (zh)
KR (1) KR102574454B1 (zh)
CN (1) CN108206180B (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102574454B1 (ko) * 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10211307B2 (en) * 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US10170638B1 (en) * 2018-01-23 2019-01-01 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy by dual bottom spacer
DE112018006806T5 (de) * 2018-03-22 2020-09-24 Intel Corporation Halbleiter-nanodrahtvorrichtung mit (111)- ebenenkanalseitenwänden
US10651314B2 (en) * 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
CN110729245A (zh) * 2018-07-16 2020-01-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US11276695B2 (en) * 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
JP7030666B2 (ja) * 2018-09-20 2022-03-07 株式会社東芝 半導体装置
US11532719B2 (en) * 2018-12-17 2022-12-20 Intel Corporation Transistors on heterogeneous bonding layers
US11670637B2 (en) * 2019-02-19 2023-06-06 Intel Corporation Logic circuit with indium nitride quantum well
US11145743B2 (en) * 2019-04-29 2021-10-12 International Business Machines Corporation Transistor device having a comb-shaped channel region to increase the effective gate width
KR20200136230A (ko) 2019-05-27 2020-12-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR20200142158A (ko) 2019-06-11 2020-12-22 삼성전자주식회사 반도체 장치
CN110416311B (zh) * 2019-07-15 2020-11-20 华东师范大学 一种非对称沟道介质环场效应晶体管
KR20210013833A (ko) 2019-07-29 2021-02-08 삼성전자주식회사 반도체 장치
US11476166B2 (en) * 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11502079B2 (en) * 2019-08-21 2022-11-15 Qualcomm Incorporated Integrated device comprising a CMOS structure comprising well-less transistors
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
KR20210040233A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 소자
KR102284479B1 (ko) * 2019-10-31 2021-08-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스트레서를 갖는 반도체 디바이스의 구조체 및 형성 방법
US11631770B2 (en) 2019-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11424242B2 (en) 2019-10-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
DE102020114813A1 (de) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren für halbleitervorrichtung mit isolierungsstruktur
US11201225B2 (en) 2019-10-31 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11837641B2 (en) * 2019-12-18 2023-12-05 Intel Corporation Gate-all-around integrated circuit structures having adjacent deep via substrate contacts for sub-fin electrical contact
US11362096B2 (en) * 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20210104294A (ko) 2020-02-17 2021-08-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11621341B2 (en) * 2020-03-16 2023-04-04 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US20210367034A1 (en) * 2020-05-22 2021-11-25 Taiwan Semiconductor Manufacturing Company Limited Memory devices and methods of manufacturing thereof
KR102395096B1 (ko) * 2020-11-30 2022-05-10 (재)한국나노기술원 수평 에피택시 성장을 이용하여 수직으로 적층된 나노와이어 채널을 갖는 전계효과 트랜지스터 제조방법
US20220199797A1 (en) * 2020-12-22 2022-06-23 Intel Corporation Localized spacer for nanowire transistors and methods of fabrication
KR20230058309A (ko) * 2021-10-22 2023-05-03 주식회사 히타치하이테크 플라스마 처리 방법
US20230163212A1 (en) * 2021-11-19 2023-05-25 Intel Corporation Gate-all-around transistor device with compressively strained channel layers
US20230207455A1 (en) * 2021-12-23 2023-06-29 Changyok Park Integrated circuit structure having anti-fuse structure
KR20230171143A (ko) * 2022-06-13 2023-12-20 삼성전자주식회사 반도체 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104603920A (zh) * 2012-09-28 2015-05-06 英特尔公司 沟槽限定的外延生长器件层

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
KR100699839B1 (ko) * 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
CN106847814B (zh) * 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
JP6114538B2 (ja) * 2012-12-04 2017-04-12 株式会社ミツトヨ 光電式絶対位置検出器、及び、その取付方法
US9394505B2 (en) 2012-12-04 2016-07-19 Flint Hills Resources, Lp Recovery of co-products from fermentation stillage streams
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
KR102069609B1 (ko) 2013-08-12 2020-01-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9362397B2 (en) * 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
EP3050090B1 (en) * 2013-09-26 2023-08-09 Daedalus Prime LLC Methods of forming dislocation enhanced strain in nmos structures
KR102083494B1 (ko) * 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9570609B2 (en) * 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9484423B2 (en) * 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
CN105993064B (zh) * 2013-12-27 2019-12-03 英特尔公司 用于环绕栅极架构的选择性蚀刻
US9318552B2 (en) 2014-05-21 2016-04-19 Globalfoundries Inc. Methods of forming conductive contact structures for a semiconductor device with a larger metal silicide contact area and the resulting devices
KR102158963B1 (ko) * 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9431512B2 (en) 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9698261B2 (en) * 2014-06-30 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical device architecture
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9391163B2 (en) 2014-10-03 2016-07-12 International Business Machines Corporation Stacked planar double-gate lamellar field-effect transistor
US20160190239A1 (en) * 2014-12-26 2016-06-30 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102445837B1 (ko) * 2015-06-26 2022-09-22 인텔 코포레이션 고 이동도 반도체 소스/드레인 스페이서
US9871104B2 (en) * 2015-06-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Nanowire semiconductor device structure and method of manufacturing
US9613871B2 (en) * 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9741792B2 (en) * 2015-10-21 2017-08-22 International Business Machines Corporation Bulk nanosheet with dielectric isolation
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9899269B2 (en) * 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US10074730B2 (en) * 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
KR102343470B1 (ko) * 2016-01-28 2021-12-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
TWI686351B (zh) * 2016-04-01 2020-03-01 聯華電子股份有限公司 奈米線電晶體及其製作方法
US10304936B2 (en) * 2016-05-04 2019-05-28 International Business Machines Corporation Protection of high-K dielectric during reliability anneal on nanosheet structures
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9899398B1 (en) * 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US9653480B1 (en) * 2016-09-22 2017-05-16 International Business Machines Corporation Nanosheet capacitor
US10908155B2 (en) * 2016-10-19 2021-02-02 Exact Biochip Corporation Biological sensing system
US10312152B2 (en) * 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US9660028B1 (en) * 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
KR102490696B1 (ko) * 2016-11-07 2023-01-19 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102326463B1 (ko) * 2016-11-14 2021-11-12 도쿄엘렉트론가부시키가이샤 나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법
WO2018090001A1 (en) * 2016-11-14 2018-05-17 Tokyo Electron Limited Method of forming gate spacer for nanowire fet device
US10170627B2 (en) * 2016-11-18 2019-01-01 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
KR102574454B1 (ko) * 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104603920A (zh) * 2012-09-28 2015-05-06 英特尔公司 沟槽限定的外延生长器件层

Also Published As

Publication number Publication date
US20220328483A1 (en) 2022-10-13
KR102574454B1 (ko) 2023-09-04
US11367723B2 (en) 2022-06-21
KR20180070316A (ko) 2018-06-26
US20180175035A1 (en) 2018-06-21
US10431585B2 (en) 2019-10-01
US20190363086A1 (en) 2019-11-28
US20210028173A1 (en) 2021-01-28
US20230238383A1 (en) 2023-07-27
CN108206180A (zh) 2018-06-26
US11894379B2 (en) 2024-02-06
US10923476B2 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
CN108206180B (zh) 半导体器件
US10164023B2 (en) FinFETs with strained well regions
US10158015B2 (en) FinFETs with strained well regions
US8962400B2 (en) In-situ doping of arsenic for source and drain epitaxy
US20200027950A1 (en) Semiconductor device, method of manufacturing the same and electronic device including the same
US8816391B2 (en) Source/drain engineering of devices with high-mobility channels
US9324853B2 (en) III-V semiconductor device having self-aligned contacts
US20140252475A1 (en) FinFETs and Methods for Forming the Same
US8822290B2 (en) FinFETs and methods for forming the same
US9385234B2 (en) FinFETs with strained well regions
US11699759B2 (en) Integrated circuit devices and methods of manufacturing the same
CN105185712B (zh) 包括鳍式场效应晶体管的集成电路器件及其形成方法
KR102444346B1 (ko) 자기-정렬식 epi 콘택 플로우

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant