KR102326463B1 - 나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법 - Google Patents

나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법 Download PDF

Info

Publication number
KR102326463B1
KR102326463B1 KR1020197015325A KR20197015325A KR102326463B1 KR 102326463 B1 KR102326463 B1 KR 102326463B1 KR 1020197015325 A KR1020197015325 A KR 1020197015325A KR 20197015325 A KR20197015325 A KR 20197015325A KR 102326463 B1 KR102326463 B1 KR 102326463B1
Authority
KR
South Korea
Prior art keywords
fin structure
providing
layered
fin
doped
Prior art date
Application number
KR1020197015325A
Other languages
English (en)
Other versions
KR20190072622A (ko
Inventor
제프리 스미스
안톤 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190072622A publication Critical patent/KR20190072622A/ko
Application granted granted Critical
Publication of KR102326463B1 publication Critical patent/KR102326463B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Abstract

반도체 디바이스를 제조하는 방법은 층상화된 핀 구조물을 상부에 갖는 기판을 제공하는 단계를 포함한다. 상기 층상화된 핀 구조물은 베이스 핀 부분, 상기 베이스 핀 부분 상에 제공된 희생 부분, 및 상기 희생 부분 상에 제공된 채널 부분을 포함한다. 도핑 소스막이 상기 층상화된 핀 구조물 위 상기 기판 상에 제공되고, 도핑 물질을 상기 도핑 소스막으로부터 채널 영역 이외의 상기 층상화된 핀 구조물 부분으로 확산시켜 상기 층상화된 핀 구조물에 확산 도핑된 영역을 형성한다. 격리 물질은 상기 층상화된 핀 구조물의 적어도 상기 확산 도핑된 영역 위 상기 기판 상에 제공된다.

Description

나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법
관련 출원에 대한 상호 참조
본 출원은, 전체 내용이 본 명세서에 병합된, 2016년 11월 14일자로 출원된 미국 가출원 번호 62/421,522에 기초하고 이의 우선권의 이익을 주장한다.
기술 분야
본 발명은 집적 회로 및 트랜지스터와 같은 반도체 디바이스 및 집적 회로용 트랜지스터 부품을 제조하는 방법에 관한 것이다.
반도체 디바이스를 (특히 마이크로 규모로) 제조하는 것은 원하는 반도체 디바이스 요소를 기판 상에 형성하기 위해 반복적으로 수행되는 막 형성 증착, 에칭 마스크 생성, 패터닝, 물질 에칭 및 제거, 및 도핑 처리와 같은 다양한 제조 공정을 수반한다. 역사적으로, 마이크로 제조에서, 트랜지스터는 하나의 평면에 형성되고 이 평면 위에 배선/금속화 부분이 형성되어, 2차원(2D) 회로 또는 2D 제조를 특징으로 한다. 규모 축소(scaling) 노력으로 2D 회로에서 단위 면적당 트랜지스터의 수가 크게 증가했지만 규모 축소 크기가 한 자리 수 나노미터의 반도체 디바이스 제조 노드에 진입함에 따라 규모 축소 노력이 점점 더 큰 문제가 되고 있다. 반도체 디바이스 제조사는 트랜지스터가 서로 상하로 적층된 3차원(3D) 반도체 디바이스가 요구됨을 표명하였다.
개선된 높은 성능을 갖는 반도체 디바이스의 규모 축소를 제공할 필요성뿐만 아니라 대응하는 제조 공정에 대한 필요성이 계속 존재한다.
본 발명의 하나의 목적은 전기적 성능 및 신뢰성을 향상시키는 3D 반도체 디바이스 및 방법을 제공하는 것이다. 이들 목적 및 다른 목적은 이하에서 번호 매겨진 본 발명의 예시적인 양태를 포함하여 본 명세서에 개시된 실시예에 의해 제공된다.
(1) 반도체 디바이스를 제조하는 방법은 층상화된 핀 구조물(layered fin structure)을 상부에 갖는 기판을 제공하는 단계로서, 상기 층상화된 핀 구조물은 베이스 핀 부분(base fin portion)을 포함하고, 희생 부분(sacrificial portion)이 상기 베이스 핀 부분 상에 제공되고, 채널 부분이 상기 희생 부분 상에 제공된, 상기 기판을 제공하는 단계를 포함한다. 도핑 소스막(doping source film)이 상기 층상화된 핀 구조물 위 상기 기판 상에 제공되고, 상기 도핑 소스막으로부터 도핑 물질이 상기 채널 부분 이외의 상기 층상화된 핀 구조물 부분으로 확산되어 상기 층상화된 핀 구조물에 확산 도핑된 영역을 형성한다. 상기 층상화된 핀 구조물의 적어도 상기 확산 도핑된 영역 위 상기 기판 상에 격리 물질(isolation material)이 제공된다.
(2) 양태 (1)에 있어서, 상기 기판을 제공하는 단계는 도핑된 Si의 벌크(bulk)로 형성된 벌크 핀(bulk fin)으로서 상기 베이스 핀을 제공하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.상기 벌크 핀 상에 다층 핀 구조물이 제공되고, 상기 다층 핀 구조물은 상기 채널 부분을 형성하는 복수의 Si층과 교번하는 복수의 SiGe층으로서 상기 희생 부분을 제공하는 것을 포함한다.
(3) 양태 (2)에 있어서, 상기 복수의 Si층 각각은 나노와이어(nanowire) 또는 나노슬랩(nanoslab)을 형성하는, 반도체 디바이스를 제조하는 방법.
(4) 양태 (2)에 있어서, 상기 도핑 소스막을 제공하는 것은 상기 층상화된 핀 구조물의 일부 위에만 상기 도핑 소스막을 제공하는 것을 포함하고, 상기 도핑 소스막의 위치는 상기 도핑 물질이 상기 채널 부분으로 확산되는 것을 방지하도록 선택되는, 반도체 디바이스를 제조하는 방법.
(5) 양태 4에 있어서, 상기 도핑 소스막의 위치는 상기 층상화된 핀 구조물의 상기 베이스 핀 부분의 적어도 일부를 덮도록 선택되는, 반도체 디바이스를 제조하는 방법.
(6) 양태 5에 있어서, 상기 도핑 소스막의 위치는 상기 층상화된 핀 구조물의 상기 베이스 핀 부분을 덮도록 선택되는, 반도체 디바이스를 제조하는 방법.
(7) 양태 6에 있어서, 상기 도핑 소스막의 위치는 상기 층상화된 핀 구조물의 상기 희생 부분의 일부를 덮도록 선택되는, 반도체 디바이스를 제조하는 방법.
(8) 양태 1에 있어서, 상기 확산은 주입 어닐링 가열(drive anneal heating)을 수행하여 상기 도핑 물질을 상기 층상화된 핀 구조물로 확산시키는 것을 포함하는, 반도체 디바이스를 제조하는 방법.
(9) 양태 8에 있어서, 상기 확산은 소스/드레인 스파이크 어닐링 가열(spike anneal heating)을 수행하여 상기 도핑 물질을 상기 층상화된 핀 구조물로 확산시키는 것을 더 포함하는, 반도체 디바이스를 제조하는 방법.
(10). 양태 8에 있어서, 상기 확산은 상기 도핑 물질이 상기 층상화된 핀 구조물의 상기 채널 부분으로 확산되는 것을 방지하기 위해 상기 주입 어닐링의 시간과 온도 중 적어도 하나를 튜닝(tuning)하는 것을 더 포함하는, 반도체 디바이스를 제조하는 방법.
(11). 양태 1에 있어서, 상기 격리 물질을 제공하는 것은 상기 층상화된 핀 구조물의 적어도 상기 확산 도핑된 영역을 덮기 위해 상기 기판 상에 얕은 트렌치 격리층(shallow trench isolation layer)을 형성하는 것을 포함하는, 반도체 디바이스를 제조하는 방법.
(12) 양태 1에 있어서, 상기 층상화된 핀 구조물 위에 스크린층을 제공하는 단계를 더 포함하고, 상기 도핑 소스 층은 상기 스크린층 상에 제공되는, 반도체 디바이스를 제조하는 방법.
(13) 양태 1에 있어서, 상기 확산 전에 상기 도핑 소스 층 위에 라이너(liner)를 제공하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
(14) 다른 양태는 기판 및 상기 기판 상에 제공된 핀 구조물을 포함하는 반도체 디바이스를 포함한다. 상기 핀 구조물은, 반도체 물질의 베이스 핀 부분으로서, 상기 베이스 핀 부분은 확산 도핑된 영역을 포함하는, 상기 베이스 핀 부분, 및 상기 베이스 핀 부분 상에 제공되고, 상기 베이스 핀 부분으로부터 수직으로 이격된 반도체 물질의 채널 부분을 포함한다. 상기 베이스 핀 부분과 상기 채널 부분 사이에 전도성 물질을 포함하는 게이트 구조물이 배열되고, 확산 도핑된 영역이 상기 게이트 구조물로부터 상기 베이스 핀 부분을 전기적으로 격리하도록 구성된다. 상기 기판 상에 형성되고 적어도 상기 확산 도핑된 영역을 덮는 격리 구조물이 형성된다.
(15) 양태 14에 있어서, 상기 핀 구조물은, 상기 베이스 핀 부분으로서 제공되고 도핑된 반도체 물질의 벌크로 형성된 벌크 핀; 및 상기 채널 부분으로서 제공된 복수의 수직으로 적층된 반도체층을 포함하는, 반도체 디바이스. 상기 복수의 수직으로 적층된 반도체층은 서로 이격되어, 상기 도핑된 반도체 물질과 동일한 극성 유형으로 도핑된다. 상기 확산 도핑된 영역은 상기 벌크 핀의 카운터 도핑(counter-doping)을 제공하기 위해 상기 도핑된 반도체 물질과 반대 극성 유형을 갖는다.
(16). 양태 15에 있어서, 상기 복수의 Si층 각각은 나노와이어 또는 나노슬랩을 형성하는, 반도체 디바이스.
(17) 양태 15에 있어서, 상기 도핑된 반도체 물질의 벌크는 p-형 도핑 물질을 포함하는, 반도체 디바이스.
(18) 양태 16에 있어서, 상기 복수의 수직으로 적층된 반도체층 각각은 p-형 도핑 물질을 포함하는, 반도체 디바이스.
(19) 양태 15에 있어서, 상기 확산 도핑된 영역은 n-형 도핑 물질을 포함하는, 반도체 디바이스.
(20) 양태 19에 있어서, 상기 n-형 도핑 물질은 인 또는 비소를 포함하는, 반도체 디바이스.
본 명세서에 포함되어 본 명세서의 일부를 구성하는 첨부 도면은 하나 이상의 실시예를 도시하고, 본 상세한 설명과 함께 이들 실시예를 설명한다. 첨부 도면은 반드시 축척대로 그려진 것은 아니다. 첨부 도면에 도시된 임의의 값 치수는 설명을 위한 것일 뿐, 실제 또는 바람직한 값 또는 치수를 나타낼 수도 있고 또는 나타내지 않을 수도 있다. 적용 가능한 경우, 기본 특징의 설명을 돕기 위해 일부 또는 모든 특징이 도시된 것은 아닐 수도 있다.
도 1은 본 발명의 특정 양태에 따른 벌크 핀 격리를 갖는 반도체 디바이스를 형성하는 제조 공정의 흐름도;
도 2는 본 발명의 특정 양태에 따른 벌크 핀 격리를 갖는 반도체 디바이스의 단면 사시도;
도 3a는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하기 위한 예시적인 출발 구조물의 단면 사시도;
도 3b는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3c는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3d는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3e는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3f는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3g는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3h는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3i는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3j는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3k는 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3l은 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도;
도 3m은 본 발명의 특정 양태에 따라 도 2의 디바이스를 제조하는 공정에서 예시적인 중간 구조물의 단면 사시도; 및
도 4는 게이트 전하가 인접한 게이트 구조물로 이동될 수 있는 반도체 디바이스의 단면 사시도.
본 발명의 기술은 나노와이어 FET(field effect transistor)에서 또는 적층된 상보형 FET 디바이스를 통해 나노와이어(또는 나노슬랩 또는 나노시트)를 병합하는 게이트-올-어라운드(Gate-all-around: GAA) 처리를 사용하여 디바이스를 제조하는 것에 관한 것이다. 게이트-올-어라운드(GAA)는 금속 게이트가 실리콘 또는 실리콘/게르마늄 와이어 주위에 물리적으로 감싸여 있는 FET 디바이스를 나타내서, 게이트를 실리콘 또는 실리콘/게르마늄 핀 주위에 감싸는 3중 게이트 공정을 더 확장한 것이다. 핀 FET에서는 게이트가 4면 중 3면을 감싸는 반면, GAA FET 디바이스에서는 게이트가 (주어진 채널이 직사각형 또는 원형 단면을 갖는지 여부에 관계없이) 주어진 채널을 모두 감싼다. GAA FET 디바이스의 하나의 유형은 나노와이어 FET이다.
도 4는 나노와이어 자체를 따른 배향으로부터 GAA 나노와이어 구조물의 사시도를 도시한다. 도시된 바와 같이, 디바이스는 적층된 나노와이어 구조물(403)의 어레이가 상부에 제공된 기판(401)을 포함한다. 각각의 적층된 구조물(403)은 나노와이어 디바이스(410) 및 게이트 구조물(420)이 상부에 제공된 벌크 핀 부분(405)을 포함한다. 벌크 핀(405)은 나노와이어 디바이스(410) 및 게이트 구조물(420)을 형성하도록 처리된 중간 핀 구조물의 일부이다. 각각의 나노와이어 디바이스(410)는 양측에 소스/드레인 영역(413)을 갖는 나노와이어 채널 영역(411)을 포함한다. 각각의 게이트 구조물(420)은, 나노와이어 채널 영역(411)을 둘러싸는 높은-k 층(421), 일함수 금속층(423) 및 게이트 금속 충전재(fill)(425)를 포함하는 다층 구조물이다. 캡(cap)(407)은 각 핀(403) 위에 제공되고, 게이트 스페이서(427)는 인접한 적층된 나노와이어 구조물(403) 사이에 제공된 소스/드레인 금속(429)으로부터 게이트 구조물(420)을 전기적으로 절연시킨다. 얕은 트렌치 격리 구조물(431)은 핀 구조물(403) 사이에 제공된다.
GAA 디바이스에서, 게이트 금속(425)은 게이트 접점(도시되지 않음)을 통해 충전되고, 전하(charge)는 나노와이어, 나노시트 또는 나노슬랩(411)을 통과하기 전에 일함수 금속(423)을 통해 전달된다. 개별 게이트로 가는 전하는 유전체 게이트 스페이서(427)를 병합하는 것에 의해 인접한 소스/드레인 바(bar)(429) 내에 포함되는 것이 아니라 이 선택된 게이트 내에 격납되는 것이 요구된다. 그러나, (예를 들어) 실리콘과 실리콘 게르마늄으로 구성된 다층 핀으로부터 중간 공정을 통해 와이어가 형성되는 나노와이어 또는 나노슬랩 디자인의 경우, 금속 게이트 구조물의 바닥 또는 베이스에 핀의 잔류물이 있을 수 있다. 이 핀(405)으로부터 실리콘은 유사하게 HfO와 같은 높은-k 막(421) 및 이 위에 증착된 TiN과 같은 일함수 금속(423)의 증착물을 가질 수 있다. 그 결과, 특정 게이트를 충전하는 동안 매립된 핀이 충전될 수 있고 이 전하는 잔류하는 핀 구조물에 의해 인접한 게이트 구조물로 전달되어 전기적 성능 문제 및/또는 디바이스 고장을 초래할 수 있다. 도 4로부터, 특정 게이트로 가는 임의의 전하는 일함수 금속(423)을 통해 벌크 실리콘 핀으로 전달되고 나서 인접한 게이트로 넘어갈 수 있는 것을 볼 수 있다.
본 발명의 발견에 따르면, 금속 게이트 아래에 배치된 벌크 실리콘 핀은 금속 게이트 구조물로부터 격리된다. 이를 달성하는 하나의 기술은 핀을 중화시키고 이 핀이 특정 금속 게이트를 충전하는 동안 충전되는 것을 방지하기 위해 벌크 실리콘을 카운터 도핑하는 것을 포함한다. 카운터 도핑은 벌크 실리콘 핀 바로 위에 배치되는 실제 나노와이어 또는 나노시트에 영향을 주지 않아서, 도핑 공정은 종래의 이식 공정과는 달리 고체 소스 도핑 방법으로부터 이익을 얻을 수 있다. 다른 기술은 벌크 실리콘 핀을, 벌크 실리콘 핀 위에 증착된 금속 게이트 및 추가적인 유전체 층 아래로 충분히 함몰(recess)시키는 방법을 포함한다. 함몰 기술은, 실리콘 와이어 방출 공정이 완료되면 유전체 물질을 개방된 대체 게이트에 직접 증착해야 하기 때문에 공정 집적 방법으로부터 더 어려울 수 있다. 또한, 와이어가 유전체 증착의 잔류물을 갖지 않고 벌크 실리콘 핀으로 전하가 이동되는 것을 방지하기에 충분한 두께로 게이트의 바닥이 증착되는 것을 보장하면서 게이트의 바닥을 채우기 위해 개방된 대체 게이트 내에 물질이 등방적으로 함몰될 필요가 있다. 본 명세서에 설명된 기술은 벌크 실리콘 핀을 고체 소스 도핑 공정을 통해 중화시키는 일 실시예에 초점을 맞출 것이다. 본 발명의 이러한 공정은 붕소로 예비 도핑된 실리콘 핀에 인 또는 비소를 도핑하는 작용을 할 수 있다. 공정 집적 흐름을 통해 실리콘 또는 실리콘 게르마늄 나노와이어 또는 나노시트에 도핑을 직접 수행하지 않도록 처리를 제어할 수 있다.
본 발명의 기술은 3D 반도체 디바이스의 게이트 부분으로부터 벌크 핀 부분(405)을 격리시키는 집적 및 하드웨어 방법을 포함한다. 도 1은 본 발명의 실시예에 따라 격리된 벌크 핀 부분을 갖는 반도체 디바이스를 형성하는 예시적인 제조 공정의 흐름도이다. 공정은 단계(101)에 도시된 바와 같이 채널 부분을 포함하는 층상화된 핀 구조물을 갖는 기판을 제공하는 것으로 시작된다. 단계(103)에서, 도핑막이 층상화된 핀 구조물 상에 제공된다. 단계(105)에서, 도핑 물질은 도핑막으로부터 확산되어, 채널 부분 이외의 층상화된 핀 구조물의 확산 도핑된 부분을 형성한다. 단계(107)에서, 격리 물질은 층상화된 핀 구조물의 적어도 확산 도핑된 부분 위에 제공된다.
도 2는 본 발명의 실시예에 따라 격리된 벌크 핀 부분을 갖는 다중 채널 FET 디바이스의 단면 사시도이다. 도 2는 나노와이어 자체에 따른 배향으로부터 GAA 나노와이어 구조물을 도시한다. 도 4와 유사하게, 디바이스는 적층된 나노와이어 구조물(203)의 어레이가 상부에 제공된 기판(201)을 포함한다. 각각의 적층된 구조물(203)은 나노와이어 디바이스(210)와 게이트 구조물(220)이 상부에 제공된 벌크 핀 부분(205)을 포함한다. 핀(203)은 게이트 금속으로부터 벌크 핀(205)을 격리시키기 위해 확산 도핑된 핀 영역(209)을 포함한다. 각각의 나노와이어 디바이스(210)는 양측에 소스/드레인 영역(213)을 갖는 나노와이어 채널 영역(211)을 포함하고, 각각의 게이트 구조물(220)은 높은-k 층(221), 일함수 금속층(223) 및 게이트 금속 충전재(225)를 포함한다. 캡(207)이 각각의 핀(203) 위에 제공되고, 게이트 스페이서(227)는 인접한 적층된 나노와이어 구조물(203) 사이에 제공된 소스/드레인 금속(229)으로부터 게이트 구조물(220)을 전기적으로 절연시킨다. 얕은 트렌치 격리 구조물(231)이 핀 구조물(203) 사이에 제공된다.
도 3a 내지 도 3m은 도 2의 구조물을 갖는 특정 디바이스를 제조하는 예시적인 공정의 다양한 단계의 구조물을 도시한다. 도 1은 도 3a 내지 도 3m을 참조하여 보다 상세히 설명될 것이다. 이 기술 분야에 알려진 바와 같이, 나노와이어 또는 나노시트는 Si 및 SiGe와 같은 반도체 물질이 교번하는 "핀" 구조물로 형성될 수 있다. 실리콘 나노와이어를 형성하는 것은, 핀 내에 SiGe를 등방성으로 에칭하고, 게이트 구조물의 어느 단부 상에 실리콘 와이어의 단부에서 종료하는 게이트 스페이서 물질을 형성하는 것을 통해 달성될 수 있다. 유사하게, SiGe 나노와이어는 SiGe에 대해 핀 내에 Si를 선택적으로 에칭함으로써 형성될 수 있다. 본 발명의 기술은 Si 및 SiGe 나노와이어 또는 나노시트 또는 나노슬랩에 적용 가능할 뿐만 아니라 다른 유사한 반도체 구조물에도 적용 가능하다. 본 발명의 실시예를 설명할 때 편의상, 도 1 및 도 3a 내지 도 3m의 설명은 실리콘 나노와이어를 제조하는 공정에 관한 것이다. 따라서, 도 1 및 도 3a 내지 도 3m은 반도체 디바이스의 게이트 구조물로부터 벌크 핀을 격리하는 집적 및 하드웨어 방법을 개시한다.
도 1을 다시 참조하면, 방법(100)은 도 3a에 도시된 예시적인 구조물과 같은 반도체 구조물로 시작할 수 있다. 이 구조물은 디바이스 처리의 중간 단계에서 다층 핀의 어레이를 보여준다. 이 구조물은 핀(303)의 어레이를 상부에 갖는 기판(301)을 포함한다. 각각의 핀(303)은 벌크 핀(305), 희생 부분으로 작용하는 SiGe층(307), 및 채널 부분으로 작용하는 Si 나노와이어(309)를 포함한다. SiGe(307)는 이후 공정에서 나노와이어(309)를 방출하기 위해 제거될 수 있다. 구조물(300A)에서, 나노와이어(309)를 포함하는 핀 구조물은 캡 층(311)에 의해 보호된다.
선택 사항으로서, SiO와 같은 스크린층(313)이 원자층 증착(atomic layer deposition)에 의해 증착되어 핀(303) 위에 스페이서를 형성할 수 있다. 이 막을 병합하는 것은 선택 사항으로, 후술하는 주입 어닐링 단계 동안 인 또는 비소가 핀으로 확산되는 것을 제어하는데 사용된다. 예시적인 스크린층(313)은 도 3b에 도시된다.
도 1의 단계(103)에서, 도핑막이 층상화된 핀 구조물 상에 제공된다. 도핑 소스막은 도핑 물질을 층상화된 핀 구조물의 부분으로 확산시키는데 사용된다. 일부 실시예에서, 도핑 소스막은 도펀트(dopant)를 층상화된 핀 구조물의 대응하는 부분으로 확산시키기 위해 층상화된 핀 구조물의 일부 위에 제공된다. 그러나, 장벽 라이너를 사용하면 또한 도핑 소스 층이 핀의 선택된 부분으로 확산되는 것을 제어할 수 있다. 도 3의 실시예에서, 도핑 소스막(315)은 처음에 도 3c에 도시된 바와 같이 전체 핀 구조물(303)에 걸쳐 스크린층(313) 위에 제공된다. 도핑 소스막(315)은 CVD 또는 ALD에 의해 핀 구조물 상에 증착될 수 있다. 실제적인 목적을 위해, 사용된 벌크 실리콘이 실리콘이 이미 붕소-도핑된 p-형인 경우, 도핑 소스 물질은 PSG 또는 AsSG와 같은 인 또는 비소와 같은 n-형 물질로 구성될 수 있다.
도핑 소스 층(315)의 일부를 제거하는 것은 유동성 SiO 막 또는 스핀-온 유기 막과 같은 충전재 물질을 기판(다층 핀 어레이) 상에 증착하는 것으로 시작한다. 이 충전재 물질을 증착하면 물질에 과부하를 초래할 수 있다. 도 3d는 유동성 SiO 충전재 물질(317)을 핀 어레이에 사용한 결과를 도시하는 예시도이다. 과부하를 받는 충전재 물질(317)은 연마되어, 도 3e에 도시된 바와 같이 핀(303)의 상부에 상부 표면 물질로 제공된 질화물 캡(311)에서 정지된다.
충전재 물질(317)이 핀 어레이의 상부에 대해 평탄화되면, 충전재 물질을 후속적으로 함몰시키는 것이 보다 제어 가능해진다. 예를 들어, SiO 충전재(317)는 도 3f에 도시된 바와 같이 고체 소스 도핑막(315) 및 스크린층(313)과 함께, 하위 나노와이어(309)(또는 나노슬랩)의 것과 나머지 벌크 실리콘 핀(305) 사이의 레벨까지 함몰될 수 있다. 이러한 함몰 종단점은, 실리콘 와이어(307)가 NMOS 게이트 및 PMOS 게이트에 걸쳐 사용되거나 또는 3D 로직에 응용하기 위해 공통 게이트에 사용될 수 있는 디바이스를 형성하는 것을 포함하는 제조 흐름을 위해 사용될 수 있다. 함몰의 위치는 SiGe 와이어가 병합된 응용을 위해 바뀔 수 있다.
도핑막(315) 및 충전재(317)를 함몰시키는 것은 다양한 방식으로 수행될 수 있다. 바람직하게는, SiO 충전재는 도핑막(317)과 1:1 선택도를 갖게 등방성으로 함몰되어 이들 층이 단일 공정 단계에서 제거될 수 있게 한다. 대안적으로, SiO 충전재(317)는 등방성으로 함몰되어, 전체 핀(303)에 걸쳐 도핑막(315)을 남기고, 후속 등방성 에칭 또는 심지어 원자 층 에칭(atomic-layer etch: ALE) 공정을 사용하여 SiO 충전재 물질(317)이 함몰된 상태의 핀으로부터 노출된 도핑 소스막(315)을 소거(clear)할 수 있다. 후속 세정 단계는 모든 도핑 물질이 핀의 실리콘 와이어로부터 소거되는 것을 보장하기 위해 실행될 수 있다.
함몰된 SiO 충전재(317) 및 도핑막(315)의 위치는 도핑 물질이 SiGe층(307)을 통해 Si 나노와이어(309)로 확산되지 않는 것을 보장하도록 설정될 수 있다. 도핑막을 충분히 함몰시키면, SiGe를 통해 도핑이 확산되는 부분이 최바닥 실리콘 와이어 또는 슬랩이 도핑되는 것을 회피할 수 있을 만큼 멀리 있어야 하기 때문에 유익하다. 도 3f에서, 함몰은 벌크 실리콘 핀(305)과 최하위 실리콘 와이어(309) 사이의 레벨까지만 아래로 내려간 것으로 도시되어 있지만, 다른 실시예에서는 이 함몰의 양은 선택 사항으로 벌크 실리콘 핀(307)의 최상위 표면 아래까지 아래로 연장될 수 있다. 주어진 함몰 깊이는 사용되는 도핑 종 및 실리콘과 실리콘 게르마늄 내 도핑 종의 확산 정도에 각각 의존할 수 있다.
일 실시예에서, SiN 또는 BN과 같은 선택 사항인 라이너 물질은 도 3g에 도시된 바와 같이 확산 전에 원자층 증착에 의해 증착될 수 있다. 이 라이너 막(319)은 주입 어닐링 공정 동안 인 또는 비소 도핑 종이 밖으로 확산되는 것을 방지하는 기능을 할 수 있다.
도 1을 다시 참조하면, 단계(105)에서 도핑 물질은 도핑막으로부터 확산되어, 채널 부분 외부 층상화된 핀 구조물에 확산 도핑된 부분을 형성한다. 즉, 도 3a 내지 도 3m의 적층된 나노와이어 구조물에서, 도펀트는 적어도 나노와이어(309)를 포함하는 핀(303)으로 확산된다.
도핑 물질이 확산되는 것은 전용 주입 어닐링 공정에 의해 제공되거나 또는 후속하는 소스/드레인 스파이크 어닐링에 의해 제공되는 추가적인 확산으로 제공될 수 있다. 도 3a 내지 도 3m의 예에서, 도핑 종(예를 들어, 인 또는 비소)을 벌크 실리콘 핀(305) 내로 확산시키지만 실리콘 나노와이어 또는 나노시트(309) 내로 확산시키지는 않도록 주입 어닐링 단계가 실행된다. 최하위 실리콘 나노와이어(309)와 벌크 실리콘 핀(305)의 상부 사이의 SiGe(307)로 확산되는 것은 일부 상황 하에서 허용 가능할 수 있다. 예를 들어, 추가적인 확산은 소스/드레인 스파이크 어닐링 동안 최소이어서 실리콘 나노와이어(309) 내로 확산되는 것이 충분치 않을 수 있다. SiGe(307) 내로 도핑된 물질은 실리콘 나노와이어 방출 단계 동안 나올 수 있다. 또한, 인 또는 비소 도펀트의 총 확산 길이가 주입 어닐링과 스파이크 어닐링을 조합하는 것에 의해 설정되도록 주입 어닐링 공정이 (시간 및/또는 온도에서) 튜닝될 수 있다.
주입 어닐링 공정 동안 SiN 또는 BN과 같은 임시 라이너 물질을 사용하는 실시예에서, 라이너는 후속적으로 제거된다. BN을 사용할 때, 막의 특성은 주입 어닐링 단계 동안 물질이 흘러 들어가서 확산 공정에서 임시 라이너가 본질적으로 제거되도록 구성된다. 주입 어닐링하고 임시 라이너(319)를 제거한 예시적인 결과는 도 3h에 도시되고, 여기서 핀(303)의 이 영역에서 확산 도핑된 부분을 나타내기 위해 벌크 핀은 (305')로 표시되고 SiGe층은 (307')로 표시된다.
일 실시예에서, SiO 충전재 물질(317) 및 고체 소스 도핑막(315)은 확산 후에 핀 어레이로부터 제거된다. 이 점에 의해, 도펀트는 벌크 실리콘 핀(305') 내로 이미 주입되었다. 벌크 핀(305)의 실리콘 표면 상에 잔류하는 도핑막은, 다른 라이너가 도펀트 막(315)의 상부에 증착되지 않는 한, 임의의 후속 열적 공정 동안 웨이퍼 상에 추가적인 도펀트 소스를 제공할 수 있다. 따라서, 도핑막(315)은 핀 상의 제 위치에 남아서, 예를 들어, 소스/드레인 스파이크 어닐링 동안 추가적인 도펀트 소스를 제공할 수 있다. 도 3에 실시예에서, 도펀트 막이 제거되고 나서, 후속적으로 핀 표면으로부터 세정된다. 도펀트를 벌크 실리콘 핀(305') 내로 주입하기 위해 주입 어닐링만이 필요한 경우, 도펀트 소스막(315)이 제거될 수 있다. 벌크 핀(305') 내로 확산되는 것이 주입 어닐링 및 소스/드레인 스파이크 어닐링 공정에 의해 설정되는 경우에, 도핑막은 벌크 실리콘 핀(305') 위에 남아 있을 수 있다. 도핑 소스가 제거될 때 함몰이 수행되고 있는 경우, 공정은 또한 원하는 경우 스크린 산화물(313)을 제거할 수 있다. 예시적인 결과는 도 3i에 도시되어 있다.
도핑 물질이 핀으로 확산된 후, 격리 물질이 도 1의 단계(107)에 도시된 바와 같이 층상화된 핀 구조물의 적어도 확산 도핑된 부분을 덮도록 제공된다. 이 단계에서, 도 3j에 도시된 바와 같이 STI 산화물이 핀 어레이 내로 증착된 후 소스/드레인 스파이크 어닐링 동안 벌크 핀(305')으로부터 인 또는 비소가 STI 산화물 내로 확산되는 것을 방지하기 위해, 라이너가 벌크 실리콘 핀(305') 위에 증착될 수 있다. SiN 라이너(321)는 핀(303)을 덮기 위해 원자층 증착 또는 CVD에 의해 증착될 수 있지만, 이후 STI 함몰 에칭 공정 동안 실리콘 와이어(309)의 높이 아래로 함몰될 수 있다.
STI 격리를 형성할 때, STI 산화물(323)은 막(321) 위에, 및 (기판 상의) 핀 어레이 내 확산 도핑된 부분 위에 증착된다. 이후, STI 산화물(323)은 도 3k에 도시된 바와 같이 핀(303)의 상부에 있는 SiN 캡(311)까지 아래로 연마(제거)된다. 연마 후에, STI 산화물(323)은 원하는 높이까지 아래로 함몰된다. 바람직하게는, STI 산화물(323)은 확산 단계(105)에 의해 야기된 핀(303)의 적어도 확산 도핑된 부분을 덮을 수 있다. 일부 실시예에서, STI 산화물(323)의 바닥은 도핑된 벌크 실리콘(301) 및 도핑된 잔류하는 핀(305) 각각을 STI 산화물(323)로부터 분리시키는 SiN 확산 라이너(321)를 여전히 가질 수 있다. 또한, 일부 실시예에서, SiN 라이너(321)는 실제 나노와이어에서 사용될 수 있는 SiGe 및 Si 부분으로부터만 제거된다. 따라서, SiN 라이너(321)는 또한 STI 산화물(323)의 높이 위 Si/SiGe 핀(303)의 표면으로부터 제거된다. 이것은 층이 단일 공정 단계에서 제거될 수 있도록 선택도가 1:1 선택도로 튜닝될 수 있는 STI 함몰 동안 등방성 에칭을 통해 수행될 수 있다. 대안적으로, 2-단계 에칭 공정이 사용될 수 있는데, 여기서는 STI 산화물(323)이 원하는 높이로 아래로 함몰되고 나서, Si/SiGe 핀(303) 상에 노출된 SiN 라이너(321)가 기상 에칭(화학적 산화물 제거)을 통해 또는 원자 층 에칭을 통해 에칭되어, STI 산화물(323) 위에 Si/SiGe 핀을 드러낼 수 있다. SiN 라이너(321)는 벌크 실리콘 핀(305') 상에 보존되고, 도 3l에 도시된 바와 같이 벌크 실리콘 핀(305') 위에 어느 정도 보존될 수 있다. 라이너(321)의 존재는 소스/드레인 스파이크 어닐링과 같은 후속적인 열 처리 동안 인 또는 붕소 도펀트가 실리콘으로부터 STI 산화물(321)로 확산되는 것을 방지하는 기능을 한다.
STI 산화물 함몰 후에, 핀(303)은 대체 게이트(폴리실리콘) 소거 공정 동안 실리콘 및 실리콘 게르마늄 핀에 일정 선택도를 제공하는 다른 라이너 물질에 의해 보호된다. 도 3m에 도시된 바와 같이, 라이너(325)는 핀(303)의 상부 부분 및 STI 산화물(323) 상에 제공된다. 라이너(325)는 핀(303) 및 이후 나노와이어(307)가 대체 게이트 개방 에칭 공정 동안 손상되지 않는 것을 보장하는 것을 도와준다. 도 3m의 구조물을 처리하여 나노와이어를 계속 방출하여, 도 2에 도시된 바와 같은 소스/드레인 영역 및 게이트 구조물을 형성한다.
따라서, 개시된 발명의 실시예는 GAA 나노와이어 디바이스에서 벌크 핀을 게이트 구조물로부터 전기적으로 격리시키는 고체 소스 도핑 공정을 제공한다. 이러한 고체 소스 확산/도핑 공정은, STI 산화물 내에 격납된 핀이 도핑되고, 게이트와 접촉되는 핀 영역이 (일반적으로 붕소로 p-도핑된) 출발 실리콘과 일치하게 유지되게 남아 있는 FINFET 구조물을 위해 구현되었다. 핀 공정에 작은 피치가 주어지면(8nm의 핀들 사이에 약 14nm의 간격-또는-22nm의 총 피치까지 아래로 연장되는 핀 피치를 고려할 때 불충분한 공간을 갖는 매우 많은 막 증착이 주어지면) FINFET에 응용하기 위한 이러한 공정은 이식형의 응용으로 전환된다.
본 발명의 기술에서, 나노와이어 핀 구조물의 베이스는 도핑되어, 유전체에 의해 쉽게 제거되거나 매립될 수 없는 금속 게이트 아래에 남아 있는 벌크 핀 위 일함수 금속으로 인해 하나의 충전된 게이트로부터 인접한 게이트로 전하가 전달되는 것을 효과적으로 방지한다. 따라서, 본 발명의 기술은 STI 산화물 내에 격납된 실리콘 핀 구조물을 도핑하고 반드시 실리콘 나노와이어 자체를 도핑하는 것은 아니기 위해 고체 소스 도핑을 연장시킨다. 따라서, 본 발명의 기술은 벌크 실리콘을 중화시키면서 종래의 p-도핑 레벨을 유지한다. 전술한 설명에서, 처리 시스템의 특정 기하 구조 및 여기에 사용되는 다양한 구성 요소 및 공정의 설명과 같은 특정 상세가 제시되었다. 그러나, 본 발명의 기술은 이들 특정 상세에서 벗어나는 다른 실시예에서 실시될 수 있고, 이러한 상세는 설명의 목적을 위해 제공된 것일 뿐 본 발명을 제한하려고 제공된 것은 아닌 것으로 이해된다. 본 명세서에 개시된 실시예는 첨부 도면을 참조하여 설명되었다. 유사하게, 설명의 목적으로, 철저한 이해를 제공하기 위해 특정 수, 물질 및 구성이 제시되었다. 그럼에도 불구하고, 이러한 특정 상세없이 실시예가 실시될 수 있다. 실질적으로 동일한 기능 구성을 갖는 구성 요소는 동일한 참조 부호로 표시되어서, 임의의 중복 설명은 생략되었을 수 있다.
다양한 기술이 다양한 실시예를 이해하는데 도움을 주기 위해 다수의 이산 동작으로서 설명되었다. 설명의 순서는 이러한 동작이 반드시 순서에 의존하는 것을 의미하는 것으로 해석되어서는 안 된다. 사실, 이러한 동작은 표현 순서대로 수행될 필요가 없다. 설명된 동작은 설명된 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가 동작이 수행될 수 있고 및/또는 설명된 동작은 추가적인 실시예에서 생략될 수 있다.
본 명세서에서 사용된 "기판" 또는 "타깃 기판"은 일반적으로 본 발명에 따라 처리되는 물체를 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있으며, 예를 들어, 반도체 웨이퍼, 레티클, 또는 베이스 기판 구조물 상의 또는 위의 박막과 같은 층과 같은 베이스 기판 구조물일 수 있다. 따라서, 기판은 패턴화되거나 패턴화되지 않은 임의의 특정 베이스 구조물, 기초 층 또는 상부 층으로 제한되는 것이 아니라, 오히려 임의의 이러한 층 또는 베이스 구조물, 및 층 및/또는 베이스 구조물의 임의의 조합을 포함하는 것으로 고려된다. 본 설명은 특정 유형의 기판을 참조할 수 있지만 이것은 단지 설명을 위한 것이다.
이 기술 분야에 통상의 지식을 가진 자라면 또한 본 발명의 동일한 목적을 여전히 달성하면서 전술한 기술의 동작에 많은 변형을 가할 수 있다는 것을 이해할 수 있을 것이다. 이러한 변형은 본 발명의 범위에 포함되는 것으로 의도된다. 그리하여, 본 발명의 실시예에 대한 전술한 설명은 본 발명을 제한하려고 의도된 것이 아니다. 오히려, 본 발명의 실시예에 대한 임의의 제한은 다음의 청구 범위에서 제시된다.

Claims (20)

  1. 반도체 디바이스를 제조하는 방법으로서,
    층상화된 핀 구조물(layered fin structure)을 갖는 기판을 제공하는 단계로서, 상기 층상화된 핀 구조물은 베이스 핀 부분(base fin portion)을 포함하고, 희생 부분(sacrificial portion)이 상기 베이스 핀 부분 상에 제공되고, 채널 부분이 상기 희생 부분 상에 제공된, 상기 기판을 제공하는 단계;
    상기 층상화된 핀 구조물 위 상기 기판 상에 도핑 소스막(doping source film)을 제공하는 단계;
    상기 도핑 소스막으로부터 도핑 물질을, 상기 채널 부분으로부터 분리된 상기 층상화된 핀 구조물의 부분으로 확산시켜 상기 층상화된 핀 구조물에 확산 도핑된 영역을 형성하는 단계; 및
    상기 층상화된 핀 구조물의 적어도 상기 확산 도핑된 영역 위 상기 기판 상에 격리 물질(isolation material)을 제공하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
  2. 반도체 디바이스를 제조하는 방법으로서,
    층상화된 핀 구조물을 갖는 기판을 제공하는 단계로서, 상기 층상화된 핀 구조물은 베이스 핀 부분을 포함하고, 희생 부분이 상기 베이스 핀 부분 상에 제공되고, 채널 부분이 상기 희생 부분 상에 제공된, 상기 기판을 제공하는 단계;
    상기 층상화된 핀 구조물 위 상기 기판 상에 도핑 소스막을 제공하는 단계;
    상기 도핑 소스막으로부터 도핑 물질을, 상기 채널 부분 이외의 상기 층상화된 핀 구조물의 부분으로 확산시켜 상기 층상화된 핀 구조물에 확산 도핑된 영역을 형성하는 단계; 및
    상기 층상화된 핀 구조물의 적어도 상기 확산 도핑된 영역 위 상기 기판 상에 격리 물질을 제공하는 단계를 포함하고,
    상기 기판을 제공하는 단계는,
    도핑된 Si의 벌크(bulk)로부터 형성된 벌크 핀(bulk fin)으로서 상기 베이스 핀을 제공하는 단계; 및
    상기 벌크 핀 상에 다층 핀 구조물을 제공하는 단계로서, 상기 다층 핀 구조물은 상기 채널 부분을 형성하는 복수의 Si층과 교번하는 복수의 SiGe층으로서 상기 희생 부분을 제공하는 것을 포함하는, 상기 다층 핀 구조물을 제공하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
  3. 제2항에 있어서, 상기 복수의 Si층 각각은 나노와이어(nanowire) 또는 나노슬랩(nanoslab)을 형성하는, 반도체 디바이스를 제조하는 방법.
  4. 제2항에 있어서, 상기 도핑 소스막을 제공하는 단계는 상기 층상화된 핀 구조물의 일부 위에만 상기 도핑 소스막을 제공하는 단계를 포함하되, 상기 도핑 소스막의 위치는 상기 도핑 물질이 상기 채널 부분 내로 확산되는 것을 방지하도록 선택되는, 반도체 디바이스를 제조하는 방법.
  5. 제4항에 있어서, 상기 도핑 소스막의 상기 위치는 상기 층상화된 핀 구조물의 상기 베이스 핀 부분의 적어도 일부를 덮도록 선택되는, 반도체 디바이스를 제조하는 방법.
  6. 제5항에 있어서, 상기 도핑 소스막의 상기 위치는 상기 층상화된 핀 구조물의 상기 베이스 핀 부분을 덮도록 선택되는, 반도체 디바이스를 제조하는 방법.
  7. 제6항에 있어서, 상기 도핑 소스막의 상기 위치는 상기 층상화된 핀 구조물의 상기 희생 부분의 일부를 덮도록 선택되는, 반도체 디바이스를 제조하는 방법.
  8. 제1항에 있어서, 상기 도핑 물질을 확산시켜 확산 도핑된 영역을 형성하는 단계는,
    상기 도핑 물질을 상기 층상화된 핀 구조물로 확산시키기 위해 주입 어닐링 가열(drive anneal heating)을 수행하는 단계; 및
    상기 도핑 물질이 상기 층상화된 핀 구조물의 상기 채널 부분으로 확산되는 것을 방지하기 위해 상기 주입 어닐링 가열의 시간과 온도 중 적어도 하나를 튜닝(tuning)하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
  9. 제8항에 있어서, 상기 확산시켜 확산 도핑된 영역을 형성하는 단계는 소스/드레인 스파이크 어닐링 가열(spike anneal heating)을 수행하여 상기 도핑 물질을 상기 층상화된 핀 구조물로 확산시키는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
  10. 제1항에 있어서, 상기 격리 물질을 제공하는 단계는 상기 층상화된 핀 구조물의 적어도 상기 확산 도핑된 영역을 덮기 위해 상기 기판 상에 얕은 트렌치 격리층(shallow trench isolation layer)을 형성하는 단계를 포함하는, 반도체 디바이스를 제조하는 방법.
  11. 제1항에 있어서, 상기 층상화된 핀 구조물 위에 스크린층을 제공하는 단계를 더 포함하고, 상기 도핑 소스막은 상기 스크린층 상에 제공되는, 반도체 디바이스를 제조하는 방법.
  12. 제1항에 있어서, 상기 확산 전에 상기 도핑 소스막 위에 라이너(liner)를 제공하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
  13. 제1항에 있어서, 상기 도핑 소스막으로부터 상기 도핑 물질을 확산시키기 전에, 상기 층상화된 핀 구조물의 채널 부분 아래에 상기 도핑 소스막을 함몰(recess)시키는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020197015325A 2016-11-14 2017-11-14 나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법 KR102326463B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662421522P 2016-11-14 2016-11-14
US62/421,522 2016-11-14
PCT/US2017/061550 WO2018090007A1 (en) 2016-11-14 2017-11-14 Method of preventing bulk silicon charge transfer for nanowire and nanoslab processing

Publications (2)

Publication Number Publication Date
KR20190072622A KR20190072622A (ko) 2019-06-25
KR102326463B1 true KR102326463B1 (ko) 2021-11-12

Family

ID=62108252

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197015325A KR102326463B1 (ko) 2016-11-14 2017-11-14 나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법

Country Status (5)

Country Link
US (2) US10490630B2 (ko)
KR (1) KR102326463B1 (ko)
CN (1) CN109952654B (ko)
TW (1) TWI746696B (ko)
WO (1) WO2018090007A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI746696B (zh) * 2016-11-14 2021-11-21 日商東京威力科創股份有限公司 奈米線及奈米平板處理用之本體矽電荷轉移之預防方法
KR102574454B1 (ko) 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10868127B2 (en) * 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10643906B2 (en) * 2017-12-15 2020-05-05 Micron Technology, Inc. Methods of forming a transistor and methods of forming an array of memory cells
US10971630B2 (en) * 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
CN110189997B (zh) * 2019-04-28 2022-07-12 中国科学院微电子研究所 堆叠纳米片环栅晶体管及其制备方法
US11075266B2 (en) 2019-04-29 2021-07-27 International Business Machines Corporation Vertically stacked fin semiconductor devices
US11417781B2 (en) * 2020-03-25 2022-08-16 Intel Corporation Gate-all-around integrated circuit structures including varactors
WO2022094041A1 (en) * 2020-10-30 2022-05-05 Tokyo Electron Limited High precision 3d metal stacking for a plurality of 3d devices
US11315938B1 (en) 2020-12-18 2022-04-26 International Business Machines Corporation Stacked nanosheet rom
US20230088753A1 (en) * 2021-09-23 2023-03-23 Intel Corporation Gate-all-around integrated circuit structures having doped subfin

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100207208A1 (en) 2009-02-17 2010-08-19 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20150303284A1 (en) 2014-04-16 2015-10-22 International Business Machines Corporation Punch through stopper in bulk finfet device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8735990B2 (en) * 2007-02-28 2014-05-27 International Business Machines Corporation Radiation hardened FinFET
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
DE112011106004B4 (de) 2011-12-23 2017-07-13 Intel Corporation Halbleiterstruktur und Verfahren zum Herstellen einer CMOS-Nanodraht-Halbleiterstruktur
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US9793403B2 (en) 2015-04-14 2017-10-17 Samsung Electronics Co., Ltd. Multi-layer fin field effect transistor devices and methods of forming the same
US10903210B2 (en) * 2015-05-05 2021-01-26 International Business Machines Corporation Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture
US9953874B2 (en) * 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9728621B1 (en) * 2016-09-28 2017-08-08 International Business Machines Corporation iFinFET
TWI746696B (zh) * 2016-11-14 2021-11-21 日商東京威力科創股份有限公司 奈米線及奈米平板處理用之本體矽電荷轉移之預防方法
WO2018090001A1 (en) * 2016-11-14 2018-05-17 Tokyo Electron Limited Method of forming gate spacer for nanowire fet device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100207208A1 (en) 2009-02-17 2010-08-19 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20150303284A1 (en) 2014-04-16 2015-10-22 International Business Machines Corporation Punch through stopper in bulk finfet device

Also Published As

Publication number Publication date
TW201830693A (zh) 2018-08-16
WO2018090007A1 (en) 2018-05-17
CN109952654B (zh) 2023-05-05
US20190140050A1 (en) 2019-05-09
TWI746696B (zh) 2021-11-21
US10665672B2 (en) 2020-05-26
CN109952654A (zh) 2019-06-28
KR20190072622A (ko) 2019-06-25
US20180138268A1 (en) 2018-05-17
US10490630B2 (en) 2019-11-26

Similar Documents

Publication Publication Date Title
KR102326463B1 (ko) 나노와이어 및 나노슬랩 처리를 위해 벌크 실리콘으로 전하가 이동하는 것을 방지하는 방법
US10868179B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
US9142649B2 (en) Semiconductor structure with metal gate and method of fabricating the same
KR101388347B1 (ko) 고유전율 금속 게이트 장치를 위한 콘택
US9564434B2 (en) Semiconductor device with body spacer at the bottom of the fin and method for manufacturing the same
CN107039435B (zh) 鳍式场效应晶体管结构及其制造方法
US9142651B1 (en) Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
TW201735265A (zh) 半導體結構及其製造方法
KR102326112B1 (ko) 반도체 소자
CN110299358B (zh) 包括鳍型场效应晶体管的半导体器件及其制造方法
CN107346782B (zh) 鳍型场效应晶体管及其制造方法
CN107845579B (zh) 在垂直晶体管器件上形成底部与顶部源极/漏极区的方法
US10014296B1 (en) Fin-type field effect transistors with single-diffusion breaks and method
TW201731099A (zh) 鰭型場效電晶體及其製造方法
TWI720283B (zh) 在先進裝置中用於增進裝置效能之側壁工程
KR102293884B1 (ko) 반도체 소자의 제조 방법
CN106158857B (zh) 半导体元件及其制作方法
US9450094B1 (en) Semiconductor process and fin-shaped field effect transistor
CN108122853B (zh) 半导体元件与制造半导体元件的方法
CN116153863A (zh) 半导体元件及其制作方法
CN109309048B (zh) 半导体结构及其形成方法
CN114068700A (zh) 半导体结构及其形成方法
CN116504828A (zh) 半导体元件

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant