KR20200142158A - 반도체 장치 - Google Patents

반도체 장치 Download PDF

Info

Publication number
KR20200142158A
KR20200142158A KR1020190068892A KR20190068892A KR20200142158A KR 20200142158 A KR20200142158 A KR 20200142158A KR 1020190068892 A KR1020190068892 A KR 1020190068892A KR 20190068892 A KR20190068892 A KR 20190068892A KR 20200142158 A KR20200142158 A KR 20200142158A
Authority
KR
South Korea
Prior art keywords
gate electrode
layer
channel layers
substrate
channel
Prior art date
Application number
KR1020190068892A
Other languages
English (en)
Inventor
이지혜
양문승
김정택
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190068892A priority Critical patent/KR20200142158A/ko
Priority to US16/750,273 priority patent/US11183562B2/en
Publication of KR20200142158A publication Critical patent/KR20200142158A/ko
Priority to US17/511,778 priority patent/US11862682B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

본 개시의 일 실시예는, 기판 상에서 제1 방향으로 연장된 활성 영역과, 상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들과, 상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장된 게이트 전극과, 상기 게이트 전극의 상기 제1 방향에 따른 양측에 각각 배치되며, 상기 복수의 채널층들 각각에 연결된 소스/드레인 영역을 포함하며, 상기 게이트 전극에서 상기 복수의 채널층들 중 최상위 채널층 상에 위치한 부분은 상기 제1 방향으로 상기 소스/드레인 영역과 중첩된 부분을 가지며, 상기 게이트 전극의 중첩된 부분은 상기 기판의 상면을 향하여 경사진 측면을 갖는 반도체 장치를 제공한다.

Description

반도체 장치{SEMICONDUCTOR DEVICES}
본 발명의 기술적 사상은 반도체 장치에 관한 것이다.
최근에는, 반도체 소자의 다운-스케일링(down-scaling)이 급속도로 진행되고 있다. 또한, 반도체 소자는 빠른 동작속도와 함께 동작에 관한 정확성도 요구되기 때문에, 반도체 소자에 포함되는 트랜지스터의 구조 최적화를 위한 다양한 연구가 진행되고 있다. 특히, 집적회로 소자의 밀도를 높이기 위한 스케일링 기술 중 하나로서, 기판 상에 활성 핀을 형성하고, 상기 활성 핀을 이용하여 게이트를 형성하는 3차원 구조의 채널을 갖는 FinFET을 포함하는 반도체 장치를 개발하기 위한 노력이 진행되고 있다.
본 개시에서 해결하고자 하는 기술적 과제 중 하나는, 전기적 특성이 향상된 3차원 구조의 채널을 갖는 반도체 장치를 제공하는데 있다.
본 개시의 일 실시예는, 기판 상에서 제1 방향으로 연장된 활성 영역과, 상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들과, 상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장된 게이트 전극과, 상기 게이트 전극의 상기 제1 방향에 따른 양측에 각각 배치되며, 상기 복수의 채널층들 각각에 연결된 소스/드레인 영역을 포함하며, 상기 게이트 전극에서 상기 복수의 채널층들 중 최상위 채널층 상에 위치한 부분은 상기 제1 방향으로 상기 소스/드레인 영역과 중첩된 부분을 가지며, 상기 게이트 전극의 중첩된 부분은 상기 기판의 상면을 향하여 경사진 측면을 갖는 반도체 장치를 제공한다.
본 개시의 일 실시예는, 기판 상에서 제1 방향으로 연장된 활성 영역과, 상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들과, 상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장되는 게이트 전극과, 상기 게이트 전극의 적어도 일 측에 배치되며, 상기 복수의 채널층들에 연결된 소스/드레인 영역을 포함하며, 상기 제1 방향에 따른 단면에서, 상기 게이트 전극은 상기 복수의 채널층들 중 최상위 채널층의 상면에 접하는 부분의 폭이 상기 소스/드레인 영역의 상면과 동일한 레벨 부분의 폭보다 작은 반도체 장치를 제공한다.
본 개시의 일 실시예는, 기판 상에서 제1 방향으로 연장된 활성 영역과, 상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들과, 상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장된 게이트 전극과, 상기 게이트 전극의 상기 제1 방향에 따른 양측에 각각 배치되며, 상기 복수의 채널층들 각각에 연결된 소스/드레인 영역을 포함하며, 상기 게이트 전극은, 상기 복수의 채널층들 중 최상위 채널층 상에 위치하는 제1 및 제2 부분을 가지며, 상기 제2 부분은 상기 제1 방향으로 상기 소스/드레인 영역과 중첩된 부분으로 정의되며, 상기 제1 부분은 상기 제2 부분 상에 배치되고, 상기 게이트 전극의 제1 부분과 및 제2 부분 사이에 배치된 실리콘 캡층을 더 포함하는 반도체 장치를 제공한다.
본 개시의 일 실시예에 따르면, 채널층들의 채널 길이(channel lenght)의 차이를 감소시킴으로써 소스/드레인 영역의 에피택셜에 의한 스트레인 편차 및/또는 도펀트 확산 편차를 저감시킬 수 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시예를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이다.
도 2는 도 1의 반도체 장치의 Ⅰ-Ⅰ' 선에 따른 단면도이다.
도 3은 도 2에 도시한 반도체 장치의 A 영역을 나타내는 확대도이다.
도 4는 도 1에 도시한 반도체 장치의 Ⅱ-Ⅱ' 선에 따른 단면도이다.
도 5는 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이다.
도 6 내지 도 15는 도 1의 반도체 장치 제조방법의 주요 공정들을 설명하기 위한 단면도들이다.
도 16은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 단면도이다.
이하, 첨부된 도면을 참조하여 본 발명의 다양한 실시예들을 상세히 설명하기로 한다.
도 1은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이며, 도 2는 도 1의 반도체 장치의 Ⅰ-Ⅰ' 선에 따른 단면도이고, 도 3은 도 1에 도시한 반도체 장치의 Ⅱ-Ⅱ' 선에 따른 단면도이다.
도 1 및 도 2를 참조하면, 본 발명의 일 실시예에 따른 반도체 장치(100)는 기판(101) 상에 활성 영역인 돌출부(104) 및 돌출부(104)와 교차하도록 배치되는 복수의 게이트 전극들(130)을 포함할 수 있다.
돌출부(104)는 제1 방향(예, X 방향)으로 연장될 수 있다. 복수의 게이트 전극들(130)은 상기 제1 방향과 교차하는 제2 방향(예, Y 방향)으로 연장될 수 있다. 복수의 게이트 전극들(130)의 양측에는 임베디드(embedded) 소스/드레인 영역들(107)이 배치될 수 있다. 소스/드레인 영역들(107) 사이에는 상기 제1 방향으로 복수의 게이트 전극들(130)을 관통하는 복수의 채널층들(120)이 배치될 수 있다. 복수의 게이트 전극들(130)은 복수의 채널층들(120)을 각각 둘러싸도록 형성될수 있다.
도 2 및 도 4를 함께 참조하면, 본 발명의 실시예에 따른 반도체 장치(100)는, 기판(101), 분리 절연층(103), 소스/드레인 영역(107), 게이트 절연막(110), 게이트 전극(130), 게이트 스페이서(140), 게이트 캡층(150) 및 층간 절연층(165)을 포함할 수 있다.
기판(101)은 반도체 기판일 수 있다. 상기 반도체 기판은 Ⅳ족 반도체, Ⅲ-Ⅴ족 화합물 반도체, Ⅱ-Ⅵ족 화합물 반도체를 포함할 수 있다. 기판(101)은 실리콘 온 인슐레이터(SOI) 기판일 수 있다.
기판(101)은 제1 방향으로 연장되는 핀(fin)형상인 돌출부(104)를 포함할 수 있다. 분리 절연층(103)은 기판(101)의 돌출부(104)의 측면을 덮도록 기판(101) 상에 배치될 수 있다. 분리 절연층(103)의 상면은 돌출부(104)의 상면보다 낮을 수 있다. 돌출부(104)의 상부는 분리 절연층(103)의 상면보다 돌출될 수 있다. 돌출부(104)는 "활성 영역"이라고도 할 수 있다.
돌출부(104) 상에 상기 제1 방향으로 연장되는 복수의 채널층들(120)이 기판(101)의 상면에 수직한 제3 방향(예, Z 방향)에서 서로 이격되어 배치될 수 있다. 본 실시예에서, 상기 채널층들(120)은 3개로 예시되어 있으나, 이들의 개수는 특별히 한정되지 않는다. 상기 채널층들(120)은 반도체 패턴들로 이루어질 수 있다. 예를 들어, 상기 반도체 패턴들은 실리콘(Si), 실리콘 게르마늄(SiGe), 및 게르마늄(Ge) 중 적어도 하나를 포함할 수 있다.
게이트 전극들(130)의 양측에 위치한 돌출부(104) 영역에는 소스/드레인 영역들(107)이 배치될 수 있다. 소스/드레인 영역들(107)은 채널층들(120)에 각각 연결될 수 있다. 게이트 전극(130)은 상기 복수의 채널층들(120)을 둘러싸며 상기 제1 방향(예, X 방향)과 교차하는 제2 방향(예, Y 방향)으로 연장될 수 있다. 상기 게이트 전극(130)과 상기 복수의 채널층들(120) 사이의 게이트 절연막(110)이 배치된다.
구체적으로, 도 4에 도시된 바와 같이 상기 게이트 전극(130)은 상기 채널층들(120)을 둘러싸도록 형성되면서, 제2 방향에 따라 돌출부(104)의 상면과 분리 절연층(103)의 상면에도 배치될 수 있다. 또한, 상기 게이트 전극(130)의 상면 상에 게이트 캡층(150)을 배치되고, 상기 게이트 전극(130)의 측면들에는 게이트 스페이서들(140)이 배치될 수 있다. 상기 게이트 절연막(110)은 상기 채널층들(120)의 각각과 상기 게이트 전극(130) 사이에 개재될 수 있고, 상기 채널층들(120)의 각각의 외부 표면을 둘러쌀 수 있다.
채널층들(120) 사이에는 게이트 절연막(110) 및 게이트 전극(130)이 배치되어 채널층들(120)이 서로 분리될 수 있으며, 채널층들(120)은 게이트 절연막(110) 및 게이트 전극(130)에 둘러싸일 수 있다. 채널층들(120)은 두께보다 폭이 넓은 시트(sheet) 형상을 가질 수 있다. 본 실시예에서, 복수의 채널층들(120)의 모서리들은 각진 형상으로 도시되어 있으나, 이에 한정되지 않는다. 복수의 채널층들(120)의 모서리들은 곡률을 가질 수 있다. 다른 일부 실시예에서, 복수의 채널층들(120)은 원형의 단면 또는 타원형의 단면을 가지는 와이어(wire) 구조를 가질 수 있다
상기 게이트 절연막(110)은 상기 게이트 전극(130)의 바닥면을 따라 연장될 수 있고, 상기 게이트 전극(130)과 상기 활성 영역인 돌출부(104) 사이 및 상기 게이트 전극(130)과 상기 분리 절연층(103) 사이에 각각 개재될 수 있다.
이와 같이, 상기 게이트 전극(130), 상기 채널층들(120) 및 상기 소스/드레인 영역(1SD)은 게이트-올-어라운드(Gate-All-Around)형 전계 효과 트랜지스터를 구성할 수 있다.
상기 게이트 전극(130)은 도핑된 반도체, 도전성 금속 질화물 및/또는 금속을 포함할 수 있다. 예를 들어, 상기 게이트 전극(130)은 TiN, WN 및 TaN와 같은 금속 질화물 및/또는 Ti, W, Ta와 같은 금속을 포함할 수 있다. 상기 게이트 절연막(110)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 고유전막 중 적어도 하나를 포함할 수 있다. 상기 고유전막은 하프늄 산화막(HfO), 알루미늄 산화막(AlO) 또는 탄탈륨 산화막(TaO)과 같이 실리콘 산화막보다 유전상수가 큰 물질을 포함할 수 있다. 상기 게이트 스페이서(140) 및 상기 게이트 캡층(150) 각각은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산질화막 중 적어도 하나를 포함할 수 있다.
도 2에 도시된 단면에서, 상기 게이트 전극(130)은 상기 복수의 채널층들(120)의 최상위 채널층(120T) 상에 위치한 부분(130A,130B)과, 복수의 채널층(120) 사이에 배치된 부분(130C)을 갖는다. 상기 최상위 채널층(120T) 상에 위치한 부분(130A,130B)은 상기 제1 방향으로 상기 소스/드레인 영역(107)과 중첩되는 부분(130B)을 갖는다.
도 3은 도 2의 A 부분을 나타내는 확대도이다. 도 3을 참조하면, 상기 소스/드레인 영역(107)의 상면은 상기 게이트 전극(130)이 최상위 채널층(120T)의 상면과 접하는 면의 레벨(LG)보다 높은 레벨(LSD)에 위치할 수 있다.
상기 게이트 전극(130)의 중첩된 부분(130B)은 상기 기판(101)의 상면을 향하여 경사진 측면(S)을 갖는다. 일부 실시예에서, 상기 경사진 측면(S)의 경사각(θ)은 상기 기판(101)의 상면을 기준으로 50°∼ 80°범위일 수 있다. 구체적으로, 상기 게이트 전극(130)은 상기 최상위 채널층(120T)의 상면에 접하는 표면의 폭(Wa)이 상기 소스/드레인 영역(107)의 상면과 동일한 레벨에서의 폭(Wb)보다 작은 단면 형상을 갖는다.
한편, 게이트 전극(130)의 제2 부분(130B)의 측면은 경사진 평면 또는 곡면을 가질 수 있다. 또한, 도 3에 도시된 바와 같이, 상기 게이트 전극(130) 중 상기 최상위 채널층(120T) 상에 위치한 제2 부분(130B)과 상기 소스/드레인 영역(107) 사이까지 상기 게이트 절연막(110)이 연장될 수 있다.
이와 같이, 본 실시예에 따른 게이트 전극(130)은 상기 제1 방향에 따른 단면에서 볼 때에 고유한 단면 형상을 갖는다. 그 결과, 상기 최상위 채널층(120T)의 채널 길이(또는 폭)와 인접한 다른 채널층(120)의 채널 길이(또는 폭)의 편차를 크게 감소시킬 수 있다. 도 2를 참조하면, 복수의 채널층들(120)은 대체적으로 유사한 길이를 갖는 것으로 도시되어 있으나, 실제로 일부 채널층들(120)은 위치에 따라 다른 길이를 가질 수 있다.
특히, 소스/드레인 영역(107)을 위한 리세스 형성(또는 확장)과정(도 11 참조)에서, 나노시트 구조체의 상부 영역에 언더 컷(C)이 형성되므로, 최상위층으로 채널층이 배치되는 경우에 그 최상위의 채널층은 인접한 채널층과 상대적으로 큰 폭을 가질 수 있다. 이와 같이, 채널 길이의 편차가 큰 경우에, 소스/드레인 영역(107)에 의한 스트레인(strain)의 편차와 소스/드레인 영역(107)의 도펀트 확산 정도의 편차가 더욱 크게 발생하므로, 소자 성능의 열화의 원인이 될 수 있다.
하지만, 본 실시예에 따르면, 최상위 채널층(120T)이 나노시트 구조체의 최상부에 위치하지 않고, 그 위치에 게이트 전극(130)의 제2 부분(130B)이 형성되므로, 채널 길이의 편차를 감소시키고, 채널층들(120)의 균일한 성능을 보장할 수 있다. 예를 들어, 상기 제1 방향에 따른 단면에서, 본 실시예에 따른 최상위 채널층(120T)의 폭은 인접한 다른 채널층(120)의 폭과 20% 이하의 편차를 가질 수 있으며, 채널 길이에 따른 성능 편차를 크게 감소시킬 수 있다.
다른 측면에서, 소스/드레인 영역(107)은 게이트 전극의 제2 부분(130B)과 접하는 측면이 경사진 측면을 가질 수 있다. 일부 실시예에서, 소스/드레인 영역들(107)의 측면도 게이트 전극(130)의 제2 부분(130B)의 측면과 유사하게 경사진 평면 또는 곡면을 가질 수도 있다.
소스/드레인 영역(107)은 서로 조성이 다른 제1 및 제2 에피택셜들(107a, 107b)을 포함할 수 있다. 예를 들어, 상기 제1 및 제2 에피택셜들(107a,107b)은 게르마늄(Ge) 함량이 다른 SiGe을 포함하고, 제2 에피택셜(107b)의 Ge 함량은 제1 에피택셜(107a)의 Ge 함량보다 높을 수 있다. 다른 실시예에서, 3개 이상의 에피택셜 영역으로 구분하고, 점차 게르마늄의 함량이 증가하도록 증착할 수 있다.
소스/드레인 영역들(107)의 상면이 게이트 전극의 제2 부분보다 높은 레벨로 형성되는 것으로 예시되어 있으나, 이에 한정되지 않고 소스/드레인 영역들(107)이 모든 채널층들(120)에 각각 연결되도록 최상부의 채널층(120T)의 상면보다 높게 형성되면 만족한다. 소스/드레인 영역(107)의 상면이 볼록한 곡면을 갖도록 형성될 수 있으나, 이에 한정되지는 않는다.
게이트 전극(130)은 소스/드레인 영역들(107) 사이에 배치되고, 기판(101) 상에서 상기 제1 방향과 교차하는 제2 방향(예를 들어, Y축 방향)으로 연장될 수 있다. 게이트 전극(130)과 소스/드레인 영역들(107)은 게이트 절연막(110)에 의해 절연될 수 있다. 게이트 전극(130)은 채널층들(120)을 감싸도록 형성될 수 있다. 게이트 절연막(110)은 게이트 전극(130)과 채널층들(120) 사이 및 게이트 전극들(130)과 게이트 스페이서들(140) 사이에 배치될 수 있다. 게이트 전극(130)은 분리 절연층(103) 상에도 형성될 수 있다. 게이트 전극(130)과 분리 절연층(103) 사이에도 게이트 절연막(110)이 배치될 수 있다.
게이트 전극(130)의 양 측벽에는 게이트 전극(130)과 동일한 방향으로 연장되는 게이트 스페이서들(140)이 배치될 수 있다. 게이트 스페이서들(140)은 실리콘 산질화물(SiON), 실리콘 질화물(SiN), SiOC, SiOCN, SiBCN 또는 이들의 조합으로 형성될 수 있다. 게이트 전극(130) 상에는 게이트 전극을 보호하는 게이트 캡층(150)이 배치될 수 있다. 게이트 캡층(150)은 실리콘 질화물을 포함할 수 있다.
도 3을 참조하면, 게이트 절연막(110)은 복수의 층을 포함할 수 있으며, 일 실시예로, 게이트 절연막(110)은 제1 절연층(111) 및 제2 절연층(112)을 포함할 수 있다. 제1 절연층(111) 및 제2 절연층(112)은 서로 다른 유전율을 가질 수 있으며, 제2 절연층(112)의 유전율이 제1 절연층(111)의 유전율보다 더 클 수 있다. 이 경우, 제2 절연층(112)이 제1 절연층(111)보다 게이트 전극(130)에 더 가깝게 배치될 수 있다. 즉, 제1 절연층(111)이 제2 절연층(112)보다 채널층(120)에 더 가깝게 배치될 수 있다. 한편, 상대적으로 더 높은 유전율을 갖는 제2 절연층(112)은 제1 절연층(111)보다 큰 두께를 가질 수 있다.
상대적으로 높은 유전율을 갖는 제2 절연층(112)은 고유전율 유전 물질을 포함할 수 있다. 상기 고유전율 유전 물질은, 알루미늄 산화물(Al2O3), 탄탈륨 산화물(Ta2O3), 티타늄 산화물(TiO2), 이트륨 산화물(Y2O3), 지르코늄 산화물(ZrO2), 지르코늄 실리콘 산화물(ZrSixOy), 하프늄 산화물(HfO2), 하프늄 실리콘 산화물(HfSixOy), 란탄 산화물(La2O3), 란탄 알루미늄 산화물(LaAlxOy), 란탄 하프늄 산화물(LaHfxOy), 하프늄 알루미늄 산화물(HfAlxOy), 프라세오디뮴 산화물(Pr2O3) 또는 이들의 조합 중 어느 하나일 수 있다.
채널층들(120) 사이에는 게이트 전극(130)에 포함되는 복수의 금속층들 모두가 배치될 수 있다. 게이트 절연막(110)에 인접하여 배리어 금속층(131)이 배치되고, 배리어 금속층(131) 상에 일함수 금속층(132)이 배치되고, 일함수 금속층(132) 상에 게이트 금속층(133)이 배치될 수 있다. 일부 실시예에서, 일부 층을 생략하거나 추가할 수 있다. 예를 들어, 채널층들(120) 사이의 공간이 게이트 절연막(110)과 함께, 배리어 금속층(131) 및 일함수 금속층(132)으로만 채워질 수 있다.
배리어 금속층(131)은 TiN, TaN, TaSiN, TiSiN 등의 금속 질화물을 포함할 수 있다. 일함수 금속층(132)은 반도체 장치(100)의 문턱 전압을 결정할 수 있다. 일부 실시예에서, 일함수 금속층(132)은 서로 적층된 복수의 금속층들을 포함할 수 있다. 예를 들어, 일함수 금속층(132)은 루테늄(Ru), 팔라듐(Pd), 플래티늄(Pt), 코발트(Co), 니켈(Ni) 또는 이들의 조합을 포함할 수 있다. 게이트 금속층(133)은 텅스텐 등의 금속 물질로 형성될 수 있다.
층간 절연층(165)이 임베디드 소스/드레인 영역들(107)을 덮을 수 있다. 층간 절연층(165)의 상면은 게이트 캡층(150)의 상면과 공면을 형성할 수 있다. 상기 층간 절연막(165)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 저유전막들 중 적어도 하나를 포함할 수 있다. 상기 게이트 캡층(150)은 상면은 상기 층간 절연층(165)의 상면과 실질적으로 공면을 이룰 수 있다. 상기 게이트 스페이서들(140)은 상기 게이트 캡층(150)과 상기 층간 절연층(165) 사이에 개재될 수 있다.
상기 층간 절연층(165)을 관통하여, 상기 소스/드레인 영역들(107)과 각각 연결되는 콘택 플러그들(175)이 제공될 수 있다. 상기 콘택 플러그들(175)은 상기 소스/드레인 영역들(107)과 접촉할 수 있다. 상기 콘택 플러그들(175)의 표면에는 도전성 배리어(171)이 형성될 수 있다. 상기 콘택 플러그들(175)은, 상기 제1 방향에 따라 상기 복수의 채널층들(120) 중 최상위 채널층(120T)에 중첩되도록 상기 소스/드레인 영역(107)에 리세스될 수 있다. 예를 들어, 도전성 배리어(171)는 TiN, TaN 또는 WN 등과 같은 금속 질화물로 형성될 수 있다. 콘택 플러그(175)는 텅스텐(W), 코발트(Co), 티타늄(Ti), 이들의 합금 또는 이들의 조합으로 형성될 수 있다.
도 5는 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이다.
도 5을 참조하면, 본 실시예에 따른 반도체 장치(100A)는, 채널층들(CH)의 양측에 내부 스페이서들(106)이 형성된 점을 제외하고 도 1 내지 도 4에 도시된 반도체 장치(100)와 유사한 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 및 도 2에 도시된 반도체 장치(100)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
본 실시예에 따른 반도체 장치(100A)는 복수의 채널층들(120) 사이에서 상기 게이트 전극(130)의 상기 제1 방향(예, X 방향)을 따른 양측에 배치된 내부 스페이서들(106)을 더 포함할 수 있다. 상기 내부 스페이서들(106)은 상기 게이트 전극(130)과 상기 소스/드레인 영역(107) 사이에 배치될 수 있다. 예를 들어, 상기 내부 스페이서들(106)은 SiN, SiCN, SiON, SiBN, SiOCN, SiBCN 및 SiOC로 구성된 그룹으로부터 선택된 적어도 하나를 포함할 수 있다.
상기 내부 스페이서들(106)은 후술될 공정 중 소소/드레인 영역(107) 형성과정에서 리세스 형성 후(도 10의 공정) 그리고 에피택셜 성장 전(도 12의 공정)에 형성될 수 있다. 구체적으로, 리세스 형성 후 그리고 에피택셜 성장 전에 희생 패턴들(160)을 부분적으로 식각하고, 그 식각된 공간에 절연체를 증착함으로써 내부 스페이서들(106)을 형성할 수 있다. 이러한 공정에서 게이트 전극(130)에서 최상위 채널층(120T) 상에 위치한 부분의 양측도 리세스에 의해 노출되어 식각됨으로써 도 5에 도시된 바와 같이 내부 스페이서들(106T)이 형성될 수 있다. 이러한 내부 스페이서들(106T)은 경사면을 따라 형성되므로, 다른 채널층들(120)의 내부 스페이서들(106)과 다른 형상을 가질 수 있다.
도 6 내지 도 15는 도 1의 반도체 장치 제조방법의 주요 공정들을 설명하기 위한 단면도들이다. 구체적으로, 도 6, 8 및 10 내지 15는 도 1의 I-I' 절단선을 따라 절단된 단면도들이고, 도 7 및 9는 도 1의 Ⅱ-Ⅱ' 절단선을 따라 절단된 단면도들이다.
도 6을 참조하면, 기판(101) 상에 복수의 희생층들(160')과 복수의 반도체층들(120')이 교대로 적층될 수 있다.
최상부에 희생층(160)이 위치하도록 기판(101) 상에 복수의 희생층들(160')과 복수의 반도체층들(120')을 교대로 배치된 적층 구조체를 형성할 수 있다. 본 실시예에 채용된 적층 구조체는 4개의 희생층들(160')과 3개의 반도체층들(120')을 포함하는 것으로 도시되어 있으나, 이에 한정되지 않는다. 복수의 반도체층들(120')은 반도체 물질을 포함할 수 있고, 복수의 희생층들(160')은 복수의 반도체층(120')과 식각 선택성을 갖는 반도체 물질을 포함할 수 있다. 예를 들어, 복수의 반도체층들(120')은 실리콘(Si)을 포함할 수 있고, 복수의 희생층들(160')은 실리콘-게르마늄(SiGe)을 포함할 수 있다. 복수의 희생층들(160')은 게르마늄 함량이 30% 이상인 실리콘-게르마늄일 수 있다.
복수의 반도체층들(120')과 복수의 희생층들(160')의 두께는 실시예에 따라 다양하게 변형될 수 있다. 복수의 반도체층(120')의 두께 및 복수의 희생층들(160')의 두께는 각각 수 ㎚ 내지 수십 ㎚ 일 수 있다. 예를 들어, 복수의 희생층들(160')의 두께는 복수의 반도체층들(120')의 두께보다 클 수 있다.
이어, 도 7을 참조하면, 기판(101) 상의 복수의 반도체층들(120')과 복수의 희생층들(160')의 일부를 선택적으로 제거하여 핀 구조체(FS)를 형성할 수 있다. 핀 구조체(FS)는 기판(101) 상에서 제1 방향(예, X 방향)으로 연장될 수 있다.
복수의 반도체층들(120') 및 복수의 희생층들(160')의 적층 구조체에 마스크 패턴을 이용한 이방성 식각 공정을 적용함으로써, 핀 구조체(FS)가 형성될 수 있다. 핀 구조체(FS)를 형성하는 공정에서, 기판(101)의 일부가 제거되어, 기판(101)에 돌출부(104)가 형성될 수 있다. 기판(101)의 상기 돌출부(104)는 복수의 반도체층들(120') 및 복수의 희생층들(160')과 함께 핀 구조체(FS)를 구성할 수 있다. 기판(101)의 일부가 제거된 영역에는 분리 절연층(103)이 형성될 수 있다. 분리 절연층(103)은 상기 돌출부(104)의 측면을 일부 덮을 수 있다. 분리 절연층(103)의 상면은 기판(101) 상의 돌출부(104)의 상면보다 낮게 형성될 수 있다. 즉, 기판(101) 상의 돌출부(104)는 분리 절연층(103) 위로 돌출될 수 있다. 핀 구조체(FS) 및 분리 절연층(103)이 형성된 후에, 상기 마스크 패턴은 제거될 수 있다.
다음으로, 도 8 및 도 9를 참조하면, 핀 구조체(FS)와 교차하는 더미 게이트 패턴(DG)가 형성될 수 있다.
더미 게이트 패턴(DG) 상에 캡층(135)이 더 형성될 수 있다. 더미 게이트 패턴(DG)의 양 측벽들에 게이트 스페이서들(140) 및 희생 스페이서들(142)이 형성될 수 있다. 더미 게이트 패턴(DG)과 핀 구조체(FS) 사이에 식각 정지층(128)이 배치될 수 있다.
더미 게이트 패턴(DG)은 제2 방향(예, Y 방향)으로 연장될 수 있다. 식각 정지층(128), 게이트 스페이서들(140) 및 희생 스페이서들(142)은 더미 게이트 패턴(DG)와 동일한 방향으로 연장될 수 있다. 더미 게이트 패턴(DG) 및 식각 저지층(128)은 분리 절연층(103) 상으로 돌출된 핀 구조체(FS)를 덮을 수 있다.
더미 게이트 패턴(DG)는 폴리 실리콘 등의 반도체 물질로 형성될 수 있다. 게이트 스페이서들(140)은 실리콘 산질화물(SiON), 실리콘 질화물(SiN), SiOC, SiOCN, SiBCN 또는 이들의 조합으로 형성될 수 있다. 식각 저지층(128)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 중 선택된 적어도 하나의 층으로 형성될 수 있으며 .
이어, 도 10을 참조하면, 더미 게이트들(130A)의 양측에 위치한 핀 구조체(FS)의 일부 영역에 제1 리세스들(R1)을 형성할 수 있다.
본 공정은 캡핑층(135), 더미 게이트 패턴(DG) 및 게이트 스페이서들(140)을 식각 마스크로 이용하는 이방성 건식 식각 공정을 적용함으로써 수행될 수 있다. 핀 구조체(FS)의 노출된 일부 영역은 선택적으로 제거되어 제1 리세스들(R1)이 형성될 수 있다. 상기 이방성 건식 식각 공정에 의해 더미 게이트 패턴(DG)의 아래에 복수의 채널층들(120)이 형성될 수 있다. 더불어, 복수의 채널층들(120) 사이에는 복수의 희생패턴들(160)이 형성될 수 있다. 상기 제1 리세스들(R1)에 의해 기판(101)의 상면의 일부가 노출될 수 있다. 상기 이방성 건식 식각 공정에 의해 기판(101)의 상면의 일부가 식각될 수 있다.
다음으로, 도 11을 참조하면, 등방성 건식 식각 공정을 이용하여 상기 제1 리세스들(R1)을 확장시킬 수 있다.
더미 게이트 패턴(DG)의 양측에 위치한 제1 리세스들(R1)은 등방성 식각 공정에 의해 게이트 스페이서(140) 및 희생 스페이서(142)의 아래로 확장되어 제2 리세스들(R2)이 형성될 수 있다. 또한, 상기 제2 리세스들(R2)은 노출된 기판(101)의 상면도 추가적으로 식각되어 얻어질 수 있다.
본 공정에서, 핀 구조체(AF)의 상부 영역(C), 즉 최상위 희생패턴(160T)은 경사진 측면들을 가질 수 있다. 반면에, 복수의 채널층들(120) 중 최상위 채널층(120T)은 경사진 상부 영역(C)에 위치하지 않으므로, 경사진 측면을 갖거나 인접한 다른 채널층(120)의 길이과 비교적 유사한 길이를 갖도록 형성될 수 있다.
이어, 도 12를 참조하면, 확장된 제2 리세스들(R2) 내에 선택적 에피택셜 성장(Selective Epitaxial Growth, SEG) 공정을 이용하여 기판(101)의 상면으로부터 소스/드레인 영역들(107)을 형성할 수 있다.
전세정(precleaning) 공정에 의해 희생 스페이서들(142)이 제거될 수 있다. 임베디드 소스/드레인 영역들(107)을 형성하기 전에, 상기 제2 리세스들(R2) 내에 선택적 에피택셜 성장을 통해서 소스/드레인 영역들(107)을 형성할 수 있다. 소스 드레인 영역들(107)은 서로 다른 조성을 갖는 복수의 에피택셜 영역을 포함할 수 있다. 예를 들어, 소스 드레인 영역들(107)은 상기 제1 및 제2 에피택셜들(107a,107b)은 Ge 함량이 다른 SiGe을 포함하고, 제2 에피택셜(107b)의 Ge 함량은 제1 에피택셜(107a)의 Ge 함량보다 높을 수 있다.
일부 실시예들에서, 상기 소스/드레인 영역(107)은 상기 채널층들(CH)에 인장성 스트레인을 제공하도록 구성될 수 있다. 일 예로, 상기 채널층들(CH)이 실리콘(Si)를 포함하는 경우, 상기 소스/드레인 영역(SD)은 실리콘(Si) 및/또는 실리콘 카바이드(SiC)를 포함할 수 있다. 다른 실시예들에서, 상기 소스/드레인 영역(SD)은 상기 채널층들(CH)에 압축성 스트레인을 제공하도록 구성될 수 있다. 일 예로, 상기 채널층들(CH)이 실리콘(Si)를 포함하는 경우, 상기 소스/드레인 영역(SD)은 실리콘 게르마늄(SiGe)을 포함할 수 있다. 상기 소스/드레인 영역(SD)은 도펀트를 더 포함할 수 있다. 상기 도펀트는 상기 소스/드레인 영역(SD)을 포함하는 트랜지스터의 전기적 특성을 개선하기 위해 채용될 수 있다. 상기 트랜지스터(TR)가 N-MOSFET인 경우, 상기 도펀트의 일 예로, 인(P)일 수 있다. 상기 트랜지스터(TR)가 P-MOSFET인 경우, 상기 도펀트의 일 예로, 보론(B)일 수 있다. 특정 도전형 도펀트로 도프될 수 있다. 예를 들어, p형 도펀트로 도프될 경우에, 상기 p형 도펀트는 선택적 에피택셜 성장 공정 중에 인시츄로 주입되거나 후속의 이온 주입 공정에 의해 주입될 수 있다.
다음으로, 도 13을 참조하면, 더미 게이트 패턴(DG) 및 식각 저지층(128)을 제거하여 제1 개구부(OPa)를 형성할 수 있다.
제1 개구부(OPa)를 형성하기 전에, 더미 게이트 패턴(DG)를 덮는 층간 절연층(165)을 형성할 수 있다. 층간 절연층(170)은 소스/드레인 영역들(107)을 덮도록 게이트 스페이서(140)의 외측에 형성될 수 있다. 층간 절연층(165)은 절연 물질을 도포하는 공정 및 평탄화 공정에 의해 형성될 수 있다. 상기 평탄화 공정에 의해 캡핑층(135)이 제거되고, 더미 게이트 패턴(DG)가 노출될 수 있다. 더미 게이트 패턴(DG) 및 식각 저지층(128)이 순차적으로 제거될 수 있다.
이어, 도 14를 참조하면, 복수의 희생패턴들(160)을 선택적으로 제거하여 제2 및 제3 개구부(OPb,OPc)를 형성할 수 있다.
제2 개구부(OPb)는 최상위 희생패턴(160T)이 제거된 영역이며, 제3 개구부(OPc)는 복수의 채널층들(120) 사이에 위치한 희생 패턴들(160)이 제거된 영역이다. 제2 개구부(OPb)는 경사진 상부 영역에 해당되므로, 경사진 측면을 가지면서 제3 개구부(OPc)의 폭보다 큰 폭을 갖는다. 제2 및 제3 개구부(OPb,OPc)는 제1 개구부(OPa)와 연결되어 하나의 개구부(OP)를 구성할 수 있다.
예를 들어, 복수의 채널층들(120)은 Si을 포함할 수 있으며, 복수의 희생패턴들(160)은 SiGe을 포함할 수 있다. 복수의 희생패턴들(160)을 선택적으로 제거하기 위하여 Si에 비해 SiGe의 식각률이 큰 식각액을 사용할 수 있다. 예를 들면, 과산화수소(H2O2), 불화수소산(HF) 및 초산(CH3COOH)을 포함하는 식각액, 수산화 암모늄(NH4OH), 과산화수소(H2O2) 및 탈이온수(H2O)를 포함하는 식각액, 과초산(peracetic acid)을 포함하는 식각액 또는 이들의 조합을 이용할 수 있다.
다음으로, 도 15를 참조하면, 개구부(OP)에 게이트 절연막(110)과 게이트 전극(130)을 형성한다.
상기 개구부(OP)는, 앞서 설명한 바와 같이, 게이트 스페이서들(140) 사이에 위치한 제1 개구부(OPa)와, 상기 제1 개구부(OPa) 아래에서 최상위 채널층(120T) 상에 위치한 제2 개구부(OPb)와, 복수의 채널층들 사이에 위치한 제3 개구부(OPc)를 포함한다.
본 공정에서, 게이트 절연막(110)은 개구부(OP)의 노출된 표면들에 컨포멀하게 형성될 수 있다. 채널층들(120) 각각을 둘러싸도록 게이트 절연막(110)이 형성될 수 있다. 또한, 제1 개구부(OPa)에 노출된 게이트 스페이서(140)의 양 측벽 및 제2 개구부(OPb)에 노출된 소스/드레인 영역(107)의 일부 표면과 최상위 채널층(120T) 상면에도 컨포멀하게 형성될 수 있다. 상기 소스/드레인 영역(107)의 노출된 표면은 경사진 표면을 갖는다. 이어, 게이트 절연막(110) 상에 게이트 전극(130)을 제2 방향(y)으로 연장되도록 형성된다. 구체적으로, 상기 게이트 전극(130)은 제1 및 제2 개구부(OPa,OPb)와, 상기 복수의 채널층들(120) 사이의 제3 개구부(OPc)에 채워지도록 형성될 수 있다.
게이트 절연막(110)은 실리콘 산화막보다 높은 유전 상수를 갖는 고유전체 물질을 포함할 수 있다. 예를 들어, 게이트 절연막(110)은 각각 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(Aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 또는 납 아연 니오브산염(lead zinc niobate) 중에서 하나 이상을 포함할 수 있으나, 이에 한정되는 것은 아니다.
게이트 전극(130)은 도전성 물질을 포함할 수 있다. 예를 들어, 게이트 전극(130)은 TiN, WN, TaN, Ru, TiC, TaC, Ti, Ag, Al, TiAl, TiAlN, TiAlC, TaCN, TaSiN, Mn, Zr, W, Al 중 적어도 하나를 포함할 수 있다. 게이트 전극(130)은 단일층으로 도시되었지만, 이에 한정되는 것은 아니다. 예를 들어, 게이트 전극(130)은 앞서 설명한 바와 같이, 일함수 조절을 하는 일함수 도전층과, 일함수 조절을 하는 일함수 도전층에 의해 형성된 공간을 채우는 도전층을 포함할 수 있다.
도 16은 본 발명의 일 실시예에 따른 반도체 장치를 나타내는 평면도이다.
도 16을 참조하면, 본 실시예에 따른 반도체 장치(100B)는, 게이트 전극(130)의 상부 영역(130A,130B)에 실리콘 캡층(125)이 개재된 점만을 제외하고 도 1 내지 도 4에 도시된 반도체 장치(100)와 유사한 것으로 이해할 수 있다. 또한, 본 실시예의 구성요소는 특별히 반대되는 설명이 없는 한, 도 1 및 도 2에 도시된 반도체 장치(100)의 동일하거나 유사한 구성요소에 대한 설명을 참조하여 이해될 수 있다.
상기 게이트 전극(130')은, 앞선 실시예와 유사하게 상기 복수의 채널층들(120) 중 최상위 채널층(120T) 상에 위치하는 제1 및 제2 부분(130A,130B)을 가지며, 상기 제2 부분(130B)은 상기 제1 방향으로 상기 소스/드레인 영역(107)과 중첩된 부분으로 정의되며, 상기 제1 부분(130A)은 상기 제2 부분(130B) 상에 배치될 수 있다.
본 실시예에 따른 반도체 장치(100B)는, 상기 게이트 전극(130)의 제1 부분(130A)과 및 제2 부분(130B) 사이에 배치된 반도체 캡층(125)을 더 포함할 수 있다. 반도체 캡층(125)은 도 6의 공정에서 희생층(160') 상에 반도체층(120')과 유사한 반도체 캡층(125)을 보호층으로서 형성함으로써 얻어질 수 있다.
예를 들어, 반도체 캡층(125)은 반도체층(120')과 동일한 실리콘을 포함할 수 있으나, 최종 구조에서는 채널층으로 작용하지 않으면서 희생층을 보호하는 보호층으로 작용하도록 상대적으로 얇은 두께로 형성될 수 있다. 반도체 캡층(125)의 두께(t1)은 반도체층(120)의 두께(t2)보다 얇게 형성될 수 있다. 예를 들어, 반도체 캡층(125)의 두께(t1)은 반도체층(120)의 두께(t2)의 50% 이하로 형성될 수 있다.
도 16에 도시된 바와 같이, 제1 방향에 따른 단면에서, 상기 게이트 전극(130)의 제2 부분(130b)은 상기 기판(101)의 상면을 향하여 경사진 측면을 갖는다. 또한, 게이트 절연막(110)은 상기 게이트 전극(130)과 상기 채널층들(120) 사이는 물론, 상기 게이트 전극(130)과 상기 반도체 캡층(125) 사이에도 배치될 수 있다.
상기 소스/드레인 영역(107)의 상면은 상기 게이트 전극(130)의 제2 부분(130b)의 상면 또는 그보다 낮은 레벨을 갖도록 형성될 수 있다. 따라서, 상기 반도체 캡층(125)은 상기 소스/드레인 영역(107)에 연결되지 않고, 그 결과 채널층으로 작용하지 않을 수 있다.
이상에서 설명한 본 발명이 전술한 실시예 및 첨부된 도면에 한정되지 않으며, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것은, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
101: 기판
103: 분리 절연층
104: 돌출부
107: 소스/드레인 영역
110: 게이트 절연막
120: 채널층
130: 게이트 전극
140: 게이트 스페이서
160: 희생 패턴
165: 층간 절연층
DG: 더미 게이트 패턴

Claims (10)

  1. 기판;
    상기 기판 상에서 제1 방향으로 연장된 활성 영역;
    상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들;
    상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장된 게이트 전극; 및
    상기 게이트 전극의 상기 제1 방향에 따른 양측에 각각 배치되며, 상기 복수의 채널층들 각각에 연결된 소스/드레인 영역;을 포함하며,
    상기 게이트 전극에서 상기 복수의 채널층들 중 최상위 채널층 상에 위치한 부분은 상기 제1 방향으로 상기 소스/드레인 영역과 중첩된 부분을 가지며, 상기 게이트 전극의 중첩된 부분은 상기 기판의 상면을 향하여 경사진 측면을 갖는 반도체 장치.
  2. 제1항에 있어서,
    상기 제1 방향에 따른 단면에서, 상기 최상위 채널층의 폭은 인접한 다른 채널층의 폭과 20% 이하의 편차를 갖는 반도체 장치.
  3. 제1항에 있어서,
    상기 경사진 측면의 경사각은 상기 기판의 상면을 기준으로 50°∼ 80°범위인 반도체 장치.
  4. 제1항에 있어서,
    상기 복수의 채널층들 사이에서 상기 게이트 전극의 상기 제1 방향을 따른 양측에 배치된 내부 스페이서들을 더 포함하는 반도체 장치.
  5. 기판;
    상기 기판 상에서 제1 방향으로 연장된 활성 영역;
    상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들;
    상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장되는 게이트 전극; 및
    상기 게이트 전극의 적어도 일 측에 배치되며, 상기 복수의 채널층들에 연결된 소스/드레인 영역;을 포함하며,
    상기 제1 방향에 따른 단면에서, 상기 게이트 전극은 상기 복수의 채널층들 중 최상위 채널층의 상면에 접하는 부분의 폭이 상기 소스/드레인 영역의 상면과 동일한 레벨에서의 폭보다 작은 반도체 장치.
  6. 제5항에 있어서,
    상기 소스/드레인 영역의 상면은 상기 게이트 전극의 상기 최상위 채널층의 상면에 접하는 면의 레벨보다 높은 레벨에 위치하는 반도체 장치.
  7. 제5항에 있어서,
    상기 게이트 전극 중 상기 최상위 채널층 상에 위치한 부분은 상기 제1 방향으로 상기 소스/드레인 영역과 중첩된 영역을 가지며,
    상기 게이트 전극의 상기 중첩된 영역은 상기 기판의 상면을 향하여 경사진 측면을 갖는 반도체 장치.
  8. 기판;
    상기 기판 상에서 제1 방향으로 연장된 활성 영역;
    상기 활성 영역 상에 상기 기판의 상면과 수직한 방향으로 배치된 복수의 채널층들;
    상기 복수의 채널층들을 각각 둘러싸며, 상기 제1 방향과 교차하는 제2 방향으로 연장된 게이트 전극; 및
    상기 게이트 전극의 상기 제1 방향에 따른 양측에 각각 배치되며, 상기 복수의 채널층들 각각에 연결된 소스/드레인 영역;을 포함하며,
    상기 게이트 전극은, 상기 복수의 채널층들 중 최상위 채널층 상에 위치하는 제1 및 제2 부분을 가지며, 상기 제2 부분은 상기 제1 방향으로 상기 소스/드레인 영역과 중첩된 부분으로 정의되며, 상기 제1 부분은 상기 제2 부분 상에 배치되고,
    상기 게이트 전극의 제1 부분과 및 제2 부분 사이에 배치된 실리콘 캡층을 더 포함하는 반도체 장치.
  9. 제8항에 있어서,
    상기 제1 방향에 따른 단면에서, 상기 게이트 전극의 제2 부분은 상기 기판의 상면을 향하여 경사진 측면을 갖는 반도체 장치.
  10. 제9항에 있어서,
    상기 게이트 전극과 상기 채널층 사이와, 상기 게이트 전극과 상기 실리콘 캡층 사이에 배치된 게이트 절연막을 더 포함하는 반도체 장치.
KR1020190068892A 2019-06-11 2019-06-11 반도체 장치 KR20200142158A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020190068892A KR20200142158A (ko) 2019-06-11 2019-06-11 반도체 장치
US16/750,273 US11183562B2 (en) 2019-06-11 2020-01-23 Semiconductor device
US17/511,778 US11862682B2 (en) 2019-06-11 2021-10-27 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190068892A KR20200142158A (ko) 2019-06-11 2019-06-11 반도체 장치

Publications (1)

Publication Number Publication Date
KR20200142158A true KR20200142158A (ko) 2020-12-22

Family

ID=73744586

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190068892A KR20200142158A (ko) 2019-06-11 2019-06-11 반도체 장치

Country Status (2)

Country Link
US (2) US11183562B2 (ko)
KR (1) KR20200142158A (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11489063B2 (en) * 2019-08-30 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Method of manufacturing a source/drain feature in a multi-gate semiconductor structure
US11316046B2 (en) * 2020-02-27 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US11444199B2 (en) * 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11404274B2 (en) 2020-08-18 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11469326B2 (en) * 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
US11380685B2 (en) * 2020-10-02 2022-07-05 Qualcomm Incorporated Semiconductor device with superlattice fin
KR20220092689A (ko) * 2020-12-24 2022-07-04 삼성전자주식회사 반도체 장치 및 제조방법
US11569348B2 (en) * 2021-02-26 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of fabrication thereof
US20220285561A1 (en) * 2021-03-05 2022-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices With Modified Source/Drain Feature And Methods Thereof
US11843032B2 (en) * 2021-03-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with channel and method for forming the same
US20220367725A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and related methods
US20220367728A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Nano-Sheet-Based Complementary Metal-Oxide-Semiconductor Devices with Asymmetric Inner Spacers
CN116207132B (zh) * 2022-01-14 2024-03-15 北京超弦存储器研究院 薄膜晶体管及其制备方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6992319B2 (en) 2000-07-18 2006-01-31 Epitaxial Technologies Ultra-linear multi-channel field effect transistor
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9831323B2 (en) 2016-03-11 2017-11-28 Samsung Electronics Co., Ltd. Structure and method to achieve compressively strained Si NS
US9941405B2 (en) 2016-03-21 2018-04-10 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
KR102574454B1 (ko) 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10297664B2 (en) 2017-04-13 2019-05-21 Globalfoundries Inc. Nanosheet transistor with uniform effective gate length
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Also Published As

Publication number Publication date
US11862682B2 (en) 2024-01-02
US11183562B2 (en) 2021-11-23
US20200395446A1 (en) 2020-12-17
US20220052161A1 (en) 2022-02-17

Similar Documents

Publication Publication Date Title
US11862682B2 (en) Semiconductor device
KR102483549B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
US10665723B2 (en) Semiconductor device having channel regions
US9337193B2 (en) Semiconductor device with epitaxial structures
CN113659004B (zh) 半导体元件及其制作方法
CN111106174B (zh) 包括功能层的半导体器件及其制造方法
US11699728B2 (en) Semiconductor device including fin-FET and misaligned source and drain contacts
CN116705613A (zh) 半导体元件及其制作方法
CN106252391B (zh) 半导体结构及其制作方法
US11195917B2 (en) Semiconductor device
TWI612666B (zh) 一種製作鰭狀場效電晶體的方法
US9711567B2 (en) Process for fabricating an integrated circuit cointegrating a FET transistor and an OxRAM memory location
TWI788487B (zh) 半導體元件
KR20210002329A (ko) 반도체 디바이스 및 제조 방법
CN114678416A (zh) 半导体装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal