CN114678416A - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN114678416A
CN114678416A CN202210319629.7A CN202210319629A CN114678416A CN 114678416 A CN114678416 A CN 114678416A CN 202210319629 A CN202210319629 A CN 202210319629A CN 114678416 A CN114678416 A CN 114678416A
Authority
CN
China
Prior art keywords
region
insulating film
gate
impurity region
gate stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210319629.7A
Other languages
English (en)
Inventor
郑会晟
姜太星
申东石
李公洙
李准原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN114678416A publication Critical patent/CN114678416A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供了一种半导体装置。所述半导体装置包括:基底,包括形成有单元区域的第一区域和形成有核心‑外围区域的第二区域;栅极堆叠件,位于基底的第二区域上,栅极堆叠件包括包含氧化物的第一栅极绝缘膜、位于第一栅极绝缘膜上的包括铪的第二栅极绝缘膜、位于第二栅极绝缘膜上的包括镧和氮化钛的第一电极、位于第一电极上的第二电极;栅极堆叠绝缘膜,接触栅极堆叠件的侧表面和顶表面;杂质区域,具有设置在基底的第二区域中位于栅极堆叠件的至少一侧上的堆垛层错;氮化硅膜,覆盖杂质区域的上表面,氮化硅膜与栅极堆叠绝缘膜接触;以及接触件,穿过氮化硅膜延伸至杂质区域。

Description

半导体装置
本申请是申请日为2019年3月7日、申请号为201910171174.7、题为“制造半导体装置的方法”的专利申请的分案申请。
技术领域
本公开总体上涉及电子学领域,更具体地,涉及一种制造半导体装置的方法。
背景技术
集成电路装置可以在其中包括数百万或数十亿个晶体管。晶体管可以作为开关操作以允许电荷载流子(例如,电子)在导通时流动并且防止电荷载流子在截止时流动。晶体管的性能会受电荷载流子迁移率的影响。电荷载流子迁移率是表示电荷载流子随着电场的存在而移动的速度的标准。如果电荷载流子迁移率增大,则可以为晶体管的较高开关速度提供固定电压,或者可以对相同的开关速度施加较低的电压。
发明内容
根据本发明构思的一些实施例的制造半导体装置的方法可以通过对位于存储器装置(例如,动态随机存取存储器(DRAM))的核心-外围区域中的晶体管应用应力记忆技术(SMT)来增强性能。
根据本发明构思的一些实施例的制造半导体装置的方法可以通过由于在去除栅极间隔件之后执行额外的离子注入工艺减小沟道区的宽度来增强性能。
根据本发明构思的一些实施例,制造半导体装置的方法可以包括:在基底的核心-外围区域上形成栅极结构。基底还可以包括单元区域。所述方法还可以包括在栅极结构的侧壁上形成栅极间隔件;通过执行第一离子注入工艺在基底的核心-外围区域中形成与栅极间隔件相邻的第一杂质区域;去除栅极间隔件;通过执行第二离子注入工艺在基底的核心-外围区域中并且在栅极结构和第一杂质区域之间形成第二杂质区域;在栅极结构、第一杂质区域的上表面和第二杂质区域的上表面上形成应力膜;通过由于执行退火工艺使第一杂质区域和第二杂质区域结晶来形成再结晶区域。
根据本发明构思的一些实施例,制造半导体装置的方法可以包括在基底的核心-外围区域上形成栅极结构。基底还可以包括单元区域。所述方法还可以包括在栅极结构的相对侧壁上形成栅极间隔件;通过执行第一离子注入工艺在基底的核心-外围区域中形成第一杂质区域。每个第一杂质区域可以相邻于栅极间隔件中的相应的栅极间隔件,第一杂质区域可以限定位于基底的核心-外围区域中且位于第一杂质区域之间的第一沟道区,第一沟道区可以具有第一宽度。方法还可以包括:去除栅极间隔件;通过执行第二离子注入工艺在基底的核心-外围区域中形成第二杂质区域;在栅极结构、第一杂质区域的上表面和第二杂质区域的上表面上形成应力膜;通过由于执行退火工艺使第一杂质区域和第二杂质区域结晶来形成再结晶区域。每个第二杂质区域可以相邻于栅极结构的相对侧壁中的相应侧壁,第二杂质区域可以限定位于基底的核心-外围区域中且位于第二杂质区域之间的第二沟道区,第二沟道区可以具有比第一宽度窄的第二宽度。
根据本发明构思的一些实施例,制造半导体装置的方法可以包括:在基底的核心-外围区域上形成第一栅极结构和第二栅极结构。第一栅极结构和第二栅极结构可以在第一方向上彼此间隔开,基底还可以包括单元区域。所述方法还可以包括:在第一栅极结构的侧壁上形成第一栅极间隔件,并且在第二栅极结构的侧壁上形成第二栅极间隔件;通过执行第一离子注入工艺在基底的核心-外围区域中并且在第一栅极间隔件和第二栅极间隔件之间形成第一杂质区域;去除第一栅极间隔件和第二栅极间隔件;通过执行第二离子注入工艺在基底的核心-外围区域的第一部分和第二部分中形成第二杂质区域。基底的核心-外围区域的第一部分位于第一栅极结构和第一杂质区域之间,基底的核心-外围区域的第二部分位于第二栅极结构和第一杂质区域之间。所述方法还可以包括在第一栅极结构、第二栅极结构、第一杂质区域的上表面和第二杂质区域的上表面上形成应力膜;通过由于执行退火工艺使第一杂质区域和第二杂质区域结晶来形成再结晶区域。
本发明构思旨在解决的目的不限于上述目的,并且基于下面提供的描述,本领域技术人员可以清楚地理解上面未提及的其它目的。
附图说明
通过参照附图详细地描述本发明构思的示例实施例,对于本领域技术人员来说,本发明构思的以上和其它对象、特征和优点将变得更加清楚,在附图中:
图1是通过根据本发明构思的一些实施例的方法制造的半导体装置的布图;
图2是沿图1的线A-A和B-B截取的剖视图;
图3至图13是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图;
图14是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图;
图15至图17是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图;
图18和图19是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图。
具体实施方式
在下文中,参照图1和图2,将描述通过根据本发明构思的一些实施例的方法制造的半导体装置。
图1是通过根据本发明构思的一些实施例的方法制造的半导体装置的布图。图2是沿图1的线A-A和B-B截取的剖视图。
参照图1和图2,通过根据一些实施例的方法制造的半导体装置包括单元区域和核心-外围区域。在一些实施例中,核心-外围区域可以位于单元区域的边缘,如图1中所示。
本发明构思涉及一种存储器元件,存储器元件包括DRAM装置、闪存装置和PRAM装置中的任何一种。在一个实施例中,例如DRAM装置、闪存装置和PRAM装置的存储器元件可以位于单元区域上。在下文中,将描述DRAM作为示例。然而,本发明构思不限于此。
基底100包括形成有单元区域的第一区域R1,以及形成有核心-外围区域的第二区域R2。
基底100可以是基体基底和外延层彼此堆叠的结构,但是本发明构思不限于此。在一些实施例中,基底100可以是硅基底、砷化镓基底、硅锗基底、陶瓷基底、石英基底、用于显示的玻璃基底以及绝缘体上半导体(SOI)基底中的任何一种。在下文中,将描述硅基底作为示例。基底100可以具有第一导电类型(例如,P型导电性),但是本发明构思不限于此。
单元区域包括单元有效区域10、元件隔离区域11、沟槽20、栅电极21、覆盖图案22、栅极绝缘膜23、第一源区/漏区30a、第二源区/漏区30b、层间绝缘膜40、第一接触插塞50、位线60和第二接触插塞70。
位线60和用作字线的栅电极21可以布置在基底100上。具体地,单元有效区域10和元件隔离区域11可以形成在基底100上。在这种情况下,两个晶体管可以形成在单个单元有效区域10中。
两个晶体管可以包括形成为与单元有效区域10交叉的两个栅电极21、形成在单元有效区域10中且在两个栅电极21之间的第一源区/漏区30a以及形成在栅电极21和元件隔离区域11之间的第二源区/漏区30b。在一些实施例中,两个晶体管共用第一源区/漏区30a并且不共用第二源区/漏区30b,如图2中所示。
在一些实施例中,栅极绝缘膜23可以沿形成在基底100中的沟槽20的侧壁和底表面形成。栅极绝缘膜23可以包括例如氧化硅或具有比氧化硅高的介电常数的高k介电材料。
在一些实施例中,栅电极21可以不完全填充沟槽20并且可以填充沟槽20的一部分(例如,下部分)。也就是说,栅电极21可以呈凹陷的形状。
栅电极21可以通过使用例如掺杂的多晶硅、氮化钛(TiN)、氮化钽(TaN)、氮化钨(WN)、钛(Ti)、钽(Ta)和钨(W)中的任何一种来形成,但是本发明构思不限于此。
覆盖图案22可以形成在栅电极21上以填充在沟槽20中(例如,沟槽20的上部分)。覆盖图案22可以包括绝缘材料,并且例如可以包括氧化硅、氮化硅和氮氧化硅中的至少一种。
层间绝缘膜40可以形成在基底100上。层间绝缘膜40可以包括例如氧化硅、氮化硅和氮氧化硅中的至少一种。层间绝缘膜40可以是单层或多层。
第一接触插塞50可以形成在层间绝缘膜40中,以与第一源区/漏区30a电连接。第一接触插塞50可以包括导电材料,并且例如可以包括多晶硅、金属硅化物、导电金属氮化物和金属中的至少一种,但是本发明构思不限于此。
位线60可以形成在第一接触插塞50上,以与第一接触插塞50电连接。位线60可以包括导电材料,并且例如可以包括多晶硅、金属硅化物、导电金属氮化物和金属中的至少一种。然而,本发明构思不限于此。
第二接触插塞70可以形成在层间绝缘膜40中,以延伸穿过层间绝缘膜40。第二接触插塞70可以与第二源区/漏区30b电连接。第二接触插塞70可以包括存储节点接触件。
第二接触插塞70可以包括导电材料,并且例如可以包括多晶硅、金属硅化物、导电金属氮化物和金属中的至少一种。然而,本发明构思不限于此。
第二接触插塞70可以与形成在第二接触插塞70上的下电极(例如,电容器的电极)电连接,并且具有圆柱形或柱形形状。
核心-外围区域包括第一栅极结构1100、第二栅极结构1200、再结晶区域500、蚀刻停止膜600、层间绝缘膜700、接触件710和沟道区920。
第一栅极结构1100可以形成为在第二方向Y上延伸。在一些实施例中,第一栅极结构1100可以在第二方向Y上纵向地延伸。第一栅极结构1100可以包括栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、第三导电膜1004、覆盖膜1005和第一栅极堆叠绝缘膜1111。
栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、第三导电膜1004和覆盖膜1005可以顺序地堆叠在基底100的沟道区920上并且可以在第二方向Y上延伸。
具体地,栅极绝缘膜1001可以形成在基底100的沟道区920上。栅极绝缘膜1001可以包括例如氧化硅,但是本发明构思不限于此。
第一导电膜1002可以形成在栅极绝缘膜1001上。第一导电膜1002可以包括例如多晶硅,但是本发明构思不限于此。
第二导电膜1003可以形成在第一导电膜1002上。第二导电膜1003可以包括例如TiSiN,但是本发明构思不限于此。
第三导电膜1004可以形成在第二导电膜1003上。第三导电膜1004可以包括例如钨(W),但是本发明构思不限于此。
覆盖膜1005可以形成在第三导电膜1004上。覆盖膜1005可以包括例如氮化硅,但是本发明构思不限于此。
在一些实施例中,第一栅极堆叠绝缘膜1111可以共形地形成为覆盖栅极堆叠件的上表面和侧壁,栅极堆叠件包括栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、第三导电膜1004和覆盖膜1005,如图2中所示。将理解的是,“A层共形地形成为覆盖B”意味着“A层形成为沿B的表面具有均匀的厚度”。此外,将理解的是,“A层覆盖B层”意味着“A层部分地或完全地覆盖B层”。
第一栅极堆叠绝缘膜1111可以包括例如氮化硅,但是本发明构思不限于此。
在一些实施例中,高k介电膜和/或至少一个功函数调整膜可以形成在栅极绝缘膜1001和第一导电膜1002之间。
高k介电膜可以包括例如氧化铪(HfO)、硅酸铪(HfSiO)、氮氧化铪(HfON)、氮氧化铪硅(HfSiON)、氧化镧(LaO)、氧化镧铝(LaAlO)、氧化锆(ZrO)、硅酸锆(ZrSiO)、氧氮化锆(ZrON)、氧氮化锆硅(ZrSiON)、氧化钽(TaO)、氧化钛(TiO)、氧化钡锶钛(BaSrTiO)、氧化钡钛(BaTiO)、氧化锶钛(SrTiO)、氧化钇(YO)、氧化铝(AlO)、氧化铅钪钽(PbScTaO)或其组合,但本发明构思不限于此。
至少一个功函数调整膜可以包括例如钨(W)、钽(Ta)、铝(Al)、钌(Ru)、铂(Pt)、氮化钛(TiN)、氮化钽(TaN)、碳化钛(TiC)、碳化钽(TaC)、Al2O3/TiN、Al2O3/TaN、Al/TiN、Al/TaN、TiN/Al/TiN、TaN/Al/TaN、TiN/TiON、TaN/TiON、Ta/TiN、TaN/TiN、Mg/TiN、TiN/Mg/TiN、La/TiN、TiN/La/TiN、Sr/TiN、TiN/Sr/TiN或它们的组合,但本发明构思不限于此。
第二栅极结构1200可以形成为与第一栅极结构1100在第一方向X上间隔开并且可以在第二方向Y上延伸。第二栅极结构1200可以包括栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、第三导电膜1004、覆盖膜1005和第二栅极堆叠绝缘膜1121。
第二栅极结构1200可以具有与第一栅极结构1100基本相同的结构。也就是说,第二栅极结构1200可以包括栅极堆叠件以及共形地形成为覆盖栅极堆叠件的上表面和侧壁的第二栅极堆叠绝缘膜1121,在栅极堆叠件中,栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、第三导电膜1004和覆盖膜1005顺序地堆叠在基底100的沟道区920上。
然而,在一些实施例中,第二栅极结构1200可以具有与第一栅极结构1100不同的结构。
再结晶区域500可以形成在基底100中并在第一栅极结构1100的两侧上以及在第二栅极结构1200的两侧上。再结晶区域500可以在形成时为非晶区域,然后可以通过退火工艺使再结晶区域500再结晶。退火工艺可以使基底100的通过离子注入工艺变为非晶的部分(例如,下面讨论的第一杂质区域200和第二杂质区域300)结晶。
沟道区920可以形成在第一栅极结构1100的下部分的下方并且在第二栅极结构1200的下部分的下方。沟道区920可以形成在基底100中并且在再结晶区域500之间。
再结晶区域500可以包括沿(111)晶面形成的堆垛层错510。例如,堆垛层错510的堆垛层错面为(111)晶面。在一个实施例中,堆垛层错510可以从再结晶区域500的与第一栅极结构1100相邻的下表面500a延伸,以相对于再结晶区域500的下表面500a具有锐角θ,如图2中所示。
在一些实施例中,再结晶区域可以包括从再结晶区域的与栅极结构相邻的下部分延伸的堆垛层错,如图2中所示。堆垛层错可以相对于再结晶区域的下表面形成锐角。
堆垛层错510可以使再结晶区域500的晶格变形并且可以影响耦合长度。例如,堆垛层错510可以通过由于使再结晶区域500的晶格变形造成拉应力,来减小原子间耦合长度。
通过在形成堆垛层错510的过程中在沟道区920中感应应力来减小沟道区920的原子间距,可以增大沟道区920中的电荷载流子迁移率。在下面将对其进行更详细地描述。
蚀刻停止膜600可以形成为覆盖第一栅极结构1100、第二栅极结构1200以及再结晶区域500的上表面。
蚀刻停止膜600可以包括例如氮化硅(SiN)、氮氧化硅(SiON)、碳氮化硅(SiCN)、氧碳氮化硅(SiOCN)、氧碳化硅(SiOC)和低k介电材料中的至少一种,但本发明构思不限于此。
层间绝缘膜700可以形成为覆盖蚀刻停止膜600。接触件710可以形成在再结晶区域500上,以贯穿层间绝缘膜700和蚀刻停止膜600。接触件710的一部分可以形成为埋入再结晶区域500的上部分中,如图2中所示。
接触件710可以是形成为在第三方向Z上延伸的直接接触插塞(DCCP)。接触件710可以包括例如钨(W),但本发明构思不限于此。
以下,将参照图3至图13描述根据一些实施例的制造半导体装置的方法。
图3至图13是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图。
参照图3,可以在基底100的形成核心-外围区域的第二区域R2上形成堆叠结构1010。
具体地,堆叠结构1010可以包括顺序地堆叠在基底100的第二区域R2上的栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、包括钨(W)的第三导电膜1004以及覆盖膜1005。
参照图4,可以通过利用掩模对堆叠结构1010进行蚀刻,来在基底100上形成第一栅极堆叠件1110和第二栅极堆叠件1120。
第一栅极堆叠件1110和第二栅极堆叠件1120中的每个可以包括顺序地堆叠在基底100上的栅极绝缘膜1001、第一导电膜1002、第二导电膜1003、包括钨(W)的第三导电膜1004以及覆盖膜1005。
第一栅极堆叠件1110和第二栅极堆叠件1120可以在第一方向X上彼此间隔开,第一栅极堆叠件1110和第二栅极堆叠件1120中的每个可以形成为在第二方向Y上延伸。在一些实施例中,第一栅极堆叠件1110和第二栅极堆叠件1120中的每个可以在第二方向Y上纵向地延伸。
参照图5,可以形成第一栅极堆叠绝缘膜1111以覆盖第一栅极堆叠件1110的上表面和侧壁,可以形成第二栅极堆叠绝缘膜1121以覆盖第二栅极堆叠件1120的上表面和侧壁。
第一栅极堆叠绝缘膜1111和第二栅极堆叠绝缘膜1121可以包括例如氮化硅,但本发明构思不限于此。
接下来,可以沿包括第一栅极堆叠件1110和第一栅极堆叠绝缘膜1111的第一栅极结构1100的侧壁形成第一栅极间隔件1150。另外,可以沿包括第二栅极堆叠件1120和第二栅极堆叠绝缘膜1121的第二栅极结构1200的侧壁形成第二栅极间隔件1250。
第一栅极间隔件1150和第二栅极间隔件1250可以包括例如氧化硅,但本发明构思不限于此。
参照图6,可以通过执行第一离子注入工艺I1来在基底100的暴露的部分中形成作为非晶区域的第一杂质区域200。在一些实施例中,基底100的形成有第一杂质区域200的部分可以通过第一离子注入工艺I1变为非晶的。
在一些实施例中,第一栅极结构1100、第一栅极间隔件1150、第二栅极结构1200和第二栅极间隔件1250可以在第一离子注入工艺I1期间被用作离子注入掩模,因此,离子可以被注入到基底100的被第一栅极结构1100、第一栅极间隔件1150、第二栅极结构1200和第二栅极间隔件1250暴露的部分中,如图6中所示。
具体地,通过对其上没有形成第一栅极结构1100、第一栅极间隔件1150、第二栅极结构1200和第二栅极间隔件1250的基底执行第一离子注入工艺I1,可以在基底100中形成第一杂质区域200。也就是说,在一些实施例中,第一杂质区域200可以分别形成在第一栅极间隔件1150的两侧上并且在第二栅极间隔件1250的两侧上,如图6中所示。
第一杂质区域200的上表面200b可以与第一栅极结构1100的下表面1100a以及第一栅极间隔件1150的下表面1150a共面。然而,本发明构思不限于此。
在第一方向X上具有第一宽度W1的第一沟道区910可以形成在基底100的位于第一栅极结构1100下方且位于第一杂质区域200之间的部分中。另外,在第一方向X上具有第一宽度W1的第一沟道区910可以形成在基底100的位于第二栅极结构1200下方且位于第一杂质区域200之间的部分中。
在一些实施例中,轻掺杂漏(LDD)注入工艺和源/漏(S/D)注入工艺可以应用到第一离子注入工艺I1,但本发明构思不限于此。
参照图7,可以去除第一栅极间隔件1150和第二栅极间隔件1250。
例如,可以利用包括HF的蚀刻剂对第一栅极间隔件1150和第二栅极间隔件1250进行湿法蚀刻。然而,本发明构思不限于此。
参照图8,可以通过执行第二离子注入工艺I2在基底100中并且在第一栅极结构1100和第一杂质区域200之间以及在第二栅极结构1200和第一杂质区域200之间形成作为非晶区域的第二杂质区域300。在一些实施例中,基底100的形成有第二杂质区域300的部分可以通过第二离子注入工艺I2变为非晶的。
第二杂质区域300的上表面300b可以与第一杂质区域200的上表面200b共面。
在一些实施例中,第一栅极结构1100和第二栅极结构1200可以在第二离子注入工艺I2期间被用作离子注入掩模,因此,离子可以被注入到基底100的被第一栅极结构1100和第二栅极结构1200暴露的部分中,如图8中所示。
在一些实施例中,如图8中所示,第二杂质区域300中的与第一栅极结构1100相邻的一个第二杂质区域300可以形成在基底100的与图6中所示的第一栅极间隔件1150叠置的部分中,第二杂质区域300中的与第二栅极结构1200相邻的一个第二杂质区域300可以形成在基底的与图6中所示的第二栅极间隔件1250叠置的部分中。
在一些实施例中,第二杂质区域300的上表面300b可以是在去除第一栅极间隔件1150之前与图6中所示的第一栅极间隔件1150的下表面1150a的至少一部分叠置的基底100的上表面。另外,第二杂质区域300的上表面300b可以是在去除第二栅极间隔件1250之前与图6中所示的第二栅极间隔件1250的下表面1150a的至少一部分叠置的基底100的上表面。
可以通过调节第二离子注入工艺I2的工艺参数来调节第二杂质区域300的深度。第二杂质区域300可以形成为例如距第二杂质区域300的上表面300b具有大约10纳米至大约60纳米的深度。然而,本发明构思不限于此。
从第一杂质区域200的上表面200b至第一杂质区域200的下表面200a的第一深度h1可以与从第二杂质区域300的上表面300b至第二杂质区域300的下表面300a的第二深度h2基本相同。然而,本发明构思不限于此。
在第一方向X上具有第二宽度W2的第二沟道区920可以形成在基底100的位于第一栅极结构1100下方并且在第二杂质区域300之间的部分中。另外,在第一方向X上具有第二宽度W2的第二沟道区920可以形成在基底100的位于第二栅极结构1200下方并且在第二杂质区域300之间的部分中。
第二沟道区920的第二宽度W2可以小于图6中所示的第一沟道区910的第一宽度W1。
利用例如Si、Ge、Ar、Xe、BF3、As和In中的至少一种的离子注入可以应用到第二离子注入工艺I2,但是本发明构思不限于此。
参照图9,可以形成应力膜400以覆盖第一栅极结构1100、第二栅极结构1200、第一杂质区域200的上表面200b和第二杂质区域300的上表面300b。
应力膜400可以包括例如氮化硅,但是本发明构思不限于此。
应力膜400可以形成为具有例如大约5纳米至大约50纳米的厚度,但是本发明构思不限于此。
参照图10,可以通过执行退火工艺(例如,热处理工艺)来形成再结晶区域500。
具体地,可以通过由于执行退火工艺(例如,热处理工艺)使图9中所示的第一杂质区域200和第二杂质区域300再结晶来形成再结晶区域500。
退火工艺可以包括例如尖峰RTA(spike RTA)、闪光RTP和激光退火中的任何一种,但是本发明构思不限于此。
可以在由应力膜400引起的应力条件下发生再结晶晶格的生长,结果,可以形成再结晶的再结晶区域500。再结晶区域500包括沿(111)晶面形成的堆垛层错510。例如,堆垛层错510的堆垛层错面是(111)晶面。
在一些实施例中,堆垛层错510可以延伸为,随着堆垛层错510变得越接近再结晶区域500的上表面而越远离第二沟道区920,如图10中所示。
尽管图10描绘了堆垛层错510从再结晶区域500和第二沟道区920之间的边界开始,但是本发明构思不限于此。也就是说,在一些实施例中,堆垛层错510可以从再结晶区域500的内部的与再结晶区域500和第二沟道区920之间的边界间隔开的部分开始。
参照图11,可以去除图10中所示的应力膜400。
再结晶区域500可以记忆由图10中所示的应力膜400引起的应力。因此,当去除应力膜400时,可以保持包括堆垛层错510的再结晶区域500的结构。
参照图12,可以形成蚀刻停止膜600,以覆盖第一栅极结构1100、第二栅极结构1200和再结晶区域500的上表面。
参照图13,可以形成层间绝缘膜700以覆盖蚀刻停止膜600。
接下来,可以在再结晶区域500上形成沟槽以贯穿层间绝缘膜700、蚀刻停止膜600以及再结晶区域500的一部分。接下来,可以在沟槽中形成接触件710。
可以通过上述制造方法来制造根据一些实施例的半导体装置。
根据一些实施例的半导体装置的制造方法可以通过应用应力记忆技术(SMT)来增强半导体装置的性能,该应力记忆技术通过利用形成在DRAM的核心-外围区域中的晶体管中的应力膜400来在基底100中形成再结晶区域500。
此外,根据一些实施例的半导体装置的制造方法可以通过在去除第一栅极间隔件1150和第二栅极间隔件1250之后由于执行额外的离子注入工艺(例如,第二离子注入工艺I2)减小第二沟道区920的宽度来增强半导体装置的性能。
在下文中,将参照图14描述根据一些实施例的制造半导体装置的方法。将集中于与图3至图13中所示的方法的不同之处。
图14是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图。
参照图14,在执行图10中所示的退火工艺(例如,热处理工艺)之后,可以形成层间绝缘膜700以覆盖应力膜400。
接下来,可以在再结晶区域500上形成沟槽以贯穿层间绝缘膜700、应力膜400以及再结晶区域500的一部分。接下来,可以在沟槽中形成接触件710。
以下,将参照图15至图17描述根据一些实施例的制造半导体装置的方法。将集中于与图3至图13中所示的方法的不同之处。
图15至图17是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图。
参照图15,在执行图8中所示的第二离子注入工艺I2之后,可以形成栅极结构绝缘膜800以覆盖第一栅极结构1100、第二栅极结构1200、第一杂质区域200的上表面200b和第二杂质区域300的上表面300b。
接下来,可以形成应力膜400以覆盖栅极结构绝缘膜800。
参照图16,可以通过由于执行退火工艺(例如,热处理工艺)使图15中所示的第一杂质区域200和图15中所示的第二杂质区域300再结晶,来在基底100中形成再结晶区域500。
参照图17,可以形成层间绝缘膜700以覆盖应力膜400。
接下来,可以在再结晶区域500上形成沟槽以贯穿层间绝缘膜700、应力膜400、栅极结构绝缘膜800以及再结晶区域500的一部分。接下来,可以在沟槽中形成接触件710。
以下,将参照图15、图16和图11至图13描述根据一些实施例的制造半导体装置的方法。将集中于与图3至图13中所示的方法的不同之处。
在执行图8中所示的第二离子注入工艺I2之后,可以形成栅极结构绝缘膜800以覆盖第一栅极结构1100、第二栅极结构1200、第一杂质区域200的上表面200b和第二杂质区域300的上表面300b。
接下来,可以形成应力膜400以覆盖栅极结构绝缘膜800。
参照图16,通过由于执行退火工艺(例如,热处理工艺)使第一杂质区域200和第二杂质区域300再结晶,来在基底100中形成再结晶区域500。
返回参照图11,在执行图16中所示的退火工艺(例如,热处理工艺)之后,可以去除应力膜400和栅极结构绝缘膜800。
返回参照图12,可以形成蚀刻停止膜600以覆盖第一栅极结构1100、第二栅极结构1200以及再结晶区域500的上表面。
返回参照图13,可以形成层间绝缘膜700以覆盖蚀刻停止膜600。
接下来,可以在再结晶区域500上形成沟槽以贯穿层间绝缘膜700、蚀刻停止膜600以及再结晶区域500的一部分。接下来,可以在沟槽中形成接触件710。
以下,将参照图18和图19描述根据一些实施例的制造半导体装置的方法。将集中于与图3至图13中所示的方法的不同之处。
图18和图19是示出根据本发明构思的一些实施例的制造半导体装置的方法的剖视图。
参照图18,可以在如图7中所示去除图6中所示的第一栅极间隔件1150和图6中所示的第二栅极间隔件1250之后,执行第二离子注入工艺I2。
通过第二离子注入工艺I2,可以在基底100中并且在第一栅极结构1100和第一杂质区域200之间以及在第二栅极结构1200和第一杂质区域200之间形成作为非晶区域的第二杂质区域310。
第二杂质区域310可以具有从第二杂质区域310的上表面310b至第二杂质区域310的下表面310a的第三深度h3。在这种情况下,第二杂质区域310的第三深度h3可以小于第一杂质区域200的第一深度h1。
参照图19,可以通过由于执行退火工艺(例如,热处理工艺)使图18中所示的第一杂质区域200和图18中所示的第二杂质区域300再结晶,来在基底100中形成再结晶区域501。再结晶区域501包括沿(111)晶面形成的堆垛层错520。第三沟道区930可以形成在基底100的位于第一栅极结构1100下方并且在再结晶区域501之间的部分中,并且第三沟道区930的在第三方向Z上的下表面可以高于再结晶区域501的下表面501a。
在此参照附图解释了根据本发明构思的示例实施例,但是应当理解的是,本发明构思不限于上述示例实施例,而是可以以各种不同的形式来制造,并且可以在不改变本发明构思的技术构思或本质特征的情况下,由本领域技术人员以其它具体形式来实现本发明构思。因此,将理解的是,上述示例实施例仅是说明性的,不应被解释为限制性的。所附权利要求意在覆盖落入本发明构思的真实精神和范围内的所有修改、增强和其它实施例。因此,在法律允许的最大范围内,范围应该通过所附权利要求及其等同物的最宽泛的可允许解释来确定,并且不应受前述详细描述的局限或限制。

Claims (10)

1.一种半导体装置,所述半导体装置包括:
基底,包括形成有单元区域的第一区域和形成有核心-外围区域的第二区域;
栅极堆叠件,位于所述基底的所述第二区域上,所述栅极堆叠件包括包含氧化物的第一栅极绝缘膜、位于所述第一栅极绝缘膜上的包括铪的第二栅极绝缘膜、位于所述第二栅极绝缘膜上的包括镧和氮化钛的第一电极、位于所述第一电极上的第二电极;
栅极堆叠绝缘膜,接触所述栅极堆叠件的侧表面和顶表面;
杂质区域,具有设置在所述基底的所述第二区域中位于所述栅极堆叠件的至少一侧上的堆垛层错;
氮化硅膜,覆盖所述杂质区域的上表面,所述氮化硅膜与所述栅极堆叠绝缘膜接触;以及
接触件,穿过所述氮化硅膜延伸至所述杂质区域。
2.根据权利要求1所述的半导体装置,其中,所述第一栅极绝缘膜包括氧化硅,所述第二栅极绝缘膜包括氮氧化铪硅,并且所述第二电极包括多晶硅、氮化钛硅和钨。
3.根据权利要求1所述的半导体装置,其中,所述堆垛层错设置在所述杂质区域的内部,所述堆垛层错从所述杂质区域的与所述栅极堆叠件相邻的下表面延伸,所述堆垛层错与所述杂质区域的所述下表面形成锐角。
4.根据权利要求1所述的半导体装置,所述半导体装置还包括:
第三电极,位于所述第二栅极绝缘膜与所述第一电极之间,所述第三电极包括第一氮化钛膜、铝膜和第二氮化钛膜。
5.根据权利要求1所述的半导体装置,其中,所述氮化硅膜在所述栅极堆叠件的所述侧表面和所述上表面上与所述栅极堆叠绝缘膜接触。
6.根据权利要求1所述的半导体装置,其中,所述杂质区域包括第一部分以及位于所述第一部分与所述栅极堆叠件之间的第二部分,
其中,从所述杂质区域的所述第一部分的上表面至所述杂质区域的所述第一部分的下表面的第一深度大于从所述杂质区域的所述第二部分的上表面至所述杂质区域的所述第二部分的下表面的第二深度,并且
其中,所述堆垛层错设置在所述杂质区域的所述第二部分处。
7.一种半导体装置,所述半导体装置包括:
基底,包括形成有单元区域的第一区域和形成有核心-外围区域的第二区域;
栅极堆叠件,位于所述基底的所述第二区域上,所述栅极堆叠件包括包含氧化物的第一栅极绝缘膜、位于所述第一栅极绝缘膜上的包括铪的第二栅极绝缘膜、位于所述第二栅极绝缘膜上的第一电极、位于所述第一电极上的包括镧和氮化钛的第二电极、位于所述第二电极上的第三电极,所述第一电极包括第一氮化钛膜、铝膜和第二氮化钛膜;
栅极堆叠绝缘膜,接触所述栅极堆叠件的侧表面和顶表面;
杂质区域,具有设置在所述基底的所述第二区域中位于所述栅极堆叠件的至少一侧上的堆垛层错;
氮化硅膜,覆盖所述杂质区域的上表面,所述氮化硅膜与所述栅极堆叠绝缘膜接触;以及
接触件,穿过所述氮化硅膜延伸至所述杂质区域。
8.根据权利要求7所述的半导体装置,其中,所述堆垛层错设置在所述杂质区域的内部,所述堆垛层错从所述杂质区域的与所述栅极堆叠件相邻的下表面延伸,所述堆垛层错与所述杂质区域的所述下表面形成锐角。
9.根据权利要求7所述的半导体装置,其中,所述第一栅极绝缘膜包括氧化硅,所述第二栅极绝缘膜包括氮氧化铪硅,并且所述第三电极包括多晶硅、氮化钛硅和钨。
10.一种半导体装置,所述半导体装置包括:
基底,包括形成有单元区域的第一区域和形成有核心-外围区域的第二区域;
栅极堆叠件,位于所述基底的所述第二区域上,所述栅极堆叠件包括包含氧化物的第一栅极绝缘膜、位于所述第一栅极绝缘膜上的包括铪的第二栅极绝缘膜、位于所述第二栅极绝缘膜上的包括镧和氮化钛的第一电极、位于所述第一电极上的第二电极;
栅极堆叠绝缘膜,接触所述栅极堆叠件的侧表面和顶表面;
杂质区域,具有设置在所述基底的所述第二区域中位于所述栅极堆叠件的一侧上的堆垛层错;
栅极结构绝缘膜,覆盖所述杂质区域的上表面,所述栅极结构绝缘膜与所述栅极堆叠绝缘膜接触;以及
氮化硅膜,与所述栅极结构绝缘膜接触;以及
接触件,穿过所述氮化硅膜和所述栅极结构绝缘膜延伸至所述杂质区域。
CN202210319629.7A 2018-06-15 2019-03-07 半导体装置 Pending CN114678416A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020180068798A KR102414957B1 (ko) 2018-06-15 2018-06-15 반도체 장치의 제조 방법
KR10-2018-0068798 2018-06-15
CN201910171174.7A CN110610855A (zh) 2018-06-15 2019-03-07 制造半导体装置的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201910171174.7A Division CN110610855A (zh) 2018-06-15 2019-03-07 制造半导体装置的方法

Publications (1)

Publication Number Publication Date
CN114678416A true CN114678416A (zh) 2022-06-28

Family

ID=68840536

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210319629.7A Pending CN114678416A (zh) 2018-06-15 2019-03-07 半导体装置
CN201910171174.7A Pending CN110610855A (zh) 2018-06-15 2019-03-07 制造半导体装置的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910171174.7A Pending CN110610855A (zh) 2018-06-15 2019-03-07 制造半导体装置的方法

Country Status (3)

Country Link
US (1) US10892263B2 (zh)
KR (1) KR102414957B1 (zh)
CN (2) CN114678416A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115842023A (zh) * 2021-08-27 2023-03-24 长鑫存储技术有限公司 半导体结构和半导体结构的制备方法

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003695A (ko) * 1995-06-29 1997-01-28 김광호 트랜지스터 제조방법
US6020232A (en) * 1996-12-03 2000-02-01 Advanced Micro Devices, Inc. Process of fabricating transistors having source and drain regions laterally displaced from the transistors gate
JP3911585B2 (ja) * 1999-05-18 2007-05-09 富士通株式会社 半導体装置およびその製造方法
US6472283B1 (en) * 1999-09-24 2002-10-29 Advanced Micro Devices, Inc. MOS transistor processing utilizing UV-nitride removable spacer and HF etch
KR20050112458A (ko) * 2004-05-25 2005-11-30 삼성전자주식회사 반도체 소자의 제조 방법
US7785950B2 (en) 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US20070141775A1 (en) 2005-12-15 2007-06-21 Chartered Semiconductor Manufacturing, Ltd. Modulation of stress in stress film through ion implantation and its application in stress memorization technique
US7341902B2 (en) 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
US7750416B2 (en) 2006-05-03 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Modifying work function in PMOS devices by counter-doping
US7678636B2 (en) 2006-06-29 2010-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of stress memorization layer
DE102006035646B3 (de) 2006-07-31 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung verformter Transistoren durch Verspannungskonservierung auf der Grundlage einer verspannten Implantationsmaske
US7517766B2 (en) * 2006-09-12 2009-04-14 United Microelectronics Corp. Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US7388267B1 (en) 2006-12-19 2008-06-17 International Business Machines Corporation Selective stress engineering for SRAM stability improvement
US7714358B2 (en) 2007-02-08 2010-05-11 International Business Machines Corporation Semiconductor structure and method of forming the structure
US7772064B2 (en) 2007-03-05 2010-08-10 United Microelectronics Corp. Method of fabricating self-aligned contact
US7759207B2 (en) 2007-03-21 2010-07-20 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress memorization transfer
DE102007015500B4 (de) 2007-03-30 2009-09-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer Zugverspannung bei einem Halbleiterbauelement durch wiederholtes Anwenden von "Verspannungsgedächtnisverfahren" und Halbleiterbauelement
US7834399B2 (en) 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
US7785949B2 (en) 2007-06-06 2010-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device using multi-functional sacrificial dielectric layer
KR101264113B1 (ko) 2007-07-16 2013-05-13 삼성전자주식회사 변형된 채널을 갖는 cmos 소자 및 이의 제조방법
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
US7547596B2 (en) 2007-08-01 2009-06-16 Texas Instruments Incorporated Method of enhancing drive current in a transistor
US7985652B2 (en) 2007-09-14 2011-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal stress memorization technology
US7678637B2 (en) 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process
US20090142891A1 (en) 2007-11-30 2009-06-04 International Business Machines Corporation Maskless stress memorization technique for cmos devices
DE102007057687B4 (de) 2007-11-30 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer Zugverformung in Transistoren
US7682917B2 (en) 2008-01-18 2010-03-23 International Business Machines Corporation Disposable metallic or semiconductor gate spacer
US20090189227A1 (en) 2008-01-25 2009-07-30 Toshiba America Electronic Components, Inc. Structures of sram bit cells
DE102008007003B4 (de) 2008-01-31 2015-03-19 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum selektiven Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik ohne Hinzufügung weiterer Lithographieschritte
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US7867835B2 (en) * 2008-02-29 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
DE102008016426B4 (de) 2008-03-31 2012-04-19 Globalfoundries Inc. Verfahren zum Erzeugen einer Zugverformung durch Anwenden von Verspannungsgedächtnistechniken in unmittelbarer Nähe zu der Gateelektrode
US7858482B2 (en) 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
US7977202B2 (en) 2008-05-02 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing device performance drift caused by large spacings between active regions
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
DE102008026132A1 (de) 2008-05-30 2009-12-10 Advanced Micro Devices, Inc., Sunnyvale Durchlassstromeinstellung für Transistoren, die in dem gleichen aktiven Gebiet gebildet sind, durch lokales Hervorrufen unterschiedlicher lateraler Verformungspegel in dem aktiven Gebiet
US8871587B2 (en) 2008-07-21 2014-10-28 Texas Instruments Incorporated Complementary stress memorization technique layer method
DE102008035816B4 (de) 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US8101476B2 (en) 2008-08-15 2012-01-24 Texas Instruments Incorporated Stress memorization dielectric optimized for NMOS and PMOS
US8114727B2 (en) 2008-08-29 2012-02-14 Texas Instruments Incorporated Disposable spacer integration with stress memorization technique and silicon-germanium
US7767534B2 (en) 2008-09-29 2010-08-03 Advanced Micro Devices, Inc. Methods for fabricating MOS devices having highly stressed channels
JP2010141281A (ja) * 2008-11-11 2010-06-24 Renesas Technology Corp 半導体装置およびその製造方法
DE102008059501B4 (de) 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
KR20100111378A (ko) * 2009-04-07 2010-10-15 삼성전자주식회사 콘택 플러그, 이를 포함하는 반도체 장치 및 이의 제조 방법
US8067282B2 (en) 2009-10-08 2011-11-29 United Microelectronics Corp. Method for selective formation of trench
KR101669470B1 (ko) * 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US20110101506A1 (en) 2009-10-29 2011-05-05 International Business Machines Corporation Stress Memorization Technique Using Silicon Spacer
US8558310B2 (en) 2009-12-18 2013-10-15 Texas Instruments Incorporated Indium, carbon and halogen doping for PMOS transistors
US8659112B2 (en) 2009-12-18 2014-02-25 Texas Instruments Incorporated Carbon and nitrogen doping for selected PMOS transistor on an integrated circuit
DE102010028462B4 (de) 2010-04-30 2015-06-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8338239B2 (en) 2010-05-18 2012-12-25 International Business Machines Corporation High performance devices and high density devices on single chip
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
CN102280411B (zh) * 2010-06-13 2013-12-11 中芯国际集成电路制造(上海)有限公司 制作半导体器件结构的方法
US8067281B1 (en) 2010-07-05 2011-11-29 United Microelectronics Corp. Method of fabricating complementary metal-oxide-semiconductor (CMOS) Device
US8394684B2 (en) 2010-07-22 2013-03-12 International Business Machines Corporation Structure and method for stress latching in non-planar semiconductor devices
CN102386095B (zh) 2010-08-31 2014-05-07 中国科学院微电子研究所 半导体结构的制造方法
KR20120023968A (ko) 2010-09-03 2012-03-14 삼성전자주식회사 트랜지스터 형성 방법, 상보형 트랜지스터 형성 방법 및 이를 이용한 반도체 소자 제조 방법
US20120070948A1 (en) 2010-09-16 2012-03-22 United Microelectronics Corp. Adjusting method of channel stress
US20120071004A1 (en) 2010-09-17 2012-03-22 United Microelectronics Corp. Stress-adjusting method of mos device
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US9202913B2 (en) 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US8535999B2 (en) 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
KR101714613B1 (ko) 2010-10-28 2017-03-10 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8435848B2 (en) 2010-10-28 2013-05-07 Texas Instruments Incorporated PMOS SiGe-last integration process
US8470707B2 (en) 2010-11-03 2013-06-25 Texas Instruments Incorporated Silicide method
KR101168530B1 (ko) * 2011-01-06 2012-07-27 에스케이하이닉스 주식회사 반도체 소자 및 그 형성방법
US20120196422A1 (en) 2011-01-27 2012-08-02 Globalfoundries Inc. Stress Memorization Technique Using Gate Encapsulation
CN102623405B (zh) 2011-01-30 2014-08-20 中国科学院微电子研究所 一种形成半导体结构的方法
US20120309171A1 (en) 2011-05-30 2012-12-06 Tsuo-Wen Lu Method for fabricating semiconductor device
US20130023103A1 (en) 2011-07-19 2013-01-24 United Microelectronics Corp. Method for fabricating semiconductor device by using stress memorization technique
JP2013051250A (ja) * 2011-08-30 2013-03-14 Elpida Memory Inc 半導体装置及びその製造方法
KR101868803B1 (ko) * 2011-11-04 2018-06-22 삼성전자주식회사 스트레스 기억 기술(smt)을 이용한 반도체 장치의 제조 방법
US9190277B2 (en) 2011-12-08 2015-11-17 Texas Instruments Incorporated Combining ZTCR resistor with laser anneal for high performance PMOS transistor
US8987099B2 (en) 2011-12-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for thermal treatment with epitaxial SiCP thermal stability improvement
US8753969B2 (en) 2012-01-27 2014-06-17 GlobalFoundries, Inc. Methods for fabricating MOS devices with stress memorization
US20130200455A1 (en) 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device
US9054188B2 (en) 2012-02-24 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Curved wafer processing on method and apparatus
US8735255B2 (en) 2012-05-01 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US8772120B2 (en) 2012-05-24 2014-07-08 United Microelectronics Corp. Semiconductor process
US8691644B2 (en) 2012-07-05 2014-04-08 Texas Instruments Incorporated Method of forming a CMOS device with a stressed-channel NMOS transistor and a strained-channel PMOS transistor
US8890258B2 (en) * 2012-12-04 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US8889540B2 (en) 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US20140248749A1 (en) 2013-03-04 2014-09-04 Globalfoundries Inc. Stress memorization technique
DE102013214436B4 (de) 2013-07-24 2017-05-11 Globalfoundries Inc. Verfahren zum Bilden einer Halbleiterstruktur, die silizidierte und nicht silizidierte Schaltkreiselemente umfasst
CN104517822B (zh) 2013-09-27 2017-06-16 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
US20150097197A1 (en) 2013-10-04 2015-04-09 Globalfoundries Inc. Finfet with sigma cavity with multiple epitaxial material regions
US9252271B2 (en) 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US20150187656A1 (en) 2013-12-29 2015-07-02 Texas Instruments Incorporated Laser anneals for reduced diode leakage
US20150228788A1 (en) 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
US9142507B1 (en) 2014-02-28 2015-09-22 Freescale Semiconductor, Inc. Stress migration mitigation utilizing induced stress effects in metal trace of integrated circuit device
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US20150340501A1 (en) 2014-05-22 2015-11-26 Globalfoundries Inc. Forming independent-gate finfet with tilted pre-amorphization implantation and resulting device
US9231079B1 (en) 2014-06-13 2016-01-05 Globalfoundries Inc. Stress memorization techniques for transistor devices
FR3023411B1 (fr) 2014-07-07 2017-12-22 Commissariat Energie Atomique Generation localisee de contrainte dans un substrat soi
KR20160023181A (ko) 2014-08-21 2016-03-03 삼성전자주식회사 공정 속도가 향상된 반도체 소자의 제조 방법
US9368627B2 (en) 2014-09-11 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9559166B2 (en) 2015-01-30 2017-01-31 Globalfoundries Inc. Fabricating transistors having resurfaced source/drain regions with stressed portions
US9419137B1 (en) 2015-03-09 2016-08-16 Globalfoundries Inc. Stress memorization film and oxide isolation in fins
US9431521B1 (en) 2015-09-18 2016-08-30 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US9741853B2 (en) 2015-10-29 2017-08-22 Globalfoundries Inc. Stress memorization techniques for transistor devices
US9711619B1 (en) 2016-01-19 2017-07-18 Globalfoundries Inc. Stress memorization and defect suppression techniques for NMOS transistor devices
US9680019B1 (en) 2016-07-20 2017-06-13 Globalfoundries Inc. Fin-type field-effect transistors with strained channels

Also Published As

Publication number Publication date
KR20190141947A (ko) 2019-12-26
KR102414957B1 (ko) 2022-06-29
US10892263B2 (en) 2021-01-12
CN110610855A (zh) 2019-12-24
US20190386008A1 (en) 2019-12-19

Similar Documents

Publication Publication Date Title
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
US8981454B2 (en) Non-volatile memory device using finfet and method for manufacturing the same
KR20200142158A (ko) 반도체 장치
US10998324B2 (en) Semiconductor device comprising work function metal pattern in boundary region and method for fabricating the same
TW201511283A (zh) 於鰭式場效電晶體半導體設備上形成接觸結構的方法及其所產生的設備
US11699728B2 (en) Semiconductor device including fin-FET and misaligned source and drain contacts
KR20190057992A (ko) 수직 채널을 가지는 반도체 소자
US9502408B2 (en) FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
TWI764045B (zh) 電晶體及其製造方法
TWI658593B (zh) 半導體裝置及其製作方法
US11894373B2 (en) Semiconductor device and manufacturing method thereof
US10090398B2 (en) Manufacturing method of patterned structure of semiconductor
US20230403860A1 (en) Embedded ferroelectric finfet memory device
TW201501299A (zh) 一種製作鰭狀場效電晶體的方法
US20230354590A1 (en) Semiconductor devices
US10892263B2 (en) Methods of fabricating semiconductor device
US9978873B2 (en) Method for fabricating FinFet
EP4283675A1 (en) Semiconductor device and method of fabricating the same
US20220328642A1 (en) Semiconductor structure and forming method thereof
US20230378166A1 (en) Semiconductor device and method for fabricating the same
TWI581316B (zh) 形成金屬矽化物層的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination