TWI658593B - 半導體裝置及其製作方法 - Google Patents

半導體裝置及其製作方法 Download PDF

Info

Publication number
TWI658593B
TWI658593B TW104125896A TW104125896A TWI658593B TW I658593 B TWI658593 B TW I658593B TW 104125896 A TW104125896 A TW 104125896A TW 104125896 A TW104125896 A TW 104125896A TW I658593 B TWI658593 B TW I658593B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor device
material layer
dummy material
item
Prior art date
Application number
TW104125896A
Other languages
English (en)
Other versions
TW201707206A (zh
Inventor
林建廷
鄒世芳
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW104125896A priority Critical patent/TWI658593B/zh
Priority to US14/855,357 priority patent/US9847403B2/en
Publication of TW201707206A publication Critical patent/TW201707206A/zh
Application granted granted Critical
Publication of TWI658593B publication Critical patent/TWI658593B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種半導體裝置,包括基板、閘極電極、側壁子、蝕刻遮罩以及接觸結構。閘極電極係設置在基板上,側壁子係分別設置在閘極電極的側壁上,其中各側壁子具有一外側面和一內側面。接觸結構係設置於閘極電極之間,其中接觸結構的底面會直接接觸側壁子的外側面的所有區域。

Description

半導體裝置及其製作方法
本發明係關於一種半導體裝置,特別是關於一種具有接觸插塞的半導體裝置。
隨著積體電路(IC)積集度不斷提升,積體電路內各半導體元件的特徵尺寸也持續微縮。為了因應半導體元件微縮所引起的各種電性或製程限制,業界也提出了多種解決之道。舉例來說,對於電晶體裝置而言,為了解決傳統多晶矽閘極造成硼穿透(boron penetration)以及空乏效應(depletion effect)的問題,目前業界多採用後閘極(gate last)製程,以具有金屬電極的金屬閘極取代傳統的多晶矽閘極。此外,隨著各閘極結構間的距離逐漸微縮,業界也相對應地提出了自對準接觸結構(Self-Aligned Contact),以因應各閘極結構間空間不足之情形。
然而,即便上述的電晶體裝置採用了金屬閘極以及自對準接觸結構,其仍存在待克服的技術問題。舉例來說,當上述閘極結構間距小於一定尺度時,例如10奈米,以現行製程所製備的自對準接觸結構便無法滿足元件的電性需求。造成此缺點的原因在於製備過程中的對位誤差,導致最終的自對準接觸結構產生側向位移。當自對準接觸結構偏離預定的位置時,自對準接觸結構 與下方主動區域間的接觸面積便會降低,導致了接觸電阻的上升。
因此,仍需要一種改良式的半導體裝置及其製作方法,以克服上述缺點。
根據本發明之一實施例,係揭露一種半導體裝置,其包括基板、閘極電極、側壁子、蝕刻遮罩以及接觸結構。閘極電極係設置在基板上,側壁子係分別設置在閘極電極的側壁上,其中各側壁子具有一外側面和一內側面。接觸結構係設置於閘極電極之間,其中接觸結構的底面會直接接觸側壁子的外側面的所有區域。
根據本發明之另一實施例,係揭露一種半導體裝置的製作方法,包括下列步驟。首先,形成閘極堆疊結構於基板上,使其覆蓋住鰭狀結構。接著,形成虛置材料層,覆蓋住閘極堆疊結構以及鰭狀結構。之後,將部份的虛置材料層置換成絕緣結構,致使絕緣結構可以直接接觸鰭狀結構的部份區域。最後,將其他部份的虛置材料層置換成導電結構,致使導電結構可以直接接觸鰭狀結構的其他部份區域。
10‧‧‧基板
12‧‧‧淺溝渠絕緣層
14‧‧‧鰭狀突起結構
16‧‧‧磊晶層
18‧‧‧閘極堆疊結構
20‧‧‧犧牲層
22‧‧‧蓋層
24‧‧‧虛置材料層
26‧‧‧側壁子
26a‧‧‧外側面
26b‧‧‧內側面
28‧‧‧溝渠
30‧‧‧閘極介電層
32‧‧‧功函數層
34‧‧‧導電層
36‧‧‧蝕刻遮罩
38‧‧‧金屬閘極結構
40‧‧‧層間介電層
42‧‧‧介電層
46‧‧‧填充層
50‧‧‧接觸結構
52‧‧‧黏著層
54‧‧‧阻障層
56‧‧‧導電層
58‧‧‧層間介電層
60‧‧‧頂部接觸結構
62‧‧‧黏著層
64‧‧‧阻障層
66‧‧‧導電層
第1圖至第12圖為本發明較佳實施例製作半導體裝置之示意圖。
下文將搭配圖示詳述本發明之半導體結構及其製作方法。雖然本發明以實施例揭露如下,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。且為了簡潔與清晰起見,部分習知結構的細節將不在此揭露。其中,相同或類似之元件或裝置係以相同之元件符號表示,且圖式係以說明為目的,並未完全依照原尺寸做圖。
第1圖至第11圖是本發明較佳實施例製作半導體裝置之示意圖。以下先就製備具有電晶體結構以及接觸結構的半導體裝置的製程步驟加以介紹。第1圖是半導體裝置在製程初始階段時的示意圖,第2圖是沿著第1圖的A-A’切線所繪示的剖面圖。如第1圖和第2圖所示,於此製程階段,基板上係包括鰭狀結構、磊晶層、淺溝渠絕緣層、閘極堆疊結構、側壁子以及虛置材料層。
舉例來說,基板10可以是一半導體基底,其上係設置有多個鰭狀突起結構14,或稱為鰭狀結構,其可以選擇性地被磊晶層16覆蓋。基板10上另設置有淺溝渠絕緣層12,以電性絕緣相鄰的鰭狀突起結構14。其中,磊晶層16及/或鰭狀突起結構14內另可設置有摻雜區(圖未示),例如是輕摻雜汲極區及/或源/汲極區,以作為後續和接觸插塞電連接的區域。
閘極堆疊結構18係橫跨多個鰭狀突起結構14,致使鰭狀突起結構14的部份區域可以直接觸閘極堆疊結構18。對於閘極堆疊結構18係為虛置閘極結構的情況,其由下至上可包括介質層(圖未示)、犧牲層20以及蓋層22。側壁子26可以被設置於閘極堆疊結構18的各側壁上。
虛置材料層24係藉由沉積或塗佈的方式,以覆蓋住鰭狀突起結構14和閘極堆疊結構18,並填滿閘極堆疊結構18間的空間。其中,虛置材料層24較佳係電性絕緣,且其材質可以選自具有摻質或不具摻質的的單晶或多晶結構,例如是具有摻質或不具摻質的矽、矽鍺、矽碳、矽磷的單晶或多晶結構,較佳係為不具摻質的多晶矽。此外,虛置材料層24在蝕刻製程中較佳不易被側向蝕刻,因此在蝕刻之後可以具有較佳的側壁準直性。
上述基板10可以選自矽基板、矽鍺基板或絕緣層上覆矽(silicon-on-insulator,SOI)基板等,但不限於此。閘極堆疊結構18內的介質層(圖未示)、犧牲層20以及蓋層22可以分別對應至氧化層、矽質層以及氮化層,例如分別對應至氧化矽層、多晶矽層以及氮化矽層,但不限於此。側壁子26可以選自氮化矽、碳化矽、氮碳化矽、氮氧化矽或其他合適之半導體化合物。磊晶層16可以選自具有或不具有摻質的半導體材料,例如矽鍺、矽磷、矽碳等,其可以提供適當之應力至通道區域,以增進通道區域內載子的遷移率(mobility)。
接著,可以進行研磨製程,例如化學機械研磨製程,以平坦化虛置材料層24,並略為移除側壁子26的上端。之後,施行研磨及/或蝕刻製程,移除閘極堆疊結構18內的蓋層22直至暴露出犧牲層20。在暴露出犧牲層20之頂面後,接著可進行取代金屬閘極(replacement metal gate,RMG)製程,以將虛置閘極結構置換成如第3圖所示之金屬閘極結構38。
如第3圖所示,上述的取代金屬閘極製程可包括下列步驟:移除閘極堆疊結構內的犧牲層,以形成溝渠28,並依續將閘極介電層30、功函數層32以及導電層34填入溝渠內。之後施行研磨製程,去除位於溝渠28外之閘極介電層 30、功函數層32以及導電層34,直至暴露出虛置材料層24。之後,可以回蝕刻溝渠28內閘極介電層30、功函數層32以及導電層34至一預定高度,並將蝕刻遮罩36設置於溝渠28內,以獲得金屬閘極結構38。
上述閘極介電層30較佳係為一介電常數大致大於20之高介電常數介電層,例如氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO)、氧化鉭(tantalum oxide,Ta2O5)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicate,ZrSiO4)、鋯酸鉿(hafnium zirconate,HfZrO)、氧化釔(yttrium oxide,Yb2O3)、氧化矽釔(yttrium silicon oxide,YbSiO)、鋁酸鋯(zirconium aluminate,ZrAl)、鋁酸鉿(hafnium aluminate,HfAlO)、氮化鋁(aluminum nitride,AlN)、氧化鈦(titanium oxide,TiO2),氮氧化鋯(zirconium oxynitride,ZrON)、氮氧化鉿(hafnium oxynitride,HfON)、氮氧矽鋯(zirconium silicon oxynitride,ZrSiON)、氮氧矽鉿(hafnium silicon oxynitride,HfSiON)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)或鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST),但不限於此。此外,功函數層可包括氮化鈦(titanium nitride,TiN)、碳化鈦(titanium carbide,TiC)、氮化鉭(tantalum nitride,TaN)、碳化鉭(tantalum carbide,TaC)、碳化鎢(tungsten carbide,WC)或氮化鋁鈦(aluminum titanium nitride,TiAlN),但不限於此。導電層34可包括具有優良填充能力與較低阻值的金屬或金屬氧化物,例如鋁(aluminum,Al)、鋁化鈦(titanium aluminide,TiAl)、氧化鋁鈦(titanium aluminum oxide,TiAlO)、鎢(tungsten,W)或銅(copper,Cu),但不限於此。蝕刻遮罩36可以選自氮化矽、碳化矽、氮碳化矽、氮氧化矽或其他合適之半導 體化合物。
此外,由於上述製程係為一後閘極(gate-last)製程搭配後高介電常數介電層(high-k last)製程,因此閘極介電層30和功函數層32較佳均會位於溝渠28的側壁以及底部。但本實施例不限於此,其亦可適用後閘極製程搭配前高介電常數介電層(high-k first)製程。因此在去除犧牲層20前,溝渠28內的基板10上便會被高介電常數介電層覆蓋。在此情況下,高介電常數介電層頂面可選擇性地形成一阻障層(圖未示),用以避免高介電常數介電層連同犧牲層一起被去除。其中,上述阻障層可以是金屬層,例如氮化鈦層。
之後,可以選擇性地對虛置材料層24施行應力記憶技術(stress memorization technique,SMT),以進一步增強虛置材料層24下方磊晶層16及/或鰭狀突起結構14的應力。詳細來說,應力記憶技術的製程步驟可包括:進行一離子佈植製程,例如鍺離子佈植製程,對虛置材料層24進行預非晶化(Pre-Amorphization Implant,PAI)製程,而形成非晶虛置材料層。之後施行一退火製程,致使再結晶上述的非晶虛置材料層。
在完成上述的應力記憶技術之後,接著可以施行光微影和蝕刻製程,以在虛置材料層24上形成圖案化遮罩。其中,圖案化遮罩內可以定義有多個幾何圖案,陣列或交錯的設置於圖案化遮罩內。較佳來說,圖案化遮罩內可以具有多個交錯排列的矩形開口,且各矩形開口係彼此平行,但不限於此。
接著,如第4圖所示,以圖案化遮罩作為蝕刻遮罩,進行一蝕刻製程,以移除部份區域內的虛置材料層24,直至暴露出相對應的淺溝渠絕緣層12。透 過此蝕刻製程,便可將圖案化遮罩內的圖案轉移至下方的虛置材料層24中。對於圖案化遮罩內具有多個交錯、平行排列的矩形開口的情況,虛置材料層24內也會相應的具有交錯、平行排列的矩形開口,但不限於此。需注意的是,由於虛置材料層24具有較佳的側壁準直性,所以其可以具有近乎垂直的側壁。
接著,如第5圖和第6圖所示,依序將絕緣結構,如一介電層42,例如氮化矽層,順向性地填入虛置材料層24內的溝渠中,致使介電層42順向性地覆蓋住磊晶層16和淺溝渠絕緣層12。之後,施行沉積製程,例如流動性化學氣相沉積製程(flowable chemical vapor deposition,FCVD),以將填充層46,例如TEOS,填入虛置材料層24內的溝渠中。之後,施行一熱處理,以將TEOS與氧反應,而轉換成氧化矽。之後,可以施行一平坦化製程,致使填充層46的頂面和蝕刻遮罩36的頂面共平面。其中,介電層42和填充層46可構成一層間介電層(絕緣結構)40。需注意的是,上述介電層42係用以避免填充層46和下方的基板10直接接觸而產生不必要的化學反應,然而,其可以根據不同製程需求而不予形成。上述層間介電層40至少包括一曲面側壁和一相鄰於曲面側壁的垂直側壁,其中曲面側壁係相對於金屬閘極結構38的側壁而設置,而垂直側壁係緊鄰虛置材料層24的垂直側壁而設置。
之後,如第7圖所示,施行一蝕刻製程,以完全去除位於基板10上的虛置材料層24,以暴露出部份的磊晶層16和淺溝渠絕緣層12。其中,部份的磊晶層16和淺溝渠絕緣層12會被層間介電層40覆蓋,所以不會被暴露出。需注意的是,在蝕刻製程中,虛置材料層24的蝕刻速率會大於層間介電層40的蝕刻速率至少3倍
之後,如第8圖和第9圖所示,可以依序將黏著層52、阻障層54以及導電層56填入層間介電層40之間以及金屬閘極結構38之間的空間,以形成接觸結構50。再者,如第12圖中所清楚表示的,每個接觸結構50至少包括一曲面側壁50a和一垂直側壁50b,且接觸結構50會電連接到至少一鰭狀突起結構14以及其下的磊晶層16。從第8圖頂視的視角來看,曲面側壁50a會鄰近並與垂直側壁50b正交。從第6圖與第12圖的截面視角來看,接觸結構50的曲面側壁50a以及層間介電層40的曲面側壁40a都會設置成鄰近並與金屬閘極結構38的側壁子26外側面的所有區域直接接觸,而接觸結構50的垂直側壁50b則係設置成鄰近層間介電層40的垂直側壁。其中,上述黏著層52以及阻障層56可以選自於Ti、TiN、Ta及/或TaN,但不限於此。此外,上述的黏著層52和阻障層54不限定於雙層結構,其亦可可以簡化成單層的結構。
第9圖是沿著第8圖切線B-B’繪示的剖面圖,第10圖是沿著第8圖切線C-C’繪示的剖面圖。詳細來說,黏著層52會直接接觸側壁子26的外側面26a的各區域,但不會直接接觸側壁子26的內側面26b。其中,接觸結構50的頂面會和蝕刻遮罩36的頂面共平面。此外,絕緣結構(層間介電層)40會直接接觸側壁子26的外側面26a的各區域,但不會直接接觸側壁子26的內側面26b,且絕緣結構40的頂面會和蝕刻遮罩36的頂面共平面。
在形成接觸結構50之後,如第11圖所示,可以在接觸結構50和金屬閘極結構上沉積另一層間介電層58,並利用蝕刻、沉積和平坦化製程,以於層間介電層58內形成頂部接觸結構60。頂部接觸結構60可以是任何合適的導電結構,例如是包括黏著層62、阻障層64以及導電層66的導電結構,但不限於此。需注意的是,由於接觸結構50具有較大的截面積,因此即便頂部接觸結構60產 生對位誤差,其還是可以電連接至下方的接觸結構50。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。

Claims (21)

  1. 一種半導體裝置,包括:至少二閘極電極,設置在一基板上;至少二側壁子,分別設置在該些閘極電極的側壁上,其中各該側壁子具有一外側面和一內側面;以及一接觸結構,設置於該些閘極電極之間,其中該接觸結構包括至少一曲面側壁和至少一相鄰於該曲面側壁的垂直側壁,該接觸結構的底面會直接接觸該些側壁子的外側面的所有區域。
  2. 如申請專利範圍第1項所述的半導體裝置,另包括至少二蝕刻遮罩,分別設置於該些閘極電極的頂面上,其中該接觸結構的頂面會和該些蝕刻遮罩的頂面共平面。
  3. 如申請專利範圍第1項所述的半導體裝置,其中該接觸結構由下至上包括一黏著層、一阻障層以及一導電層。
  4. 如申請專利範圍第3項所述的半導體裝置,其中該黏著層以及該阻障層可以選自於Ti、TiN、Ta及/或TaN。
  5. 如申請專利範圍第3項所述的半導體裝置,其中該黏著層會直接接觸該些側壁子的外側面的各區域。
  6. 如申請專利範圍第1項所述的半導體裝置,其中該半導體裝置另包括一頂部接觸結構,設置於該接觸結構之上並且直接接觸該接觸結構。
  7. 如申請專利範圍第6項所述的半導體裝置,其中該頂部接觸結構由下至上包括一黏著層、一阻障層以及一導電層。
  8. 如申請專利範圍第1項所述的半導體裝置,另包括一絕緣結構,設置於該基板上,其中該絕緣結構包括至少一曲面側壁和至少一相鄰於該曲面側壁的垂直側壁。
  9. 如申請專利範圍第8項所述的半導體裝置,另包括至少二蝕刻遮罩,分別設置於該些閘極電極的頂面上,其中該絕緣結構的頂面會和該些蝕刻遮罩的頂面共平面。
  10. 如申請專利範圍第8項所述的半導體裝置,其中該絕緣結構由下至上包括順向層和一填充層。
  11. 如申請專利範圍第10項所述的半導體裝置,其中該順向層係為一氮化矽層,該填充層係為氧化矽層。
  12. 如申請專利範圍第1項所述的半導體裝置,另包括至少一鰭狀結構,設置於該接觸結構之下並且電連接於該接觸結構。
  13. 一種半導體裝置的製作方法,包括:提供一基板,其上設置有複數個鰭狀結構;形成至少二閘極堆疊結構;形成一虛置材料層,其中該虛置材料層會覆蓋住該些閘極堆疊結構以及該些鰭狀結構;將部份的該虛置材料層置換成一絕緣結構,致使該絕緣結構可以直接接觸該些鰭狀結構的部份區域;以及在形成該絕緣結構之後,將其他部份的該虛置材料層置換成一導電結構,致使該導電結構可以直接接觸該些鰭狀結構的其他部份區域。
  14. 如申請專利範圍第13項所述的半導體裝置的製作方法,另包括於各該閘極堆疊結構的側壁形成側壁子。
  15. 如申請專利範圍第14項所述的半導體裝置的製作方法,其中形成該虛置材料層的步驟包括:沉積該虛置材料層;以及平坦化該虛置材料層,直至暴露出各該側壁子。
  16. 如申請專利範圍第13項所述的半導體裝置的製作方法,其中在形成該虛置材料層的過程中,該虛置材料層會填滿該些閘極堆疊結構間的空間。
  17. 如申請專利範圍第13項所述的半導體裝置的製作方法,其中將該虛置材料層置換成該絕緣結構的步驟包括:在虛置材料層上形成一圖案化遮罩;以該圖案化遮罩作為蝕刻遮罩,蝕刻該虛置材料層,以於該虛置材料層中形成至少一溝渠;以及將該絕緣結構填入該溝渠中。
  18. 如申請專利範圍第13項所述的半導體裝置的製作方法,其中將該虛置材料層置換成該導電結構的步驟包括:施行一蝕刻製程,移除該基板上的所有該虛置材料層。
  19. 如申請專利範圍第18項所述的半導體裝置的製作方法,其中在該蝕刻製程中,該虛置材料層的蝕刻速率會大於該絕緣結構的蝕刻速率至少3倍。
  20. 如申請專利範圍第13項所述的半導體裝置的製作方法,另包括:施行一離子佈值製程,以非晶化(amorphization)該虛置材料層,而形成一非晶化的虛置材料層;以及再結晶化(recrystallization)該非晶化的虛置材料層。
  21. 如申請專利範圍第13項所述的半導體裝置的製作方法,其中各該閘極堆疊結構包括一虛置閘極電極,且在形成該絕緣結構之前,該半導體裝置的製作方法另包括:移除該些虛置閘極電極,以於該虛置材料層中形成至少二閘極溝渠;依序沉積一高介電常數層和一功函數層,致使該高介電常數層和該功函數層係順向性地設置於各該閘極溝渠的底面和側面;以及在各該溝渠內填滿一閘極電極。
TW104125896A 2015-08-10 2015-08-10 半導體裝置及其製作方法 TWI658593B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW104125896A TWI658593B (zh) 2015-08-10 2015-08-10 半導體裝置及其製作方法
US14/855,357 US9847403B2 (en) 2015-08-10 2015-09-15 Semiconductor device and a fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW104125896A TWI658593B (zh) 2015-08-10 2015-08-10 半導體裝置及其製作方法

Publications (2)

Publication Number Publication Date
TW201707206A TW201707206A (zh) 2017-02-16
TWI658593B true TWI658593B (zh) 2019-05-01

Family

ID=57994860

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104125896A TWI658593B (zh) 2015-08-10 2015-08-10 半導體裝置及其製作方法

Country Status (2)

Country Link
US (1) US9847403B2 (zh)
TW (1) TWI658593B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972620B2 (en) * 2016-08-11 2018-05-15 Globalfoundries Inc. Preventing shorting between source and/or drain contacts and gate
TWI630647B (zh) * 2017-09-20 2018-07-21 華邦電子股份有限公司 半導體元件及其製造方法
CN109524302B (zh) 2017-09-20 2020-12-15 华邦电子股份有限公司 半导体组件及其制造方法
US10998229B2 (en) 2018-10-29 2021-05-04 International Business Machines Corporation Transistor with improved self-aligned contact

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018707A1 (en) * 2002-07-26 2004-01-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor device fabrication method
US20090102058A1 (en) * 2007-10-17 2009-04-23 Chao-Ching Hsieh Method for forming a plug structure and related plug structure thereof
TW201511283A (zh) * 2013-09-04 2015-03-16 Globalfoundries Us Inc 於鰭式場效電晶體半導體設備上形成接觸結構的方法及其所產生的設備

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI223393B (en) * 2003-04-15 2004-11-01 Nanya Technology Corp Method of filling bit line contact via
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7704878B2 (en) * 2005-10-03 2010-04-27 Advanced Micro Devices, Inc, Contact spacer formation using atomic layer deposition
US7670946B2 (en) * 2006-05-15 2010-03-02 Chartered Semiconductor Manufacturing, Ltd. Methods to eliminate contact plug sidewall slit
US8518774B2 (en) * 2007-03-29 2013-08-27 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
JP5434360B2 (ja) * 2009-08-20 2014-03-05 ソニー株式会社 半導体装置及びその製造方法
KR101692309B1 (ko) * 2010-08-25 2017-01-04 삼성전자 주식회사 반도체 장치의 제조방법
US8536656B2 (en) 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US20130241007A1 (en) * 2012-03-15 2013-09-19 International Business Machines Corporation Use of band edge gate metals as source drain contacts
US8754527B2 (en) * 2012-07-31 2014-06-17 International Business Machines Corporation Self aligned borderless contact
US8921947B1 (en) * 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9245894B2 (en) * 2013-12-12 2016-01-26 Texas Instruments Incorporated Self aligned active trench contact
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
KR102274587B1 (ko) * 2014-07-16 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9754935B2 (en) * 2014-08-07 2017-09-05 International Business Machines Corporation Raised metal semiconductor alloy for self-aligned middle-of-line contact
KR20160020870A (ko) * 2014-08-14 2016-02-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102236555B1 (ko) * 2014-11-11 2021-04-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102224386B1 (ko) * 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018707A1 (en) * 2002-07-26 2004-01-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor device fabrication method
US20090102058A1 (en) * 2007-10-17 2009-04-23 Chao-Ching Hsieh Method for forming a plug structure and related plug structure thereof
TW201511283A (zh) * 2013-09-04 2015-03-16 Globalfoundries Us Inc 於鰭式場效電晶體半導體設備上形成接觸結構的方法及其所產生的設備

Also Published As

Publication number Publication date
US20170047422A1 (en) 2017-02-16
US9847403B2 (en) 2017-12-19
TW201707206A (zh) 2017-02-16

Similar Documents

Publication Publication Date Title
US9685337B2 (en) Method for fabricating semiconductor device
CN113659004B (zh) 半导体元件及其制作方法
KR102465533B1 (ko) 수직 채널을 가지는 반도체 소자
US9196542B2 (en) Method for manufacturing semiconductor devices
TWI662652B (zh) 形成積體電路的方法
US10068797B2 (en) Semiconductor process for forming plug
KR20130084203A (ko) Finfet 구조물들에서의 fin 높이 제어
CN103578954A (zh) 具有金属栅极的半导体集成电路
TWI815949B (zh) 金屬閘極形成方法及其形成結構
TW201714309A (zh) 鰭式場效應電晶體結構及其製造方法
US11527638B2 (en) Semiconductor device and method for fabricating the same
TWI658593B (zh) 半導體裝置及其製作方法
US10347761B2 (en) Tunneling field effect transistor and method for fabricating the same
TW202234526A (zh) 半導體裝置及其形成方法
US10090398B2 (en) Manufacturing method of patterned structure of semiconductor
TW202006790A (zh) 半導體元件及其製作方法
KR102414957B1 (ko) 반도체 장치의 제조 방법
US9978873B2 (en) Method for fabricating FinFet
US20230378166A1 (en) Semiconductor device and method for fabricating the same
TWI574308B (zh) 半導體結構及其製程