DE102010028462B4 - Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen - Google Patents

Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen Download PDF

Info

Publication number
DE102010028462B4
DE102010028462B4 DE102010028462.9A DE102010028462A DE102010028462B4 DE 102010028462 B4 DE102010028462 B4 DE 102010028462B4 DE 102010028462 A DE102010028462 A DE 102010028462A DE 102010028462 B4 DE102010028462 B4 DE 102010028462B4
Authority
DE
Germany
Prior art keywords
spacer
forming
transistor
nitrogen
spacer layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102010028462.9A
Other languages
English (en)
Other versions
DE102010028462A1 (de
Inventor
Jan Hoentschel
Andreas Kurz
Uwe Griebenow
Thilo Scheiper
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102010028462.9A priority Critical patent/DE102010028462B4/de
Priority to US12/963,753 priority patent/US8426266B2/en
Publication of DE102010028462A1 publication Critical patent/DE102010028462A1/de
Application granted granted Critical
Publication of DE102010028462B4 publication Critical patent/DE102010028462B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Verfahren mit:
Bilden einer Abstandshalterschicht über einem ersten Transistor und einem zweiten Transistor, wobei die Abstandshalterschicht Silizium und Stickstoff aufweist und wobei der erste Transistor in und über einem ersten aktiven Gebiet ausgebildet ist und ein im Wesentlichen amorphisiertes Halbleitergebiet in Drain- und Sourcebereichen enthält, und wobei der zweite Transistor in und über einem zweiten aktiven Gebiet ausgebildet ist;
Ausheizen des ersten und des zweiten Transistors derart, dass das im Wesentlichen amorphisierte Halbleitergebiet in Anwesenheit der Abstandshalterschicht rekristallisiert wird;
Verringern des Anteils an Stickstoff in der Abstandshalterschicht durch Einbau von Sauerstoff durch Ionenimplantation;
Bilden eines Abstandshalters aus der Abstandshalterschicht jeweils an den Seitenwänden von Gateelektrodenstrukturen des ersten und des zweiten Transistors; und
Abscheiden eines dielektrischen Materials über dem Abstandshalter.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung integrierte Schaltungen und betrifft insbesondere Transistoren mit verformten Kanalgebieten unter Anwendung von Verspannungsgedächtnistechnologien, um die Ladungsträgerbeweglichkeit im Kanalgebiet eines MOS-Transistors zu erhöhen.
  • Beschreibung des Stands der Technik
  • Im Allgemeinen wird ein Vielzahl an Prozesstechnologien aktuell eingesetzt, um integrierte Schaltungen herzustellen, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie eine der vielversprechendsten Vorgehensweisen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche gebildet sind, die wiederum durch stark dotierte Drain- und Sourcegebiete und ein invers oder schwach dotiertes Kanalgebiet erzeugt ist, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist. Die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, ist durch eine Gateelektrode gesteuert, die in der Nähe des Kanalgebiets angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt u. a. von dem Dotierstoffprofil, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets der Transistorbreitenrichtung von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit ist die Leitfähigkeit des Kanalgebiets ein wichtiger Faktor, der das Leistungsverhalten von MOS-Transistoren beeinflusst. Daher ist die Verringerung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Die stetige Verringerung der Transistorabmessungen beinhaltet jedoch eine Reihe von Problemen, die damit verknüpft sind, etwa eine geringere Steuerbarkeit des Kanals, was auch als Kurzkanaleffekte bezeichnet wird, und dergleichen, die es zu lösen gilt, um nicht in unerwünschter Weise die Vorteile aufzuheben, die durch das stetige Verringern der Kanallänge von MOS-Transistoren erreicht werden. Beispielsweise muss die Dicke der Gateisolationsschicht, die typischerweise ein oxidbasiertes Dielektrikum repräsentiert, verringert werden, wenn die Gatelänge abnimmt, wobei eine geringere Dicke zu erhöhten Leckströmen führen kann, wodurch Schranken für oxidbasierte Gateisolationsschichten auferlegt werden, die etwa bei 1 bis 2 nm liegen. Daher fordert die kontinuierliche Verringerung der Größe der kritischen Abmessungen, d. h. der Gatelänge der Transistoren, das Anpassen und möglicherweise das Neuentwickeln äußerst komplexer Prozesstechniken, beispielsweise um Kurzkanaleffekte zu kompensieren, wobei oxidbasierte Gatedielektrika im Hinblick auf Gate-Leckströme ihre Grenzen erreichen. Es wurde daher vorgeschlagen, siliziumdioxidbasierte Dielektrika zumindest teilweise durch sogenannte dielektrische Materialien mit großem ε zu ersetzen, die eine Dielektrizitätskonstante oder höher aufweisen. Auch kann die Kanalleitfähigkeit der Transistoren verbessert werden, indem die Ladungsträgerbeweglichkeit in dem Kanalgebiet bei einer vorgegebenen Kanallänge erhöht wird, wodurch die Möglichkeit geschaffen wird, eine deutliche Leistungssteigerung zu erreichen.
  • Ein effizienter Mechanismus zum Erhöhen der Ladungsträgerbeweglichkeit ist die Modifizierung der Gitterstruktur in dem Kanalgebiet, indem beispielsweise eine Zugverspannung oder eine kompressive Verspannung in der Nähe des Kanalgebiets hervorgerufen wird, so dass eine entsprechende Verformung in dem Kanalgebiet erzeugt wird, die zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Beispielsweise erhöht das Erzeugen einer uniaxialen Zugverformung in dem Kanalgebiet entlang der Kanallängsrichtung für eine standardmäßige Kristallorientierung die Beweglichkeit von Elektronen, was wiederum direkt zu einer entsprechenden Zunahme der Leitfähigkeit führt. Andererseits kann eine uniaxiale kompressive Verformung in dem Kanalgebiet für die gleiche Kristallkonfiguration die Beweglichkeit von Löchern erhöhen, wodurch die Möglichkeit geschaffen wird, das Verhalten von p-Transistoren zu verbessern. Das Einführen von Verspannungs- oder Verformungstechnologien in den Herstellungsvorgang für integrierte Schaltungen ist ein äußerst vielversprechender Ansatz, da beispielsweise verformtes Silizium als eine „neue” Art an Halbleitermaterial betrachtet werden kann, das die Herstellung schneller leistungsfähiger Halbleiterbauelemente ermöglicht, ohne dass teuere Halbleitermaterialien erforderlich sind, wobei auch viele der gut etablierten Fertigungstechniken weiterhin eingesetzt werden können.
  • In einigen Vorgehensweisen wird eine externe Verspannung, die beispielsweise durch permanente Deckschichten, durch Abstandshalterelemente und dergleichen erreicht wird, in dem Versuch verwendet, eine gewünschte Verformung innerhalb des Kanalgebiets zu erzeugen. Obwohl dies ein vielversprechender Ansatz ist, hängt der Vorgang des Erzeugens der Verformung in dem Kanalgebiet durch Anwenden einer spezifizierten externen Verspannung von der Wirksamkeit des Verspannungsübertragungsmechanismus für die externe Verspannung, die beispielsweise durch Kontaktschichten, Abstandshalter und dergleichen hergestellt wird, in das Kanalgebiet ab, um damit die gewünschte Verformung zu erzeugen. Für unterschiedliche Transistorarten müssen daher unterschiedlich verspannte Deckschichten vorgesehen werden, was zu einer Vielzahl an zusätzlichen Prozessschritten führt, wobei insbesondere mögliche zusätzliche Lithographieschritte deutlich zu den gesamten Produktionskosten beitragen. Ferner kann die Menge an verspannungsinduzierendem Material und insbesondere dessen innere Verspannung nicht in beliebiger Weise erhöht werden, ohne dass nicht deutliche Entwurfsänderungen möglich werden.
  • Bei einer weiteren Verringerung der Transistorabmessungen wird auch der laterale Abstand zwischen benachbarten Gateelektrodenstrukturen verringert, wodurch die Möglichkeit deutlich eingeschränkt wird, stark verspannte dielektrische Materialien üer den dicht liegenden Transistorelementen abzuscheiden. Auf Grund der deutlichen Einschränkungen derartiger „externer” verformungsinduzierender Mechanismen in komplexen Halbleiterbauelementen werden daher zunehmend „interne” verformungsinduzierende Quellen, etwa eine eingebettete verformte Halbleiterlegierung, etwa in Form von Silizium und Germanium und dergleichen in die Drain- und Sourcebereiche eingebaut, um eine hohe uniaxiale Verformungskomponenten in benachbarten Kanalgebieten hervorzurufen. Das gut etablierte Silizium/Germanium-Material in kann in p-Kanaltransistoren vorgesehen werden, um eine hohe kompressive Verformung zu erreichen, während andererseits Halbleiterlegierungen mit Zugverformung, etwa Silizium, Kohlenstoff, vergleichbare hohe Verformungspegel nicht erreichen können auf Grund von fertigungsabhängigen Problemen bei der Erzeugung effizienter Silizium/Kohlenstoffmaterialzusammensetzungen.
  • In anderen vielversprechenden Lösungsansätzen wird ein im Wesentlichen amorphisiertes Gebiet in dem aktiven Gebiet der Transistoren lateral benachbart zu der Gateelektrode während einer geeigneten Zwischenfertigungsphase erzeugt, wobei das amorphisierte Gebiet dann in Anwesenheit einer steifen Schicht, die über dem Transistorbereich ausgebildet ist, rekristallisiert wird. Zu diesem Zweck hat sich Siliziumnitridmaterial als ein sehr günstiges Material für die steife Schicht erwiesen, da Siliziumnitrid als ein sehr dichtes und steifes Material bereitgestellt werden kann, das somit in effizienter Weise das darunter liegende im Wesentlichen amorphisierte Halbleitermaterial dazu zwingt, in einem stark verformten Zustand beim Ausheizen des Bauelements wieder aufzuwachsen, wobei jedoch nicht beabsichtigt ist, die vorliegende Anmeldung auf diese Erläuterung einzuschränken. D. h., während des Ausheizprozesses zum Rekristallisieren findet das Aufwachsen des Kristalls unter Verspannungsbedingungen statt, die durch die steife Deckschicht erzeugt werden, beispielsweise durch Schrumpfung des zuvor amorphisierten Volumens, wodurch ein starrer zugverformter Kristallzustand erreicht wird. Nach der Rekristallisierung kann die verspannungsinduzierende Schicht teilweise oder vollständig entfernt werden, wobei dennoch eine gewisse Größe der Verformung in dem wieder aufgewachsenen Gitterbereich bewahrt wird. Dieser Effekt wird im Weiteren als Verspannungsgedächtnis bezeichnet. Obwohl Verspannungsgedächtnistechnologien möglicherweise in Verbindung mit effizienten silizium/germaniumbasierten verformungsinduzierenden Mechanismen für p-Kanaltransistoren eine vielversprechende Vorgehensweise repräsentieren, um das Verhalten bei einer weiteren Verringerung der gesamten Abmessungen der Transistoren zu erhöhen, können andere negative Einflüsse zunehmend eine Wirkung auf das Gesamtverhalten der Transistoren ausüben. Beispielsweise trägt die parasitäre Kapazität in komplexen Transistoren, die beispielsweise durch pn-Übergänge und dergleichen hervorgerufen wird, zunehmend zum gesamten Transistorverhalten bei. In ähnlicher Weise können auf Grund der geringeren Abmessungen auch die parasitären Kapazitätswerte der Verbindungselemente für das Zuführern von Signalen und Versorgungsspannungen zu den stark größenreduzierten Transistoren zunehmend das Gesamtverhalten der Transistoren beeinträchtigen. Beispielsweise kann die „Randzonenkapazität” der Gateelektrode, d. h. die parasitäre Kapazität, die zwischen Kontaktelementen und der Gateelektrode der Transistoren erzeugt wird, zunehmend zu einem beeinträchtigten Hochfrequenzverhalten der Transistoren beitragen, wobei die Gesamtdielektrizitätskonstante des dielektrischen Materials, das die Gateelektrodenstruktur einschließt, eine der wichtigsten Einflussfaktoren für eine erhöhte parasitäre Kapazität ist. Insbesondere in effizienten Verspannungsgedächtnistechniken wird das Siliziumnitridmaterial, das zum Rekristallisieren der amorphisierten Bereiche in dem aktiven Gebiet in einem zugverformten Zustand verwendet wird, zusätzlich als ein Abstandshalterelement verwendet, nachdem die entsprechende Siliziumnitriddeckschicht nach dem Ausführen des Ausheizprozesses strukturiert wird. Obwohl die Verwendung der verformungsinduzierenden Siliziumnitridschicht als ein Abstandshaltermaterial einen sehr effizienten Gesamtprozessablauf bietet, kann die Anwesenheit des Siliziumnitridmaterials in Form eines Seitenwandabstandshalterelements an der Gateelektrodenstruktur eine erhöhte parasitäre Kapazität auf Grund des moderaten hohen ε-Wertes des Siliziumnitridmaterials hervorrufen. Andererseits kann das Entfernen der Siliziumnitridschicht nach dem Erzeugen der gewünschten Zugverformungskomponente zu einer größeren Prozesskomplexität führen.
  • Die DE 10 2008 007 003 A1 offenbart Verfahren zum selektiven Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik, wobei eine Abstandshalterschicht beim Rekristallisieren vorhanden ist. Die Abstandshalterschicht wird nach dem Strukturieren, z. B. mittels einer Plasmabehandlung auf der Grundlage von Sauerstoff, modifiziert.
  • Die US 2008/0026572 A1 offenbart ebenfalls Verfahren zum Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik, wobei die Abstandshalter, die aus der Abstandshalterschicht für die Verspannungsgedächtnistechnik gebildet wurden, vor der weiteren Prozessierung entfernt werden.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Fertigungstechniken, in denen effiziente Verspannungsgedächtnistechniken auf der Grundlage einer silizium- und stickstoffenthaltenden Abstandshalterschicht angewendet werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen stellt die vorliegende Erfindung Techniken bereit, wobei das Transistorverhalten auf der Grundlage einer Zugverformung erhöht werden, die unter Anwendung von Verspannungsgedächtnistechnologien erzeugt werden, während ein negativer Einfluss auf Abstandshalterelemente, die aus der silizium- und stickstoffenthaltenden Abstandshalterschicht hergestellt werden, beispielsweise im Hinblick auf eine erhöhte Permittivität, und dergleichen, vermieden werden kann. Zu diesem Zweck wird der Stickstoffanteil der Abstandshalterschicht nach dem Prozess zum Rekristallisieren von Bereichen der aktiven Gebiete der Transistoren in einem stark verspannten Zustand verringert, wodurch das Weiterschreiten in der weiteren Bearbeitung durch Herstellen von Abstandshalterelementen aus der modifizierten Abstandshalterschicht ermöglicht wird, die somit eine deutlich geringere Dielektrizitätskonstante besitzt. Die Modifizierung der stickstoffenthaltenden Abstandshalterschicht wird in einigen hierin offenbarten Aspekten bewerkstelligt, indem eine Sauerstoffsorte, beispielsweise durch Ionenimplantation, eingebaut wird und indem eine Abstandshalterschicht so behandelt wird, dass zumindest ein Teil der Stickstoffsorte entfernt wird, wodurch ein deutlich geringerer ε-Wert der resultierenden modifizierten Abstandshalterschicht erreicht wird. Folglich können Verspannungsgedächtnistechniken auf der Grundlage eines sehr effizienten stickstoffenthaltenden Abstandshalterbasismaterials ausgeführt werden, während gleichzeitig die schließlich erhaltenen Abstandshalterelemente eine deutlich geringere Permittivität im Vergleich zu konventionellen Strategien besitzen. Somit können die hierin offenbarten Prinzipien effizient für komplexe Halbleiterbauelemente mit planaren Transistorkonfigurationen mit einer Gatelänge von beispielsweise 40 nm und weniger eingesetzt werden, wobei die Verspannungsgedächtnistechnik „selbst skalierend” ist, sofern entsprechende Abstandshalterelemente zum Einstellen des Profils für Drain- und Sourcegebiete und/oder zum Bestimmen eines lateralen Abstandes von Metallsilizidgebieten und dergleichen erforderlich sind. Da typischerweise Seitenwandabstandshaltertechnologien in vielen anderen Konzepten zum Einrichten von Transistoren angewendet werden, etwa in dreidimensionalen Konfigurationen in der Form von Doppelkanaltransistoren, Dreifachkanaltransistoren oder FINFET-Transistoren, können die hierin offenbarten Verspannungsgedächtnistechniken auch effizient in derartigen dreidimensionalen Strukturen eingesetzt werden, ohne dass in unerwünschter Weise eine höhere parasitäre Kapazität hervorgerufen wird.
  • Insbesondere wird die zuvor genannte Aufgabe gelöst durch Verfahren mit den Merkmalen der Ansprüche 1 bzw. 9.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Aspekte der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1e schematisch Querschnittsansichten eines Halbeiterbauelements während diverser Fertigungsphasen bei der Herstellung von Transistoren auf der Grundlage einer Verspannungsgedächtnistechnik zeigen, wobei die effektive Dielektrizitätskonstante von Abstandshalterelementen gemäß anschaulicher Ausführungsformen reduziert wird; und
  • 2a bis 2d schematisch Querschnittsansichten des Halbleiterbauelements gemäß weiterer anschaulicher Ausführungsformen zeigen, in denen verbesserte Verformungsbedingungen auf der Grundlage einer Verspannungsgedächtnistechnik erreicht werden, wobei eine unerwünschte parasitäre Kapazität der resultierenden Abstandshalterstruktur vermieden wird.
  • Detaillierte Beschreibung
  • Im Allgemeinen stellt die vorliegende Erfindung Verfahren bereit, in denen Verspannungsgedächtnistechniken (SMT) effizient während des Fertigungsprozesses für moderne Transistoren angewendet werden, die Gateelektrodenstrukturen mit kritischen Abmessungen von 40 nm und weniger besitzen, wenn planare Transistorarchitekturen betrachtet werden, während gleichzeitig die resultierende parasitäre Kapazität insbesondere die Randzonenkapazität im Vergleich zu konventionellen Vorgehensweisen verringert wird, indem ein Abstandshaltermaterial mit einer reduzierten Dielektrizitätskonstante vorgesehen wird. Andererseits können die gut etablierten und sehr effizienten Siliziumnitridmaterialien eingesetzt werden, um einen stark verspannten Zustand in einigen der Transistoren zu erreichen, wobei in einigen anschaulichen Ausführungsformen die stickstoffenthaltende Abstandshalterschicht mit einer hohen inneren Verspannung vorgesehen wird, wodurch die Gesamteffizienz noch weiter gesteigert wird. Unter Anwendung der sehr effizienten stickstoffenthaltenden Abstandshalterbasismaterialschicht und durch Modifizieren der Materialzusammensetzung derart, dass ein geringerer ε-Wert erreicht wird, können somit die hierin offenbarten Mechanismen effizient auf eine beliebige Bauteilarchitektur und Prozesstechnologie angewendet werden, in denen Verformungsbedingungen zu einem besseren Bauteilverhalten führen, während gleichzeitig eine geringere Permittivität eines dielektrischen Materials in der Nähe von Leitungen auf Transistorebene erforderlich ist. Beispielsweise betragen in planaren Transistorkonfigurationen die kritischen Abmessungen, d. h. die Gatelänge der Gateelektrodenstrukturen, 40 nm und deutlich weniger, etwa 30 nm und weniger, wobei auch entsprechende Abstände zwischen dicht liegenden Gateelektrodenstrukturen eine ähnliche Größenordnung besitzen, wobei dennoch Abstandshalterelemente für ein geeignetes Profilieren der Drain- und Sourcegebiete und/oder zum Einstellen des lateralen Abstands von Metallsilizidgebieten erforderlich sind. In dieser Situation wird das Siliziumnitridmaterial so abgeschieden, dass es der gesamten Bauteilarchitektur entspricht, wie dies zum Vorsehen geeigneter Abstandshalterelemente erforderlich ist, so dass die Abstandshalterschicht als eine effiziente Komponente der Verspannungsgedächtnistechnologie fungieren kann, während die schließlich erhaltenen Abstandshalter eine deutlich geringere Dielektrizitätskonstante im Vergleich zu dem anfänglichen Basismaterial besitzen. In ähnlicher Weise sind auch in komplexen „dreidimensionalen” Transistorarchitekturen typischerweise Abstandshalterelemente erforderlich, die auf der Grundlage eines modifizierten Basismaterials mit einer reduzierten Dielektrizitätskonstante hergestellt werden können, was jedoch anfänglich zum Anwenden einer Verspannungsgedächtnistechnologie eingesetzt wird. Beispielsweise werden Transistoren mit zwei oder mehr Kanalgebieten, die in einer nicht-ebenen Geometrie ausgebildet sind, etwa in Form von FINFET-Transistoren und dergleichen, typischerweise auf der Grundlage einer Prozesstechnologie hergestellt, die das Vorsehen von Abstandshalterelementen erfordert, die somit ebenfalls auf der Grundlage eines Materials bereitgestellt werden, das eine geringere Dielektrizitätskonstante im Vergleich zu Siliziumnitridabstandshaltern aufweist, wenn gleichzeitig die Möglichkeit geschaffen wird, effiziente Verspannungsgedächtnistechnologien anzuwenden.
  • Es sollte beachtet werden, dass im Zusammenhang der vorliegenden Erfindung eine Verspannungsgedächtnistechnologie als ein Prozess zu verstehen ist, in welchem ein Halbleitergebiet, das einen stark geschädigten Bereich oder einen im Wesentlichen amorphisierten Bereich aufweist, bei Temperaturen ausgeheizt wird, die ausreichend sind, um in geeigneter Weise die entsprechende Kristallstruktur wieder herzustellen, beispielsweise durch Rekristallisieren des im Wesentlichen amorphisierten Bereichs in Anwesenheit einer darüber liegenden Materialschicht, die Silizium und Stickstoff aufweist, um damit eine verformte Gitterstruktur zu schaffen. D. h., ein entsprechender Ausheizprozess wird in Anwesenheit einer Deckschicht ausgeführt, die für geeignete Verspannungsbedingungen in dem Halbleitermaterial sorgt, um damit das Erzeugen eines verformten Zustands des Halbleitermaterials während des Ausheizprozesses zu ermöglichen, wobei der verformte Zustand in einer mehr oder minder ausgeprägten Weise beibehalten wird, wenn die entsprechende Deckschicht oder Abstandshalterschicht teilweise entfernt wird. Zu beachten ist, dass ein entsprechender Mechanismus zum Erzeugen eines verformten Halbleitermaterials auf der Grundlage der Gedächtnistechnologie noch nicht vollständig verstanden sein kann, wobei dennoch die hierin offenbarten Prinzipien deutliche Vorteile im Hinblick auf das Transistorleistungsverhalten bieten, wobei gut steuerbare Prozessparameter, etwa die Ausheizbedingungen und dergleichen, angewendet werden können, um damit eine reproduzierbare Fertigungsstrategie zu erreichen.
  • Es sollte beachtet werden, dass ein im Wesentlichen amorphisiertes Halbleitergebiet als ein Halbleitergebiet zu verstehen ist, das einen Teil eines „aktiven” Halbleitergebiets repräsentieren kann, und das eine moderat große Menge an Gitterfehlern aufweist im Vergleich zu einem im Wesentlichen kristallinen Bereich. Beispielsweise kann eine Konzentration von ungefähr 1022 Gitterdefekten pro cm3 als ein schwer geschädigtes oder im Wesentlichen amorphes Halbleitergebiet verstanden werden. Andererseits wird eine maximale Konzentration von 1020 Gitterdefekten pro cm3 als ein „kristallines, obwohl geschädigtes” Halbleitermaterial betrachtet.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101 und einer Halbleiterschicht 102. Das Substrat 101 ist ein beliebiges geeignetes Trägermaterial, etwa ein Halbleitermaterial, ein Isolationsmaterial und dergleichen. Wie zuvor erläutert ist, kann Silizium als ein Basismaterial zur Herstellung komplexer integrierter Schaltungen verwendet werden, und somit weist das Substrat 101 häufig ein Siliziummaterial auf. In ähnlicher Weise kann die Halbleiterschicht 102, obwohl diese aus einem beliebigen Halbleitermaterial aufgebaut sein kann, typischerweise einen wesentlichen Anteil an Silizium aufweisen, dessen elektronische Eigenschaften effizient auf der Grundlage von Verformung eingestellt werden können, die lokal innerhalb der Halbleiterschicht 102 auf der Grundlage einer Verspannungsgedächtnistechnologie erzeugt wird. Es sollte beachtet werden, dass die Halbleiterschicht 102 in Verbindung mit dem Substrat 101 eine Vollsubstratkonfiguration repräsentieren kann, in der das Material der Halbleiterschicht 102 direkt mit einem kristallinen Substratmaterial des Substrats 101 in Verbindung steht. In anderen Fällen wird eine SOI-(Silizium-auf-Isolator-)Konfiguration mittels der Schicht 102 und dem Substrat 101 vorgesehen, wenn eine vergrabene isolierende Materialschicht (nicht gezeigt) zwischen diesen beiden Komponenten vorgesehen ist. Die Halbleiterschicht 102 umfasst in dieser Fertigungsphase eine Vielzahl an Isolationsgebieten (nicht gezeigt), wodurch entsprechende aktive Gebiete, etwa aktive Gebiete 102a, 102b lateral begrenzt werden. In diesem Zusammenhang ist ein aktives Gebiet als ein Halbleiterbereich der Schicht 102 zu verstehen, in welchem pn-Übergänge zumindest eines Transistorelements hergestellt sind oder während der weiteren Bearbeitung herzustellen sind. In der gezeigten Ausführungsform entspricht das aktive Gebiet 102a einen Transistor 150a, etwa einem n-Kanaltransistor, dessen Leistungsverhalten auf der Grundlage einer Verspannungsgedächtnistechnologie zu verbessern ist. Andererseits entspricht das aktive Gebiet 102b einem Transistor 150b, etwa einem p-Kanaltransistor, in welchem eine Zugverformungskomponente nicht gewünscht ist. Zu beachten ist jedoch, dass andere Konfigurationen für die Transistoren 150a, 150b verwendet werden können, solange zumindest einer dieser Transistoren eine Zugverformungskomponente in dem entsprechenden aktiven Gebiet benötigt. Die Transistoren 150a, 150b können „planare” Transistoren sein, in denen Gateelektrodenstrukturen 120a, 120b über den jeweiligen aktiven Gebieten 102a, 102b so vorgesehen sind, dass ein planares Kanalgebiete 152 gebildet wird, dessen Leitfähigkeit durch die entsprechende Gateelektrodenstruktur 120a bzw. 120b gesteuert wird. Somit entspricht in 1a die allgemeine Stromflussrichtung der horizontalen Richtung in dem Kanalgebiet 152. In der gezeigten Fertigungsphase weisen die Gateelektrodenstrukturen 120a, 120b eine geeignete Ausbildung auf, beispielsweise umfassen diese ein Gatedielektrikumsmaterial 122 in Verbindung mit einem oder mehreren Elektrodenmaterialien, etwa Materialien 123 und 121. Beispielsweise umfasst in einigen anschaulichen Ausführungsformen das Gatedielektrikumsmaterial 122 eine dielektrische Komponente mit „großem ε”, beispielsweise in Form von Hafniumoxid, Hafniumsiliziumoxid, Zirkonoxid, und dergleichen, so dass eine erhöhte Dielektrizitätskonstante im Vergleich zu konventionellen dielektrischen Materialien, etwa Siliziumdioxid, Siliziumoxinitrid, und dergleichen erreicht wird. Es sollte beachtet werden, dass das Gatedielektrikumsmaterial 122 auch ein konventionelles dielektrisches Material umfassen kann, wobei jedoch auf Grund des Einbaus einer dielektrischen Komponente mit großem ε die Gesamtpermittivität des Gatedielektrikumsmaterials 122 höher ist für eine gegebene Dicke im Vergleich zu einem Gatedielektrikumsmaterial, das vollständig aus einem konventionellen dielektrischen Material aufgebaut ist. Auf diese Weise kann eine Oxidäquivalenzdicke von 1,5 nm und deutlich geringer erreicht werden, wobei die physikalische Dicke 1,5 nm und größer ist. Wenn ferner eine dielektrische Komponente mit großem ε in dem Gatedielektrikumsmaterial 122 vorgesehen wird, wird häufig ein leitendes Deckmaterial, etwa die Schichte 123, bereitgestellt, um damit das empfindliche Gatedielektrikumsmaterial einzuschließen und auch um als ein „Elektrodenmetall” zu dienen. Beispielsweise ist die Schicht 123 aus Titannitrid und dergleichen aufgebaut. Das Elektrodenmaterial 121 kann in Form eines Siliziummaterials, etwa amorphes Material, Polysiliziummaterial, und dergleichen bereitgestellt werden. Unter Anwendung einer komplexen Konfiguration der Gateelektrodenstrukturen 120a, 120b kann somit ein verbessertes Verhalten selbst für extrem reduzierte Transistorabmessungen erreicht werden. Insbesondere ist für derartige komplexe Gateelektrodenstrukturen eine reduzierte Randzonenkapazität erforderlich, da auf Grund der reduzierten lateralen Abmessungen typischerweise Kontaktelemente und dergleichen in unmittelbarer Nähe zu den Gateelektrodenstrukturen bereitgestellt werden müssen.
  • Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen (nicht gezeigt) die Gateelektrodenstrukturen 120a, 120b konventionelle Materialien aufweisen, etwa Siliziumdioxid, Siliziumnitrid und dergleichen in Verbindung mit einem Siliziummaterial, wobei abhängig von der gesamten Prozessstrategie ein oder beide Materialien in einer sehr fortgeschrittenen Fertigungsphase durch komplexe Materialien ersetzt werden können, etwa durch ein dielektrisches Material mit großem ε und durch metallenthaltende Elektrodenmaterialien. Ferner ist in der gezeigten Ausführungsform eine Schutzschicht 124, beispielsweise aus Siliziumnitrid, an Seitenwänden der Materialien 121, 123 und 122 vorgesehen, wodurch eine bessere Integrität insbesondere der empfindlichen Materialien 123 und 122 erreicht wird. In der gezeigten Fertigungsphase ist ein Abstandshalterelement 125, beispielsweise aus Siliziumdioxid, so vorgesehen, dass die Beschichtung 124 im Wesentlichen abgedeckt ist. Beispielsweise wird der Abstandshalter in Form eines Siliziumdioxidmaterials 125 bereitgestellt, wodurch eine reduzierte gesamtparasitäre Kapazität erreicht wird, während andererseits die Dicke des Materials 124 zwei bis mehrere Nanometer betragen kann, wodurch die gesamten elektrischen Eigenschaften der Gateelektrodenstrukturen 120a, 120b nicht in unerwünschter Weise beeinträchtigt werden.
  • Der Transistor 150a umfasst ferner Drain- und Sourceerweiterungsgebiete 151e, deren lateraler Abstand im Wesentlichen durch den Abstandshalter 125 bestimmt ist. Ferner sind gegendotierte Gebiete oder Halo-Gebiete 151h mit einem geeigneten Profil in vertikaler und horizontaler Richtung so vorgesehen, dass das gewünschte Transistorverhalten erreicht wird. In der gezeigten Fertigungsphase ist ferner eine im Wesentlichen amorphisiertes Gebiet 102d in dem aktiven Gebiet 102a so vorgesehen, dass die Implantationsgebiete 151e, 151h im Wesentlichen in dem im Wesentlichen amorphisierten Gebiet 102d eingebettet sind.
  • In ähnlicher Weise umfasst der Transistor 150b Drain- und Sourceerweiterungsgebiete 151e, die von inverser Leitfähigkeitsart sind im Vergleich zu jenen des Transistors 150a, wenn die Transistoren 150a, 150b komplementäre Transistoren darstellen. In ähnlicher Weise werden die gegendotierten Gebiete 151h vorgesehen und sind invers dotiert im Hinblick auf die Drain- und Sourceerweiterungsgebiete 151e. Zu beachten ist, dass das aktive Gebiet 102b einen im Wesentlichen amorphisierten Bereich aufweist und somit ist die Konzentration an Gitterdefekten in dem aktiven Gebiet 102b, beispielsweise wie sie durch vorangehende Implantationsprozesse hervorgerufen werden, deutlich geringer im Vergleich zu dem aktiven Gebiet 102a.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage einer beliebigen geeigneten Prozessstrategie hergestellt werden. Beispielsweise werden die aktiven Gebiete 102a, 102b hergestellt, indem Isolationsstrukturen (nicht gezeigt) erzeugt werden und indem eine spezielle Basisdotierung gemäß der Leitfähigkeitsart der Transistoren 150a, bzw. 150b eingeführt wird. Dazu sind gut etablierte Implantationstechniken und Maskierungsschemata anwendbar. Daraufhin werden die Gateelektrodenstrukturen 120a, 120b hergestellt, indem geeignete Materialien aufgebracht und diese unter Anwendung von komplexen Lithographie- und Ätztechniken strukturiert werden. Nach der Strukturierung der Materialien 122, 123 und 121 wird die Beschichtung 124 hergestellt und nachfolgend wird ein Abstandshaltermaterial abgeschieden, das nachfolgend in den Abstandshalter 125 strukturiert wird. Bei Bedarf können weitere Prozesse ausgeführt werden, beispielsweise zum Einbetten eines verformungsinduzierenden Halbleitermaterials, etwa eines Silizium/Germaniummaterials, um damit das Transistorleistungsvermögen zu steigern. Es wird eine Maskenimplantationssequenz ausgeführt, um die Erweiterungsgebiete 151e und die Halo-Gebiete 151h in den Transistoren 150a, 150b zu erzeugen, wobei ein zusätzlicher Voramorphisierungsimplantationsschritt angewendet werden kann, um das im Wesentlichen amorphisierte Gebiete 102d in dem aktiven Gebiet 102a zu erzeugen. Zu beachten ist, dass bei Bedarf die Erweiterungsgebiete 151e und die Halo-Gebiete 151h auf der Grundlage einer Voramorphisierungsimplantation in beiden Transistoren 150a, 150b ohne einen nachfolgenden Ausheizprozess zur Rekristallisierung von durch Implantation hervorgerufenen Schäden hergestellt werden können. Daraufhin wird der im Wesentlichen amorphisierte Bereich 102d selektiv in dem aktiven Gebiet 102a erzeugt. In anderen Fällen wird ein deutlich geringerer Grad an Gitterschäden in dem aktiven Gebiet 102b erreicht, wenn der Implantationsprozess auf der Grundlage von Bor ausgeführt wird, um damit die Erweiterungsgebiete 151e zu erzeugen. Andererseits erfordern die gegendotierten Halo-Gebiete 151h des Transistors 150b allgemein eine deutlich geringere Implantationsdosis, so dass ein entsprechender implantationshervorgerufener Schaden dennoch deutlich geringer ist im Vergleich zu der n-Dotierung in dem aktiven Gebiet 102a, wenn dieses einen n-Kanaltransistor darstellt.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Abstandshalterschicht 120 über den Transistoren 150a, 150b ausgebildet und besitzt eine geeignete Dicke, so dass die Strukturierung von Abstandshalterelementen in einer weiter fortgeschrittenen Fertigungsphase möglich ist. Die Abstandshalterschicht 130 umfasst eine Stickstoffsorte und ist in einigen anschaulichen Ausführungsformen ein Siliziumnitridmaterial, das effizient als eine steife Deckschicht während einer Verspannungsgedächtnistechnologie verwendet werden kann, wie dies auch zuvor erläutert ist. In einigen anschaulichen Ausführungsformen wird die Abstandshalterschicht 130 in Verbindung mit einer Ätzstoppschicht 131 vorgesehen, etwa einer Siliziumdioxidschicht, mit einer Dicke von 2 bis mehrere nm, während die Abstandshalterschicht 130 eine Dicke von 10 bis 60 nm oder mehr besitzt, wobei dies von der schließlich gewünschten Abstandshalterbreite abhängt. In einigen anschaulichen Ausführungsformen wird die Abstandshalterschicht 130 mit einem hohen inneren Verspannungspegel bereitgestellt, beispielsweise mit einer inneren Zugverspannung von 1 GPa oder mehr, wodurch die Gesamteffizienz für das Hervorrufen einer gewünschten Zugverformung in dem aktiven Gebiet 102a weiter verbessert wird.
  • Die Ätzstoppschicht 131, falls diese vorgesehen ist, kann auf der Grundlage einer beliebigen geeigneten Abscheidetechnik hergestellt werden, etwa durch plasmaunterstützte CVD (chemische Dampfabscheidung), und dergleichen. In ähnlicher Weise wird die Abstandshalterschicht 130 auf der Grundlage von plasmaunterstützten CVD-Techniken hergestellt, wobei bei Bedarf Prozessparameter, etwa die Abscheidetemperatur, der Druck, der Ionenbeschuss und dergleichen, so eingestellt werden, dass ein gewünschter innerer Verspannungspegel, etwa eine hohe Zugverspannungskomponente, erhalten wird. Zu beachten ist, dass eine Vielzahl an Abscheiderezepten in diesem technischen Gebiet gut etabliert ist, um Siliziumnitridmaterial mit hoher innerer Verspannung zu erzeugen. Beispielsweise sind dielektrische Deckschichten mit einer hohen inneren Verspannung, die nach der Fertigstellung der grundlegenden Transistorkonfiguration aufgebracht werden, ein effizienter verformungsinduzierender Mechanismus, wie dies auch zuvor beschrieben ist, und entsprechende Abscheiderezepte können auch zum Bereitstellen der Abstandshalterschicht 130 angewendet werden, wobei jedoch eine Dicke geeignet auf die gesamte Bauteilgeometrie abgestimmt wird, wie dies auch zuvor erläutert ist. Folglich kann die Abstandshalterschicht 130 auf die darunter liegenden aktiven Gebiete 102a, 102b einwirken, wobei insbesondere das Gebiet 102a den im Wesentlichen amorphisierten Bereich 102d aufweist, während das aktive Gebiet 102b in einem im Wesentlichen kristallinen Zustand vorliegt. Als nächstes wird ein Ausheizprozess 103 angewendet, um damit die Rekristallisierung des im Wesentlichen amorphisierten Bereichs 102d in Gang zu setzen, was bewerkstelligt werden kann mittels einer geeigneten Ausheiztechnik, etwa schnelles thermisches Ausheizen, lasergestützte Ausheiztechniken, blitzlichtgestützte Ausheiztechniken, wobei eine effektive Prozesstemperatur von 550 Grad C bis 700 Grad C und höher angewendet wird, um das gewünschte Kristallwachstum zu erreichen, während der Grad an Dotierstoffdiffusion ebenfalls gemäß den Prozess- und Bauteilerfordernissen eingestellt wird. Wie zuvor erläutert ist, wird während des Ausheizprozesses 103 das Gebiet 102d zunehmend rekristallisiert, wodurch es die Gitterstruktur des umgebenden im Wesentlichen kristallinen Bereichs in dem aktiven Gebiet 102a annimmt, woraus sich ein stark zugverformter Zustand, wie er durch 152t angegeben ist, in dem Kanalgebiet 152 und auch innerhalb der Drain- und Sourcebereiche, d. h. in und unterhalb der Erweiterungsgebiete 151e und der Halo-Gebiete 151h ergibt. Es sollte beachtet werden, dass eine Zugverformungskomponente, die in dem Transistor 150b hervorgerufen wird, im Wesentlichen „temporärer” Natur ist, da das aktive Gebiet 102b seinen kristallinen Status nicht wesentlich umordnet auf Grund der deutlich geringeren Defektrate im Vergleich zu dem Bereich 102d in dem Transistor 150a, während die durch das Material 130 hervorgerufene Verformung deutlich reduziert wird, wenn ein Teil der Schicht 130 in einer späteren Fertigungsphase entfernt wird. Wie ferner nachfolgend mit Bezug zu 1c erläutert ist, kann eine effiziente Verspannungsrelaxation in der Schicht 130 vor dem Strukturieren von Abstandshalterelementen aus dieser Schicht erreicht werden.
  • 1c zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, unterliegt das Bauelement 100 einem Prozess 104, in welchem die Materialeigenschaften der Schicht 130 im Hinblick auf die Dielektrizitatskonstante deutlich modifiziert werden. In einigen anschaulichen Ausführungsformen umfasst der Prozess 104 einen Ionenimplantationsprozess zum Einbau einer geeigneten Sorte, die mit dem Basismaterial der Schicht 130 in Wechselwirkung tritt, um damit zumindest einen Teil des anfänglichen Stickstoffanteils zu entfernen. Beispielsweise wird während des Prozesses 104 Sauerstoff in die Schicht 130 eingebaut und gleichzeitig wird Stickstoff aus der Schicht 130 herausgetrieben, wodurch zunehmend eine „siliziumdioxidartige” Materialschicht erzeugt wird, wodurch ebenfalls die wirksame Dielektrizitatskonstante der Schicht 130 verringert wird. Zu diesem Zweck umfasst der Prozess 104 einen Ionenimplantationsprozess zum Implantieren einer Sauerstoffsorte mit einer hohen Dosis, beispielsweise mit einer Dosis von bis zu 1018 cm2 mit einer Implantationsenergie von mehreren 10 keV bis zu 200 keV, wobei dies von der Dicke der Abstandshalterschicht 130 abhängt. Während des Implantationsprozesses können ebenfalls erhöhte Temperaturen angewendet werden, beispielsweise von ungefähr 300 Grad bis mehrere 100 Grad C, wodurch das „Herauslösen” der Stickstoffsorte in Gang gesetzt wird. Während der Behandlung 104 können sich also Sauerstoffcluster in der Schicht 130 bilden und können der Schicht 130 eine reduzierte Dielektrizitätskonstante verleihen. Es sollte beachtet werden, dass geeignete Prozessparameter 104 effizient durch Experimente ermittelt werden können, in denen die Reduzierung der Dielektrizitatskonstante für mehrere Implantationsparametereinstellungen in Verbindung mit erhöhten Temperaturen möglicherweise in Verbindung mit zusätzlichen Behandlungen nach dem Prozess 104 bestimmt wird.
  • 1d zeigt schematisch das Halbleiterbauelement 100 während eines Ätzprozesses 105, in welchem Abstandshalterelemente 130s aus der Abstandshalterschicht 130 nach dem Modifizierungsprozess 104 (siehe 1c) erhalten werden. Der Ätzprozess 105 kann auf der Grundlage geeignet ausgewählter Prozessparameter und einer Ätzchemie ausgeführt werden, so dass dabei das modifizierte Ätzverhalten der zuvor modifizierten Abstandshalterschicht berücksichtigt wird, was effizient bewerkstelligt werden kann, indem Experimente ausgeführt werden beginnend von gut etablierten Abstandshalterätztechniken für Siliziumnitridmaterialien. Die Abstandshalter 120s können somit eine Materialzusammensetzung aufweisen, in der Sauerstoff eingebaut ist und im gewissen Grade die anfängliche Stickstoffsorte ersetzt, wodurch insgesamt ein größerer Anteil an Sauerstoff im Vergleich zu Stickstoff erhalten wird, um somit zu einer geringeren Dielektrizitätskonstante im Vergleich zu konventionellen siliziumnitridbasierten Abstandshalterelementen führt. Des weiteren kann der vorhergehende Prozess 104 (siehe 1c), wenn er einen Implantationsprozess mit hoher Dosis beinhaltet, zu einer deutlichen Verringerung des anfänglichen Verspannungspegels in der Schicht 130 führen, so dass die Abstandshalter 103s ein verspannungsrelaxiertes Material darstellt, wodurch nicht unnötig zu einer Zugverformungskomponente in den Transistor 150b beigetragen wird. Andererseits kann die Verformung 152t im Wesentlichen vollständig in dem Transistor 150a beibehalten werden, wie dies auch zuvor erläutert ist.
  • 1e zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, sind Drain- und Sourcegebiete 151 in den aktiven Gebieten 102a, 102b vorgesehen und stehen in geeigneter Weise mit den Erweiterungsgebieten 151e und den Halo-Gebieten 151h in Verbindung, die weiterhin den verformten Zustand 152t in dem aktiven Gebiet 102a besitzen. Ferner ist ein Metallsilizid 153 in den Drain- und Sourcegebieten vorgesehen, wobei ein lateraler Abstand des Metallsilizids 153 in Bezug auf die Gateelektrodenstrukturen 120a, 120b im Wesentlichen durch den Abstandshalter mit geringer Permittivität 130s festgelegt ist. Des weiteren ist in der gezeigten Ausführungsform auch ein Metallsilizid 126 in den Gateelektrodenstrukturen 120a, 120b vorgesehen.
  • Das in 1e gezeigte Halbeleiterbauelement 100 kann auf der Grundlage einer beliebigen geeigneten Prozessstrategie hergestellt werden, um die Drain- und Sourcegebiete 151 vorzusehen, wobei der Abstandshalter 130s als eine Implantationsmaske verwendet wird, die somit das gewünschte laterale Profil der Drain- und Sourcegebiete 151 festlegt. Nach jeglichen geeigneten Ausheizprozessen werden die Metallsilizidgebiete 153 möglicherweise in Verbindung mit den Metallsilizidgebieten 126 gemäß einem beliebigen geeigneten Silizidierungsprozess hergestellt, wobei auch die Abstandshalterelemente 130s im Wesentlichen den lateralen Abstand zu der Gateelektrodenstruktur festlegen.
  • Daraufhin wird die Bearbeitung fortgesetzt, indem ein geeignetes dielektrisches Material abgeschieden und darin Kontaktelemente erzeugt werden, so dass diese mit den aktiven Gebieten 102a, 102b und mit den Gateelektrodenstrukturen 120a, 120b in Verbindung stehen. Auf Grund der geringeren Dielektrizitätskonstante der Abstandshalterelemente 130s kann somit die parasitäre Kapazität zwischen benachbarten Gateelektrodenstrukturen und zwischen jeglichen Kontaktelementen in den Gateelektrodenstrukturen verringert werden, wodurch bessere Hochfrequenzeigenschaften des Halbleiterbauelements 100 erhalten werden.
  • In anderen anschaulichen Ausführungsformen (nicht gezeigt) wird die Bearbeitung fortgesetzt, indem ein Teil der Gateelektrodenstrukturen 120a, 120b entfernt wird, um somit ein besseres Leistungsverhalten dieser Strukturen zu erreichen. Dazu können die Gateelektrodenstrukturen 120a, 120b ein geeignetes Deckmaterial erhalten haben, beispielsweise zum Vermeiden einer Silizidierung von darunter liegendem Material und das Deckmaterial kann beim lateralen Einbetten der Gateelektrodenstrukturen in ein geeignetes dielektrisches Material entfernt werden. Daraufhin wird das Material 121 durch ein anderes geeignetes Material ersetzt, wobei etwa ein dielektrisches Material mit großem ε aufgebracht wird, wenn ein derartiges Material nicht bereits in einer früheren Fertigungsphase bereitgestellt wurde. Folglich können auch komplexe Austauschgateverfahren angewendet werden, wobei auch in diesem Falle ein gewisser Grad der anfänglichen Verformung beibehalten wir und die Abstandshalter 130s mit geringerer Permittivität für ein besseres Leistungsverhalten sorgen.
  • Es sollte ferner beachtet werden, dass in anderen anschaulichen Ausführungsformen (nicht gezeigt) zwei oder mehr Abstandshalterelemente, etwa der Abstandshalter 130s, sequenziell vorgesehen werden können, um damit ein komplexeres laterales Drain- und Sourceprofil zu erreichen, wobei auch verbesserte Verformungsbedingungen in dem aktiven Gebiet 102a erreicht werden. Auch in diesem Falle kann eine entsprechende Abstandshalterschicht behandelt werden, wie dies zuvor für die Schicht 130 beschrieben ist und somit kann deren Dielektrizitätskonstante vor dem eigentlichen Strukturieren eines entsprechenden Abstandshalterelements verringert werden.
  • 2a zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer anschaulicher Ausführungsformen, in denen die Drain- und Sourcegebiete 151 auf der Grundlage eines Opferabstandshalterelements 106, etwa eines Siliziumnitridabstandshalters und dergleichen, hergestellt werden. Dazu wird das Abstandshalterelement 106 auf der Grundlage gut etablierter Prozesstechniken hergestellt, beispielsweise in Verbindung mit einer Ätzstoppschicht 107, etwa einem Siliziumdioxidmaterial. Wenn die Drain- und Sourcegebiete 151 gebildet werden, kann auch das im Wesentlichen amorphisierte Gebiete 102d selektiv in dem aktiven Gebiet 102a vorgesehen werden, wie dies auch zuvor beschrieben ist. Wenn ferner Gitterschäden in dem aktiven Gebiet 102a, die durch den vorhergehenden Implantationsprozess hervorgerufen werden, als ungeeignet erachtet werden, wird ein Ausheizprozess ausgeführt, um die aktiven Gebiete 102a, 102b im Wesentlichen zu rekristallisieren und nachfolgend wird ein Voramorphisierungsimplantationsprozess selektiv in dem aktiven Gebiet 102a angewendet, um damit den stark geschädigten Bereich 102d zu erzeugen. Als nächstes wird ein geeigneter Ätzprozess angewendet, um das Abstandshalterelement 106 zu entfernen, beispielsweise selektiv in Bezug auf die Ätzstoppschicht 107, die dann ebenfalls entfernt werden kann, beispielsweise auf der Grundlage gut etablierter nasschemischer Ätztechniken und dergleichen.
  • 2b zeigt schematisch das Bauelement 100 gemäß anschaulicher Ausführungsformen, in denen auch das Abstandshalterelemente 125 (siehe 1f) entfernt wurde, beispielsweise zusammen mit der Ätzstoppschicht 107, falls die gewünscht ist. Folglich können die Source- und Draingebiete 151 des Transistors 150a in einem stark geschädigten Zustand auf Grund des amorphisierten Gebiets 102 bereitgestellt werden, wobei ein entsprechender Schaden in dem aktiven Gebiet 102b deutlich geringer ausgeprägt ist, da im Allgemeinen der Einbau von Bor als p-Dotiermittel zu einer deutlich geringeren Kristallschädigung führt. In anderen Fällen wird der im Wesentlichen amorphisierte Bereich 102d selektiv in dem aktiven Gebiet 102a in dieser Fertigungsphase auf der Grundlage eines Implantationsprozesses erzeugt, in welchem der Transistor 150b abgedeckt ist.
  • 2c zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der die Abstandshalterschicht 130 über den Transistoren 150a, 150b ausgebildet ist und ausgeheizt wird, um damit die gewünschte Zugverformungskomponente 152t zu erhalten. Daraufhin wird der Prozess 104 (siehe 1c) angewendet, so dass die Dielektrizitätskonstante verringert wird und die weitere Bearbeitung geht weiter, indem die modifizierte Abstandshalterschicht in die entsprechenden Abstandshalterelemente geätzt wird.
  • 2d zeigt schematisch das Bauelement 100 mit den Abstandshalterelementen 130s, die an Seitenwänden der Gateelektrodenstrukturen 120a, 120b ausgebildet sind. Ferner sind Metallsilizidgebiete 153 in den Drain- und Sourcegebieten 151 mit einem lateralen Abstand vorgesehen, der im Wesentlichen durch den Abstandshalter 120s vorgegeben ist. Folglich kann die Breite der Abstandshalter 130s geeignet so gewählt werden, dass der gewünschte Abstand der Metallsilizidgebiete 153 erhalten wird, ohne dass eine Berücksichtigung des lateralen Profils der Drain- und Sourcegebiete 151 erforderlich ist. Ferner können auch abhängig von der gesamten Prozessstrategie Metallsilizidgebiete 126 in den Gateelektrodenstrukturen 120a, 120b vorgesehen sein, während in anderen Fällen ein Austauschgateverfahren angewendet wird, in welchem Gateelektrodenmaterialien in den Gateelektrodenstrukturen 120a, 120b möglicherweise in Verbindung mit einem dielektrischen Material mit großem ε in einer späteren Fertigungsphase vorgesehen werden.
  • Es gilt also: Die vorliegende Erfindung stellt Fertigungstechniken bereit, in denen komplexe Transistoren auf der Grundlage einer Abstandshaltertechnik vorgesehen werden, die eine reduzierte Dielektrizitätskonstante im Vergleich zu konventionellen Siliziumnitridabstandshalterelementen ergibt, wobei zusätzlich die Abstandshalterelemente aus einer siliziumnitridbasierten Abstandshalterschicht hergestellt sind, die effizient während eines Verspannungsgedächtnisprozesses eingesetzt werden kann. Folglich können effiziente „selbstskalierende” interne verformungsinduzierende Mechanismen für Transistoren angewendet werden, die eine Zugverformung benötigen, wobei dies auf der Grundlage gut etablierter Siliziumnitridmaterialien erfolgt, die möglicherweise in einem Zustand hoher Zugverspannung bereitgestellt werden, wobei dieses Material dann auch als ein effizientes Material für Abstandshalterelemente mit geringem ε eingesetzt wird. Dazu wird die Abstandshalterschicht modifiziert, beispielsweise mittels Sauerstoffimplantation und Wärmebehandlung, um damit die anfängliche Dielektrizitätskonstante zu reduzieren.

Claims (16)

  1. Verfahren mit: Bilden einer Abstandshalterschicht über einem ersten Transistor und einem zweiten Transistor, wobei die Abstandshalterschicht Silizium und Stickstoff aufweist und wobei der erste Transistor in und über einem ersten aktiven Gebiet ausgebildet ist und ein im Wesentlichen amorphisiertes Halbleitergebiet in Drain- und Sourcebereichen enthält, und wobei der zweite Transistor in und über einem zweiten aktiven Gebiet ausgebildet ist; Ausheizen des ersten und des zweiten Transistors derart, dass das im Wesentlichen amorphisierte Halbleitergebiet in Anwesenheit der Abstandshalterschicht rekristallisiert wird; Verringern des Anteils an Stickstoff in der Abstandshalterschicht durch Einbau von Sauerstoff durch Ionenimplantation; Bilden eines Abstandshalters aus der Abstandshalterschicht jeweils an den Seitenwänden von Gateelektrodenstrukturen des ersten und des zweiten Transistors; und Abscheiden eines dielektrischen Materials über dem Abstandshalter.
  2. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Teils von Drain- und Sourcegebieten des ersten und des zweiten Transistors unter Anwendung des Abstandshalters als eine Implantationsmaske.
  3. Verfahren nach Anspruch 1, wobei Bilden der Abstandshalterschicht umfasst: Abscheiden einer silizium- und stickstoffenthaltenden Materialschicht derart, dass diese einen inneren Verspannungspegel von 1 GPa (Gigapascal) oder höher besitzt.
  4. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer ersten Gateelektrodenstruktur des ersten Transistors und einer zweiten Gateelektrodenstruktur des zweiten Transistors mit einer Gatelänge von 40 Nanometer (nm) oder weniger.
  5. Verfahren nach Anspruch 4, wobei Bilden der ersten und der zweiten Gateelektrodenstruktur umfasst: Vorsehen eines dielektrischen Materials mit großem ε in einer Gateisolationsschicht der ersten und der zweiten Gateelektrodenstruktur.
  6. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Abstandshalterschicht mit Silizium und Stickstoff, Reduzieren des Anteils an Stickstoff in der zweiten Abstandshalterschicht und Bilden eines zweiten Abstandshalters aus der zweiten Abstandshalterschicht, die den reduzierten Anteil an Stickstoff aufweist.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Opferabstandshalters an Seitenwänden der Gateelektrodenstrukturen des ersten und des zweiten Transistors, Bilden von Drain- und Sourcegebieten des ersten Transistors derart, dass diese in dem im Wesentlichen amorphisierten Halbleitergebiet eingebettet sind, indem der Opferabstandshalter als eine Implantionsmaske verwendet wird und indem der Opferabstandshalter vor dem Bilden der Abstandshalterschicht entfernt wird.
  8. Verfahren nach Anspruch 7, das ferner umfasst: Bilden von Metallsilizidgebieten in dem ersten und dem zweiten aktiven Gebiet unter Anwendung des Abstandshalters als eine Maske.
  9. Verfahren mit: Bilden einer stickstoffenthaltenden Abstandshalterschicht über einem ersten Transistor und einem zweiten Transistor, wobei der erste Transistor in und über einem ersten aktiven Gebiet ausgebildet ist, das ein im Wesentlichen amorphisiertes Halbleitergebiet enthält, und wobei der zweite Transistor in und über einem zweiten aktiven Gebiet ausgebildet ist; Ausheizen des ersten und des zweiten Transistors in Anwesenheit der stickstoffenthaltenden Abstandshalterschicht derart, dass das im Wesentlichen amorphisierte Halbelitergebiet rekristallisiert wird; Behandeln der stickstoffenthaltenden Abstandshalterschicht derart, dass ein Stickstoffanteil der stickstoffenthaltenden Abstandshalterschicht verringert wird; Bilden eines Abstandshalters an Seitenwänden von Gateelektrodenstrukturen des ersten und des zweiten Transistors aus der behandelten stickstoffenthaltenden Abstandshalterschicht; und Bilden von Metallsilizidgebieten in dem ersten und dem zweiten aktiven Gebiet unter Anwendung des Abstandshalters als eine Maske.
  10. Verfahren nach Anspruch 9, wobei Behandeln der stickstoffenthaltenden Abstandshalterschicht umfasst: Ausführen eines Ionenimplantationsprozesses unter Anwendung einer Sauerstoffimplantationssorte.
  11. Verfahren nach Anspruch 9, das ferner umfasst: Bilden eines Opferabstandshalters an Seitenwänden der Gateelektrodenstrukturen und Bilden von Source- und Draingebieten in dem ersten und dem zweiten aktiven Gebiet unter Anwendung des Opferabstandshalters als eine Maske, bevor die stickstoffenthaltende Abstandshalterschicht hergestellt wird.
  12. Verfahren nach Anspruch 9, das ferner umfasst: Bilden von Drain- und Sourcegebieten in dem ersten und dem zweiten aktiven Gebiet unter Anwendung des Abstandshalters als eine Implantationsmaske.
  13. Verfahren nach Anspruch 9, wobei Bilden der stickstoffenthaltenden Abstandshalterschicht umfasst: Bilden einer silizium- und stickstoffenthaltenden Materialschicht derart, dass diese eine hohe Zugverspannung aufweist.
  14. Verfahren nach Anspruch 9, das ferner umfasst: Bilden einer Ätzstoppschicht vor dem Bilden der stickstoffenthaltenden Abstandshalterschicht und Verwenden der Ätzstoppschicht, wenn der Abstandshalter hergestellt wird.
  15. Verfahren nach Anspruch 9, wobei der erste Transistor ein n-Kanaltransistor und der zweite Transistor ein p-Kanaltransistor ist.
  16. Verfahren nach Anspruch 9, wobei die Gateelektrodenstrukturen so hergestellt werden, dass diese eine Gatedielektrikumsschicht mit einem dielektrischen Material mit großem ε aufweisen.
DE102010028462.9A 2010-04-30 2010-04-30 Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen Expired - Fee Related DE102010028462B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102010028462.9A DE102010028462B4 (de) 2010-04-30 2010-04-30 Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
US12/963,753 US8426266B2 (en) 2010-04-30 2010-12-09 Stress memorization with reduced fringing capacitance based on silicon nitride in MOS semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102010028462.9A DE102010028462B4 (de) 2010-04-30 2010-04-30 Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen

Publications (2)

Publication Number Publication Date
DE102010028462A1 DE102010028462A1 (de) 2011-11-03
DE102010028462B4 true DE102010028462B4 (de) 2015-06-11

Family

ID=44786577

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102010028462.9A Expired - Fee Related DE102010028462B4 (de) 2010-04-30 2010-04-30 Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen

Country Status (2)

Country Link
US (1) US8426266B2 (de)
DE (1) DE102010028462B4 (de)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2979482B1 (fr) * 2011-08-25 2013-09-27 Commissariat Energie Atomique Procede de realisation d'un dispositif a transistors contraints a l'aide d'une couche externe
CN102543875A (zh) * 2011-11-02 2012-07-04 上海华力微电子有限公司 一种在半导体器件中应用应力记忆技术的方法
CN103378007B (zh) * 2012-04-26 2017-07-28 联华电子股份有限公司 半导体元件的制作方法
US8828834B2 (en) 2012-06-12 2014-09-09 Globalfoundries Inc. Methods of tailoring work function of semiconductor devices with high-k/metal layer gate structures by performing a fluorine implant process
CN103489781A (zh) * 2012-06-13 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种采用应力记忆技术制造半导体器件的方法
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10644130B2 (en) * 2012-10-25 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-semiconductor field-effect transistor with spacer over gate
US8889540B2 (en) 2013-02-27 2014-11-18 International Business Machines Corporation Stress memorization in RMG FinFets
US9263270B2 (en) 2013-06-06 2016-02-16 Globalfoundries Inc. Method of forming a semiconductor device structure employing fluorine doping and according semiconductor device structure
US9349835B2 (en) 2013-09-16 2016-05-24 Globalfoundries Inc. Methods for replacing gate sidewall materials with a low-k spacer
US9379021B2 (en) 2013-10-03 2016-06-28 Applied Materials, Inc. Method to reduce K value of dielectric layer for advanced FinFET formation
WO2015080945A1 (en) * 2013-11-27 2015-06-04 Applied Materials, Inc. Method to reduce k value of dielectric layer for advanced finfet formation
US20150228788A1 (en) * 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
USD752221S1 (en) 2014-03-07 2016-03-22 Joannia M. Trottier Interdental cleaning apparatus
US9691900B2 (en) * 2014-11-24 2017-06-27 International Business Machines Corporation Dual epitaxy CMOS processing using selective nitride formation for reduced gate pitch
FR3029012B1 (fr) * 2014-11-25 2017-12-22 Commissariat Energie Atomique Procede ameliore pour induire une contrainte dans un canal de transistor a l'aide de regions source/drain sacrificielles et d'un remplacement de grille
KR102293884B1 (ko) 2015-07-10 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
FR3046290B1 (fr) * 2015-12-23 2019-09-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Methode de realisation d'espaceurs a faible permittivite
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법
CN113611672A (zh) * 2021-06-18 2021-11-05 联芯集成电路制造(厦门)有限公司 形成半导体元件的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806154B1 (en) * 1998-10-08 2004-10-19 Integrated Device Technology, Inc. Method for forming a salicided MOSFET structure with tunable oxynitride spacer
US20080026572A1 (en) * 2006-07-31 2008-01-31 Frank Wirbeleit Method for forming a strained transistor by stress memorization based on a stressed implantation mask
DE102008007003A1 (de) * 2008-01-31 2009-08-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik ohne Hinzufügung weiterer Lithographieschritte

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323519B1 (en) * 1998-10-23 2001-11-27 Advanced Micro Devices, Inc. Ultrathin, nitrogen-containing MOSFET sidewall spacers using low-temperature semiconductor fabrication process
US6265255B1 (en) * 2000-03-17 2001-07-24 United Microelectronics Corp. Ultra-shallow junction formation for deep sub-micron complementary metal-oxide-semiconductor
US6982196B2 (en) * 2003-11-04 2006-01-03 International Business Machines Corporation Oxidation method for altering a film structure and CMOS transistor structure formed therewith
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
JP4453572B2 (ja) * 2005-02-22 2010-04-21 ソニー株式会社 半導体集積回路の製造方法
DE102005046974B3 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Formung in unterschiedlichen Substratgebieten durch bilden einer Schicht mit verschieden modifizierter innerer Spannung und mit dem Verfahren hergestelltes Bauteil
US20070141775A1 (en) * 2005-12-15 2007-06-21 Chartered Semiconductor Manufacturing, Ltd. Modulation of stress in stress film through ion implantation and its application in stress memorization technique
DE102006046374B4 (de) * 2006-09-29 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
DE102007030056B3 (de) * 2007-06-29 2009-01-22 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Blockieren einer Voramorphisierung einer Gateelektrode eines Transistors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806154B1 (en) * 1998-10-08 2004-10-19 Integrated Device Technology, Inc. Method for forming a salicided MOSFET structure with tunable oxynitride spacer
US20080026572A1 (en) * 2006-07-31 2008-01-31 Frank Wirbeleit Method for forming a strained transistor by stress memorization based on a stressed implantation mask
DE102008007003A1 (de) * 2008-01-31 2009-08-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik ohne Hinzufügung weiterer Lithographieschritte

Also Published As

Publication number Publication date
US20110269278A1 (en) 2011-11-03
DE102010028462A1 (de) 2011-11-03
US8426266B2 (en) 2013-04-23

Similar Documents

Publication Publication Date Title
DE102010028462B4 (de) Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
DE102008059501B4 (de) Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
DE102008035816B4 (de) Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
DE102006019921B4 (de) Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
DE102005051994B4 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE102006009272B4 (de) Verfahren zur Herstellung eines verspannten Transistors durch eine späte Amorphisierung und durch zu entfernende Abstandshalter
DE102008030854B4 (de) MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102006019937B4 (de) Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
DE102009010882B4 (de) Transistor mit einer eingebetteten Halbleiterlegierung in Drain- und Sourcegebieten, die sich unter die Gateelektrode erstreckt und Verfahren zum Herstellen des Transistors
DE102007015500B4 (de) Verfahren zum Erzeugen einer Zugverspannung bei einem Halbleiterbauelement durch wiederholtes Anwenden von "Verspannungsgedächtnisverfahren" und Halbleiterbauelement
DE102008011814A1 (de) CMOS-Bauelement mit einem NMOS-Transistor mit abgesenkten Drain- und Sourcebereichen und einem PMOS-Transistor mit einem Si/Ge-Material in den Drain- und Sourcebereichen
DE102010029532B4 (de) Transistor mit eingebettetem verformungsinduzierenden Material, das in diamantförmigen Aussparungen auf der Grundlage einer Voramorphisierung hergestellt ist
DE102006019936B4 (de) Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102008063399B4 (de) Asymmetrischer Transistor mit einer eingebetteten Halbleiterlegierung mit einer asymmetrischen Anordnung und Verfahren zur Herstellung des Transistors
DE102007025336B4 (de) Halbleiterbauelement und Verfahren für die Verformungserzeugung in siliziumbasierten Transistoren durch Anwendung von Implantationstechniken zur Herstellung einer verformungs-induzierenden Schicht unter dem Kanalgebiet
DE102008035806A1 (de) Transistor mit eingebettetem Si/GE-Material mit einem verbesserten Boreinschluss
DE102008016426B4 (de) Verfahren zum Erzeugen einer Zugverformung durch Anwenden von Verspannungsgedächtnistechniken in unmittelbarer Nähe zu der Gateelektrode
DE102007004862A1 (de) Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
DE102010064284B4 (de) Verfahren zur Herstellung eines Transistors mit einer eingebetteten Sigma-förmigen Halbleiterlegierung mit erhöhter Gleichmäßigkeit
DE102010064291A1 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102010029531B4 (de) Verfahren zur Verringerung der Defektraten in PFET-Transistoren mit einem Si/Ge-Halbleitermaterial, das durch epitaktisches Wachsen hergestellt ist
DE102009055438B4 (de) Höhere Integrität einer Gateelektrodenstruktur durch Anwenden eines Opferabstandshalters für die Deckschichtabtragung
DE102007057687B4 (de) Verfahren zum Erzeugen einer Zugverformung in Transistoren

Legal Events

Date Code Title Description
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee