CN108183087A - 用于形成应力降低装置的方法 - Google Patents

用于形成应力降低装置的方法 Download PDF

Info

Publication number
CN108183087A
CN108183087A CN201810128085.XA CN201810128085A CN108183087A CN 108183087 A CN108183087 A CN 108183087A CN 201810128085 A CN201810128085 A CN 201810128085A CN 108183087 A CN108183087 A CN 108183087A
Authority
CN
China
Prior art keywords
layer
metal
stress
dielectric layer
etching stopping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810128085.XA
Other languages
English (en)
Other versions
CN108183087B (zh
Inventor
吕盈缔
陈文昭
毛明瑞
蔡冠智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108183087A publication Critical patent/CN108183087A/zh
Application granted granted Critical
Publication of CN108183087B publication Critical patent/CN108183087B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明的实施例提供了一种形成应力降低装置的方法。该方法包括:在衬底上形成金属通孔;在所述衬底上沉积应力降低层;对所述应力降低层施加蚀刻工艺以在所述金属通孔上方形成沟槽;用导电材料填充所述沟槽以形成金属结构;以及在所述应力降低层和所述金属结构的上端形成蚀刻阻挡层,其中,所述金属结构的上端包括形成在所述应力降低层中的凸缘部分。

Description

用于形成应力降低装置的方法
分案申请
本申请是2012年06月20日提交的标题为“应力降低装置”、专利申请号为201210209629.8的分案申请。
技术领域
本发明的实施例总体涉及半导体领域,具体而言,涉及用于形成应力降低装置的方法。
背景技术
半导体产业由于各种电子元件(诸如晶体管、二极管、电阻器,电容器等)的集成密度的不断提高而经历了快速增长。在大多数情况下,这种集成密度的提高源于最小部件尺寸的不断减小,从而容许更多的元件集成到给定的面积中。最近随着甚至更小的电子器件的需求在增长,在半导体管芯中集成电感器的需要也在增长。可以在半导体器件衬底的表面上形成在平行于衬底表面的平面中形成的螺旋形状的电感器。
随着半导体技术的发展,嵌入在半导体器件中的电感器可以通过采用超厚金属(UTM)工艺由铜形成。铜电感器已成为进一步降低半导体芯片的功率损耗的有效备选物。在铜电感器中,可以通过采用镶嵌工艺形成电感器的铜结构。在该技术中,图案化绝缘层以形成沟槽。在图案化之后,可以在沟槽上沉积阻挡层。可以在阻挡层上沉积晶种层以提供更好的铜粘着性。此外,通过电化学镀工艺,用金属材料(诸如铜)填充沟槽以形成金属结构,诸如金属线和通孔。
镶嵌工艺可以分成两类,即单镶嵌工艺和双镶嵌工艺。在单镶嵌技术中,金属通孔及其邻近的金属线可以具有不同的工艺步骤。结果,每个步骤都可能需要化学机械平坦化工艺来清洁表面。相反,在双镶嵌技术中,金属通孔及其邻近的金属线可以形成在单个沟槽内。结果,在双镶嵌工艺中需要两个电介质图案化工艺和一个CMP工艺来形成金属通孔及其邻近的金属线。
在铜电感器中,电感器的铜结构可以被介电层封闭。在与铜结构的角部和其邻近的介电层之间的界面邻近的区域中可能存在应力集中。结果,在与铜结构邻近的区域中可能发生电介质碎裂。这种电介质碎裂可能导致不可靠的半导体器件。
发明内容
为了解决上述问题,一方面,本发明提供了一种形成应力降低装置的方法,包括:在衬底上形成金属通孔;在所述衬底上沉积应力降低层;对所述应力降低层施加蚀刻工艺以在所述金属通孔上方形成沟槽;用导电材料填充所述沟槽以形成金属结构;以及在所述应力降低层和所述金属结构的上端形成蚀刻阻挡层,其中,所述金属结构的上端包括形成在所述应力降低层中的凸缘部分。
另一方面,本发明还提供了一种形成应力降低装置的方法,包括:在衬底上方形成多个金属化层;在所述多个金属化层上方沉积第一蚀刻停止层;在所述第一蚀刻停止层上方沉积第一介电层;在所述第一蚀刻停止层和所述第一介电层中形成金属通孔,其中,所述金属通孔的顶面与所述第一介电层的顶面齐平;在所述第一介电层上方沉积第二蚀刻停止层;在所述第二蚀刻停止层上方沉积第二介电层;在所述第二电介电层上方沉积应力降低层;在所述应力降低层上方沉积第三电介电层;在所述第二蚀刻停止层,所述第二介电层,所述应力降低层和所述第三介电层中形成开口;将金属材料填充到所述开口中以形成金属结构;对所述第三电介电层的顶表面实施化学机械平坦化工艺,直到所述应力降低层的部分已经被去除;以及在所述应力降低层上方形成第三蚀刻停止层。
又一方面,本发明提供了一种形成应力降低装置的方法,包括:在衬底上方形成第一蚀刻停止层;在所述第一蚀刻停止层上方沉积第一金属间介电层;形成延伸穿过所述第一蚀刻停止层和所述第一金属间介电层的金属通孔;在所述第一金属间介电层上方形成第二蚀刻停止层;在所述第二蚀刻停止层上方沉积第二金属间介电层;在所述第二金属间电介电层上方沉积应力降低层;对所述应力降低层、所述第二金属间介电层和所述第二蚀刻停止层施加蚀刻工艺,以在所述金属通孔上方形成沟槽;用导电材料填充所述沟槽以形成金属结构;以及在所述应力降低层和所述金属结构的上端上形成第三刻蚀停止层,其中,所述金属结构的上端包括形成在所述应力降低层中的第一凸缘部分和第二凸缘部分。
附图说明
为了更充分地理解本发明及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1示出根据实施例的应力降低装置的剖视图;
图2示出具有图1中示出的应力降低装置的半导体器件的剖视图;
图3示出根据实施例的在沟槽图案化之后的半导体器件的剖视图;
图4示出根据实施例的在电化学镀工艺之后的半导体器件的剖视图;
图5示出根据实施例的在化学机械平坦化工艺之后的半导体器件的剖视图;以及
图6示出根据实施例的具有倒杯形应力降低层的半导体器件的剖视图。
除非另有说明,不同附图中的相应的标号和符号通常指相应的部件。绘制附图以清楚地示出各个实施例的相关方面而不必按比例绘制。
具体实施方式
在下面详细地论述本发明实施例的制造和使用。然而,应当理解,本发明提供了许多可以在各种具体环境中实现的可应用的发明构思。所论述的具体实施例仅仅是制造和使用本发明的示例性具体方式,而不是用于限制本发明的范围。
将参考具体环境中的实施例即应力降低装置来描述本发明。但是,本发明也可以适用于各种半导体器件。
图1示出根据实施例的应力降低装置的剖视图。为了简明,仅示出半导体器件的相关部分。将在下面参考图2论述具有应力降低装置的半导体器件的详细剖视图。应力降低装置100包括倒杯形层(inverted cup shaped layer)102、金属结构104、金属间介电(IMD)层106。根据实施例,IMD层106可以由诸如氧化物等的介电材料形成。倒杯形层102可以由氮化硅等形成。金属结构104可以由铜等形成。根据实施例,可以通过采用超厚金属(UTM)工艺形成金属结构104。具体而言,金属结构104可以是通过UTM工艺形成的嵌入式电感器的一部分。
如图1所示,倒杯形层102用作覆盖金属结构104的上端的罩盖(cap)。更具体地,金属结构104的左上角和右上角通过倒杯形层102加以保护。具有倒杯形层102的一个有利特征是围绕上角部的介电材料有助于降低应力从而阻止金属结构104和IMD层106碎裂。
图2示出具有图1中示出的应力降低装置的半导体器件的剖视图。如图2所示,在半导体管芯200上形成应力降低装置。半导体管芯200包括衬底202。衬底202可以是硅衬底。可选地,衬底202可以是绝缘体上硅衬底。衬底202还可以包括各种电路(未示出)。在衬底202上形成的电路可以是适合于特定应用的任何类型的电路。
根据实施例,电路可以包括各种n型金属氧化物半导体(NMOS)和/或p型金属氧化物半导体(PMOS)器件,诸如晶体管、电容器、电阻器、二极管、光电二极管、熔丝等。可以将电路互连起来以执行一个或多个功能。功能可以包括存储器结构、处理结构、传感器、放大器、功率分配、输出/输入电路等。本领域普通技术人员将理解提供以上实例仅用于进一步解释本发明的应用的说明性目的而不意为以任何方式限制本发明。
在衬底202顶部上形成层间介电层204。层间介电层204可以由例如低K介电材料诸如氧化硅形成。可以通过本领域已知的任何合适的方法诸如旋涂、化学汽相沉积(CVD)以及等离子体增强化学汽相沉积(PECVD)形成层间介电层204。还应当注意到,本领域技术人员将了解层间介电层204还可以包括多个介电层。
在层间介电层204上方形成底部金属化层206a。如图2所示,底部金属化层206a可以包含金属线208a。金属线208a由金属材料诸如铜、铜合金、铝、银、金和它们的任何组合形成。可以通过任何合适的技术(例如沉积、镶嵌等)形成金属化层206a和206b。通常,使用一个或多个金属间介电层和相关金属化层将衬底202中的电路彼此互连起来以形成功能电路并进一步提供外部电路连接。
在底部金属化层206a上方形成顶部金属化层206b。如图2所示,在顶部金属化层206b中嵌有顶部金属线208b。具体而言,顶部金属线208b提供了用于半导体器件200的电路的导电通道。顶部金属线208b可以由金属材料诸如铜、铜合金、铝、银、金和它们的任何组合形成。可以通过合适的技术诸如CVD形成顶部金属线208b。可选地,可以通过溅射、电镀等形成顶部金属连接件214。
应当注意到,虽然图2示出了底部金属化层206a和顶部金属化层206b,但本领域技术人员应该了解,在底部金属化层206a和顶部金属化层206b之间形成一个或多个金属间介电层(未示出)和相关金属化层(未示出)。具体地,在底部金属化层206a和顶部金属化层206b上方形成的层可以由介电材料(例如,极低k介电材料)和导电材料(例如,铜)的交替层形成。
可以通过不同的制造工艺诸如超厚金属(UTM)技术形成位于顶部金属化层206b上方的层。如图2中所示,金属结构基本上可以比金属化层中的金属结构更厚和更宽。下面提供更多细节。
在衬底202上方形成第一蚀刻终止层(ESL)210。第一ESL层210可以由与邻近层具有不同蚀刻选择性的介电材料形成。根据实施例,第一ESL层210由非有机材料诸如氮化硅、碳氮化硅等形成。可以通过任何合适的技术诸如CVD或者PECVD技术形成第一ESL层210。使用第一ESL层210来阻止蚀刻剂损伤第一ESL层210下方的层(例如,顶部金属化层206b)。
在顶部金属化层206b上形成第一金属间介电层(IMD)212。第一IMD层212可以由介电材料诸如氧化物等形成。可以通过采用PECVD技术或者高密度等离子体化学汽相沉积(HDPCVD)等形成第一IMD层212。
在第一IMD层212的顶部上形成第二ESL层216。第二ESL层216可以与第一ESL层210相似,并因此为了避免不必要的重复而不作更详细的论述。如图2所示,在第一IMD层212和第一ESL层210的开口中形成金属通孔214。根据实施例,金属通孔214可以由铜形成。为了简明,在整个说明书中,金属通孔214可以可选地被称为铜通孔214。
在铜通孔214的顶部上形成金属结构218。根据实施例,金属结构218可以由铜形成。为了简明,在整个说明书中,金属结构218可以可选地被称为铜结构218。铜结构218可以被第二ESL层216、第二IMD层222以及倒杯形层220包围。具体而言,铜结构218的下部嵌入第二IMD层222以及铜结构218的顶部嵌入倒杯形层220。此外,倒杯形层220覆盖铜结构218的上端。倒杯形层220由非有机材料诸如氮化硅、碳氮化硅等形成。在下面将参考图3至图6论述倒杯形层220的形成细节。
图3至图6示出了根据实施例的形成铜结构218的单镶嵌工艺的中间阶段。但是,作为本领域普通技术人员将了解到,下面论述的铜形成工艺仅仅是示例性工艺而不意为限制当前的实施例。可以可选地采用其他铜形成工艺,诸如双镶嵌工艺。此外,可以采用任何合适的铜形成工艺,并且所有这些工艺预期全部都包括在所论述的实施例的范围内。
图3示出根据实施例在沟槽图案化之后的半导体器件的剖视图。半导体器件包含铜通孔214。以单镶嵌工艺形成铜通孔214的方法是本领域公知的,并因此为了避免不必要的重复在本文不作论述。与如2中示出的层结构对比,图3中示出的半导体器件包括应力降低层304和在应力降低层304顶部上形成的第三IMD层302。为了形成图2中示出的铜结构218,通过去除如图3中示出的第二ESL层216、第二IMD层222、应力降低层304以及第三IMD层302的相应部分而形成沟槽。图3中示出的沟槽可以通过公知的蚀刻技术形成,为了避免不必要的重复在本文中对此不作论述。
图4示出根据实施例的在电化学镀工艺之后的半导体器件的剖视图。如图3中所示,可以图案化第二ESL层216、第二IMD层222、应力降低层304以及第三IMD层302以形成开口。在图案化之后,可以在开口上沉积薄阻挡层(未示出)。可以在薄阻挡层的顶部上沉积铜晶种层(未示出)。应用铜晶种层以提供在下面的材料上的更好的铜粘着性。此外,在后续电镀工艺期间,铜晶种层可以作为催化材料层起作用。可以应用电化学镀工艺来填充开口从而形成金属结构218,将其电连接至铜通孔214。
图5示出根据实施例的在化学机械平坦化(CMP)工艺之后的半导体器件的剖视图。在电化学镀工艺之后,应用CMP工艺来去除多余的铜并对表面进行抛光。如图5所示,在CMP工艺之后,可以去除第三IMD层302(未示出,但在图4中示出)以及一部分应力降低层304。将应力降低层304在CMP工艺之后的厚度定义为H。根据实施例,H是约
图6示出根据实施例的具有倒杯形应力降低层的半导体器件的剖视图。在CMP工艺之后,在铜表面的顶部上以及应力降低层的表面上形成又一个ESL层602。应当注意到,ESL层602可以由与应力降低层304(在图5中示出)相同的材料(例如,SiN)形成。结果,将ESL层602和应力降低层304合并成一个整体,其具有如图6中示出的倒杯形状。图6中示出的倒杯形层有助于降低铜结构218和IMD层222之间的应力。总之,具有倒杯形层602的一个有利特征是铜结构218的上角部被倒杯形层602覆盖。结果,降低了第二IMD层222中的碎裂。
尽管已经详细地论述了本发明的实施例及其优势,但应该理解,可以在不背离所附权利要求限定的本发明的精神和范围的情况下,在其中进行各种改变、替换和更改。
而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明将很容易地理解,根据本发明可以利用现有的或今后开发的用于执行与本文所述相应实施例基本上相同的功能或者获得基本上相同的结果的工艺、机器、制造、材料组分、装置、方法或步骤。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。

Claims (20)

1.一种形成应力降低装置的方法,包括:
在衬底上形成金属通孔;
在所述衬底上沉积应力降低层;
对所述应力降低层施加蚀刻工艺以在所述金属通孔上方形成沟槽;
用导电材料填充所述沟槽以形成金属结构;以及
在所述应力降低层和所述金属结构的上端形成蚀刻阻挡层,其中,所述金属结构的上端包括形成在所述应力降低层中的凸缘部分。
2.根据权利要求1所述的方法,还包括:
在所述衬底上方沉积第一金属间介电层;以及
在所述第一金属间介电层上方沉积第二金属间介电层,其中,所述应力降低层在所述第二金属间介电层上方并且与所述第二金属间介电层直接接触。
3.根据权利要求2所述的方法,还包括:
当对所述应力降低层施加所述蚀刻工艺时,将所述蚀刻工艺应用于所述第一金属间电介电层和所述第二金属间电介电层。
4.根据权利要求2所述的方法,还包括:
在所述应力降低层上沉积第三金属间介电层;以及
在用所述导电材料填充所述沟槽以形成所述金属结构的步骤之后,对所述第三金属间介电层和所述应力降低层施加化学机械平坦化工艺直到所述第三金属间介电层已被去除,并且所述应力降低层已被部分删除。
5.根据权利要求1所述的方法,其中:
形成在所述应力降低层中的所述金属结构的上端为梯形。
6.根据权利要求1所述的方法,其中:
所述应力降低层由非有机材料形成。
7.根据权利要求1所述的方法,其中:
所述金属通孔和所述金属结构由相同的材料形成。
8.根据权利要求1所述的方法,其中:
所述金属结构具有在所述应力降低层中的第一部分和在所述第二金属间介电层中的第二部分,其中,所述第一部分具有第一三角形角区域和第二三角形角区域。
9.根据权利要求8所述的方法,其中:
所述第一三角形角区域的侧壁与所述应力降低层直接接触;以及
所述第一三角形角区域的顶部表面与所述蚀刻停止层直接接触。
10.一种形成应力降低装置的方法,包括:
在衬底上方形成多个金属化层;
在所述多个金属化层上方沉积第一蚀刻停止层;
在所述第一蚀刻停止层上方沉积第一介电层;
在所述第一蚀刻停止层和所述第一介电层中形成金属通孔,其中,所述金属通孔的顶面与所述第一介电层的顶面齐平;
在所述第一介电层上方沉积第二蚀刻停止层;
在所述第二蚀刻停止层上方沉积第二介电层;
在所述第二电介电层上方沉积应力降低层;
在所述应力降低层上方沉积第三电介电层;
在所述第二蚀刻停止层,所述第二介电层,所述应力降低层和所述第三介电层中形成开口;
将金属材料填充到所述开口中以形成金属结构;
对所述第三电介电层的顶表面实施化学机械平坦化工艺,直到所述应力降低层的部分已经被去除;以及
在所述应力降低层上方形成第三蚀刻停止层。
11.根据权利要求10所述的方法,还包括:
对所述第三介电层的顶表面实施所述化学机械平坦化工艺,直到所述应力降低层的厚度减小到约
12.根据权利要求10所述的方法,还包括:
使用与所述应力降低层相同的材料形成所述第三蚀刻停止层。
13.根据权利要求10所述的方法,其中:
所述金属通孔的顶面与所述金属结构的底面直接接触。
14.一种形成应力降低装置的方法,包括:
在衬底上方形成第一蚀刻停止层;
在所述第一蚀刻停止层上方沉积第一金属间介电层;
形成延伸穿过所述第一蚀刻停止层和所述第一金属间介电层的金属通孔;
在所述第一金属间介电层上方形成第二蚀刻停止层;
在所述第二蚀刻停止层上方沉积第二金属间介电层;
在所述第二金属间电介电层上方沉积应力降低层;
对所述应力降低层、所述第二金属间介电层和所述第二蚀刻停止层施加蚀刻工艺,以在所述金属通孔上方形成沟槽;
用导电材料填充所述沟槽以形成金属结构;以及
在所述应力降低层和所述金属结构的上端上形成第三刻蚀停止层,其中,所述金属结构的上端包括形成在所述应力降低层中的第一凸缘部分和第二凸缘部分。
15.根据权利要求14所述的方法,其中:
所述第一凸缘部分和所述第二凸缘部分相对于所述金属通孔基本对称。
16.根据权利要求14所述的方法,还包括:
使用与所述应力降低层相同的材料形成所述第三蚀刻停止层。
17.根据权利要求14所述的方法,还包括:
所述金属通孔和所述金属结构由铜形成。
18.根据权利要求14所述的方法,还包括:
对所述第二金属间介电层和所述应力降低层施加化学机械平坦化工艺,直至去除所述第二金属间介电层,并且所述应力降低层被部分去除。
19.根据权利要求14所述的方法,其中:
所述第一凸缘部分是三角形的角部区域,其中:
所述三角形的角区域的侧壁与所述应力降低层接触;和
所述三角形的角区域的顶面与所述第三蚀刻停止层接触。
20.根据权利要求14所述的方法,其中:
所述应力降低层由氮化硅形成。
CN201810128085.XA 2012-02-09 2012-06-20 用于形成应力降低装置的方法 Active CN108183087B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/370,161 2012-02-09
US13/370,161 US8629559B2 (en) 2012-02-09 2012-02-09 Stress reduction apparatus with an inverted cup-shaped layer
CN2012102096298A CN103247594A (zh) 2012-02-09 2012-06-20 应力降低装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2012102096298A Division CN103247594A (zh) 2012-02-09 2012-06-20 应力降低装置

Publications (2)

Publication Number Publication Date
CN108183087A true CN108183087A (zh) 2018-06-19
CN108183087B CN108183087B (zh) 2020-09-11

Family

ID=48868271

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810128085.XA Active CN108183087B (zh) 2012-02-09 2012-06-20 用于形成应力降低装置的方法
CN2012102096298A Pending CN103247594A (zh) 2012-02-09 2012-06-20 应力降低装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2012102096298A Pending CN103247594A (zh) 2012-02-09 2012-06-20 应力降低装置

Country Status (3)

Country Link
US (4) US8629559B2 (zh)
CN (2) CN108183087B (zh)
DE (1) DE102012105304B4 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113078109A (zh) * 2021-03-26 2021-07-06 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102090210B1 (ko) * 2011-12-20 2020-03-17 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US8629559B2 (en) * 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
US9136160B2 (en) * 2012-06-29 2015-09-15 Institute of Microelectronics, Chinese Academy of Sciences Solid hole array and method for forming the same
US10332839B2 (en) 2017-01-06 2019-06-25 United Microelectronics Corp. Interconnect structure and fabricating method thereof
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1119035A2 (en) * 2000-01-18 2001-07-25 Applied Materials, Inc. Method for depositing a low dielectric constant film
CN1790666A (zh) * 2004-11-18 2006-06-21 台湾积体电路制造股份有限公司 半导体装置及内连线的制造方法
CN101030566A (zh) * 2006-03-01 2007-09-05 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US20100052181A1 (en) * 2008-08-29 2010-03-04 Thomas Werner Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3061124A (en) 1959-09-22 1962-10-30 Edward J Schueller Self-operated braking mechanism for automotive trailers
JPS61220376A (ja) * 1985-03-26 1986-09-30 Sumitomo Electric Ind Ltd ショットキゲート電界効果トランジスタの製造方法
JP3012187B2 (ja) * 1996-02-05 2000-02-21 松下電子工業株式会社 半導体装置の製造方法
US6407002B1 (en) * 2000-08-10 2002-06-18 Taiwan Semiconductor Manufacturing Company Partial resist free approach in contact etch to improve W-filling
US6294457B1 (en) * 2001-02-01 2001-09-25 Taiwan Semiconductor Manufacturing Company Optimized IMD scheme for using organic low-k material as IMD layer
JP4152619B2 (ja) 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6828223B2 (en) * 2001-12-14 2004-12-07 Taiwan Semiconductor Manufacturing Co. Localized slots for stress relieve in copper
KR20030089737A (ko) * 2002-05-18 2003-11-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
DE10260619B4 (de) * 2002-12-23 2011-02-24 Globalfoundries Inc. Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
JP2005064226A (ja) * 2003-08-12 2005-03-10 Renesas Technology Corp 配線構造
US7352053B2 (en) * 2003-10-29 2008-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Insulating layer having decreased dielectric constant and increased hardness
US7057296B2 (en) * 2003-10-29 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad structure
JP2006019480A (ja) * 2004-07-01 2006-01-19 Nec Electronics Corp 半導体装置の製造方法
US20070045606A1 (en) * 2005-08-30 2007-03-01 Michele Magistretti Shaping a phase change layer in a phase change memory cell
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
KR101005028B1 (ko) * 2005-12-27 2010-12-30 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치
JP4783261B2 (ja) * 2006-10-30 2011-09-28 株式会社東芝 半導体装置の製造方法
EP1972587B1 (de) * 2007-03-20 2011-08-31 Müller Martini Holding AG Einrichtung zum Sammeln von Druckprodukten auf einem Sammelzylinder
US7696510B2 (en) * 2007-11-30 2010-04-13 Qimonda Ag Integrated circuit including memory having reduced cross talk
US7928448B2 (en) * 2007-12-04 2011-04-19 Philips Lumileds Lighting Company, Llc III-nitride light emitting device including porous semiconductor layer
CN101740473B (zh) * 2008-11-18 2012-12-12 中芯国际集成电路制造(上海)有限公司 层间介电层、互连结构及其制造方法
US8836127B2 (en) * 2009-11-19 2014-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with flexible dielectric layer
US8629559B2 (en) * 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
KR101934045B1 (ko) * 2012-03-22 2019-01-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10446700B2 (en) * 2013-05-22 2019-10-15 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1119035A2 (en) * 2000-01-18 2001-07-25 Applied Materials, Inc. Method for depositing a low dielectric constant film
CN1790666A (zh) * 2004-11-18 2006-06-21 台湾积体电路制造股份有限公司 半导体装置及内连线的制造方法
CN101030566A (zh) * 2006-03-01 2007-09-05 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US20100052181A1 (en) * 2008-08-29 2010-03-04 Thomas Werner Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113078109A (zh) * 2021-03-26 2021-07-06 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构
CN113078109B (zh) * 2021-03-26 2022-11-25 长鑫存储技术有限公司 半导体结构的制作方法及半导体结构

Also Published As

Publication number Publication date
US9373536B2 (en) 2016-06-21
US20160300794A1 (en) 2016-10-13
DE102012105304B4 (de) 2022-04-21
US20140106563A1 (en) 2014-04-17
US20130207264A1 (en) 2013-08-15
US10290576B2 (en) 2019-05-14
US9865534B2 (en) 2018-01-09
US8629559B2 (en) 2014-01-14
CN108183087B (zh) 2020-09-11
US20180145025A1 (en) 2018-05-24
DE102012105304A1 (de) 2013-08-14
CN103247594A (zh) 2013-08-14

Similar Documents

Publication Publication Date Title
CN101847616B (zh) 用于硅通孔的阻挡件
CN103545249B (zh) 形成后钝化互连件的方法
US7867787B2 (en) Forming inductor and transformer structures with magnetic materials using damascene processing for integrated circuits
US10290576B2 (en) Stress reduction apparatus with an inverted cup-shaped layer
US9449811B2 (en) Air-gap scheme for BEOL process
US7619310B2 (en) Semiconductor interconnect and method of making same
KR100752198B1 (ko) 반도체 소자의 제조 방법
CN103187522A (zh) 半导体器件制造方法
CN103367290B (zh) 具有密集通孔阵列的接合焊盘结构
CN104253108A (zh) 互连结构及其形成方法
CN108074911A (zh) 跳孔结构
CN108269782A (zh) 高电容值金属隔离金属电容
CN107039394B (zh) 半导体结构及其制造方法
US10256183B2 (en) MIMCAP structure in a semiconductor device package
JP5090688B2 (ja) 半導体装置
US6974770B2 (en) Self-aligned mask to reduce cell layout area
CN104701248B (zh) 用于半导体器件的互连结构
US20140117534A1 (en) Interconnection Structure
US12046566B2 (en) Devices with through silicon vias, guard rings and methods of making the same
TW201916172A (zh) 基本原則區域中完全對準介層窗
KR100889555B1 (ko) 반도체 소자의 인덕터 제조방법
JP4731984B2 (ja) 半導体装置およびその製造方法
CN102339810B (zh) 硅基基板及其制作方法
CN104112701A (zh) 半导体结构及其制造方法
KR20110067759A (ko) 에어갭을 이용한 반도체 소자의 층간절연막 형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant