CN106856664B - 装载口及装载口的气氛置换方法 - Google Patents

装载口及装载口的气氛置换方法 Download PDF

Info

Publication number
CN106856664B
CN106856664B CN201580047472.5A CN201580047472A CN106856664B CN 106856664 B CN106856664 B CN 106856664B CN 201580047472 A CN201580047472 A CN 201580047472A CN 106856664 B CN106856664 B CN 106856664B
Authority
CN
China
Prior art keywords
opening portion
substrate
shield
load port
accommodation container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580047472.5A
Other languages
English (en)
Other versions
CN106856664A (zh
Inventor
坂田胜则
奥津英和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissho Corp
Original Assignee
Nissho Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissho Corp filed Critical Nissho Corp
Publication of CN106856664A publication Critical patent/CN106856664A/zh
Application granted granted Critical
Publication of CN106856664B publication Critical patent/CN106856664B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles
    • B65G2201/0297Wafer cassette

Abstract

本发明提供一种装载口,能够在将基板收纳空间内部维持为净化气体气氛的状态下,利用搬运机器人进行基板的搬入搬出。在将基板收纳容器的盖开放之后,在比开放位置进一步前进的第3位置,通过将基板收纳容器的开口部的周缘部密闭的框体以及多个遮蔽板沿铅垂方向配置而成的开闭器部将基板收纳容器的开口部封闭。开闭器部使多个遮蔽板的全部或者一部分局部地移动,能够形成狭窄的开口部(第3开口部),将基板收纳空间内部进行了气氛置换的状态下的基板的搬运经由该狭窄的开口部(第3开口部)进行。

Description

装载口及装载口的气氛置换方法
技术领域
本发明涉及一种装载口,其用于相对于收纳半导体晶圆等薄板状的基板等的密闭容器搬入或搬出该基板等。本发明尤其涉及具有气氛置换功能的装载口及装载口的气氛置换方法,为了在处理各种薄板状的基板等时在各处理工序之间进行搬运,在相对于在从外部环境被隔离的气氛内收纳多个薄板状的基板等的密闭容器搬入或搬出薄板状的基板等时,将容器内部的气氛置换成非活性气体等的气氛。
背景技术
在本说明书中,各种薄板状的基板等包括半导体晶圆、液晶显示器面板用基板、有机EL显示器面板用基板、等离子显示器面板用基板、或太阳能电池用面板用基板等薄板状的基板,在以下的说明中,将以上的各种薄板状的基板等简称为“基板或薄板状基板”。
以往,在对半导体晶圆等薄板状基板进行镀膜或蚀刻等各式各样的处理的各种处理装置、进行基板的移载的EFEM(Equipment Front End Module)、以及将批次编号读取分类的被称为分拣机的装置等中,为了防止空气中浮游的微粒附着在薄板状基板上,采用将薄板状基板所曝露的装置内部气氛保持在高度清洁的微环境方式。在该微环境方式中,通过将被高度清洁化的空气仅向EFEM内部的比较微小的空间(微环境空间)供给,从而能够以比较便宜的费用将基板存在的空间维持为高清洁度。
但是近年来,半导体电路线宽度的微细化快速推进,逐渐出现仅靠基于以往的微环境方式的高清洁化无法应对的问题。尤其是,有时候被处理装置处理并搬入到密闭容器内部的薄板状基板的表面与空气中的氧和水分发生反应,生成自然氧化膜之类的各种处理工序中不优选的膜。因这种氧化膜存在而产生形成于薄板状基板的表面的组件无法确保所期望的特性的问题。另外,由于将在处理装置内使用的污染物质以附着在薄板状基板上的状态搬入到密闭容器内,因此还存在该污染物质甚至污染到密闭容器内的其他薄板状基板,对下一个处理工序带来负面影响而导致成品率恶化的情况。
作为解决这种问题的方法,以往考虑如下方法:用非活性气体将进入密闭容器内的空气或污染物质除去,通过利用非活性气体充满密闭容器内来防止收纳于内部的薄板状基板表面氧化。在专利文献1中公开了如下方法:对于载置于1个密闭容器即FOUP(FrontOpening Unified Pod)的晶圆,从在隔开规定距离的位置以进退自如的方式设置的净化托板将非活性的净化气体朝FOUP内部供给,由此将附着在晶圆表面的污染物质除去。在收纳于该净化托板的内部的净化气体供给喷嘴的前端部,具备抑制净化气体的喷出力的元件,防止净化气体有力地朝FOUP内部喷出。
根据该方法,通过不产生乱流地将大量的净化气体供给至FOUP内部,由此能够在不使滞留在FOUP内部的尘埃飞散的情况下短时间内置换FOUP内部的气氛。
在先技术文献
专利文献
专利文献1:日本专利第5448000号公报
但是在上述的方法中,在全部的处理完成的基板被收纳于FOUP内之前,FOUP的开口未被盖封闭。且,在即将利用盖封堵之前,利用净化气体置换FOUP内部的气氛。因此,在利用净化气体进行置换之前的期间,载置于FOUP内部的基板的表面长时间曝露于空气中的氧和水分。尤其是,在从第1张基板搬运到FOUP内部到最后的基板被搬运为止需要长时间的处理工序的情况下,这期间曝露于氧和水分的基板的表面的氧化持续进行,因在此生成的氧化膜而产生半导体组件无法获得所期望的特性的问题。
发明内容
发明要解决的课题
本发明是鉴于以上的问题点而完成的,提供一种气氛置换装置,通过讯速地将表面处理结束并搬入到FOUP内部的基板的表面净化,由此防止形成于基板表面的半导体电路的氧化。
用于解决课题的手段
为了解决上述的以往的问题点,在本发明中,在比将基板收纳容器的盖取下的第2位置进一步靠里的第3位置,设置将基板收纳容器的第1开口部遮蔽并且能够局部开闭的开闭器部,朝该封闭空间内供给净化气体来防止基板的氧化等化学变化。开闭器部具备遮蔽部,通过将该遮蔽板开闭而能够将比第1开口部窄的第3开口部开闭。经由该第3开口部将基板相对于基板收纳容器搬出或搬入。由于第3开口部比第1开口部窄(小),因此净化气体朝外部漏出的量少。
本发明的第1方式提供一种具有气氛置换功能的装载口,其载置基板收纳容器,用于使所述基板相对于所述基板收纳容器进出,所述基板收纳容器为了将多个所述基板载置收纳到内部而形成有在铅垂方向以一定的间隔配置的多个搁板,并且所述基板收纳容器具有用于使所述基板进出的第1开口部、以及能够将该第1开口部开闭的盖部,其中,具备:载台,在该载台的第1位置载置并固定所述基板收纳容器;载台驱动部,其使所述载台在所述第1位置、第2位置及第3位置之间进退移动;门,其在从所述第1位置前进的位置、即所述第2位置与所述基板收纳容器的所述盖部卡合,相对于所述基板收纳容器装卸所述盖部;门升降部,其使所述门升降移动;框体,其在从所述第2位置进一步前进的位置、即所述第3位置与所述基板收纳容器的周缘部抵接;开闭器部,其配置为能够局部开闭,在所述框体的与所述基板收纳容器相反的一侧,将被所述框体包围的所述第2开口部整体关闭;开闭器驱动部,其选择性地驱动所述开闭器部的一部分进行开闭,以便在所述开闭器部的所期望的位置设置比所述第2开口部小的第3开口部;以及至少一个净化喷嘴,其向所述基板收纳容器的内部供给净化气体。
作为净化喷嘴,能够设置朝基板收纳容器的底部供给净化气体的底部净化喷嘴、在基板收纳容器的开口部的侧部供给净化气体的侧部净化喷嘴等。通过采用上述结构,能够利用净化气体对收纳基板的空间即基板收纳容器及开闭器部包围而成的空间的内部进行气氛置换,且仅能够在基板的搬入搬出时将狭窄的第3开口部开闭。因此,在搬入搬出时不需要预先将第1开口部长时间打开,外部气氛朝基板收纳容器内的侵入被抑制。
本发明的另一实施方式提供一种具有气氛置换功能的装载口,所述开闭器部具备以能够上下移动的方式堆叠配置的多个遮蔽板,所述开闭器驱动部具备遮蔽板驱动部,该遮蔽板驱动部与任意位置的所述遮蔽板卡合,使该遮蔽板以及层叠在该遮蔽板之上的所述遮蔽板升降移动,由此将所述第3开口部开闭。
本发明的另一实施方式提供一种装载口的气氛置换方法,该装载口用于使所述基板相对于基板收纳容器进出,所述基板收纳容器为了将多个所述基板载置收纳到内部而形成有在铅垂方向以一定的间隔配置的多个搁板,并且所述基板收纳容器具有用于使所述基板进出的第1开口部、以及能够将该第1开口部开闭的盖部,在该装载口中,通过将搬入到所述基板收纳容器的内部的基板的表面迅速净化,由此防止形成于基板表面的半导体电路氧化,其中,包括以下工序:将所述基板收纳容器载置在停止于第1位置的载台的工序;将所述载台从所述第1位置前进移动至第2位置,将所述基板收纳容器的所述盖取下的工序;使所述载台从所述第2位置进一步前进移动,并将净化气体供给至所述收纳容器内的工序;将所述载台前进移动至进行所述基板的进出的第3位置,使所述基板收纳容器的所述第1开口部的周缘部与框体抵接的工序;响应使所述基板收纳容器向所述棚部接近的接近要求讯号,将遮蔽所述框体的开口部即第2开口部的开闭器部的一部分打开,在与存在接近要求的所述棚部的位置对应的位置,形成比所述第1开口部窄的开口即第3开口部的工序;以及响应针对所述棚部的接近结束讯号,将所述第3开口部关闭的工序。
发明效果
根据本发明,由于能够在将盖取下的状态下向基板收纳容器的内部供给净化气体,因此不需等待基板的搬入或搬出完成就能够向基板收纳容器内充满净化气体,能够防止或抑制基板的氧化等。另外,由于能够将比基板收纳容器的开口部窄的第3开口部开闭而将基板搬出或搬入,由此能够以高浓度维持基板收纳容器内部的净化气体气氛,能够抑制基板表面的氧化等。
附图说明
图1是示出一般的复合处理装置1的概要的立体图。
图2是复合处理装置1的剖视图。
图3是示出以往的装载口2的概要的立体图。
图4是示出晶圆收纳容器之一、即FOUP的构造的立体图。
图5是示出本发明的一实施方式的装载口的剖视图。
图6是从上方观察本发明的一实施方式的装载口的开闭器部周边时的剖视图。
图7是示意性地示出开闭器部附近的立体图,且是示出净化托板的一实施方式的立体图。
图8是从侧面观察开闭器部附近时的剖视图。
图9是示出控制部的控制系统的图。
图10是示出载台位于第1位置(等待位置)和第2位置(基座位置)的状态的图。
图11是示出载台位于映射位置和第3位置(搬运位置)的状态的图。
图12是示出遮蔽板驱动部的动作状态的一部分的剖视图。
图13是示出遮蔽板驱动部的动作状态的一部分的剖视图。
图14是示出载体内部及晶圆收纳空间内部的状态的剖视图。
图15是用空心箭头示出开口部附近的向下流动的状态的图。
图16是示出开口部净化喷嘴的图。
图17是示出具备净化塔的FOUP的剖视图。
图18是示出将侧面净化喷嘴设置于框体的例子的图。
具体实施方式
以下参照附图详细说明本发明的实施方式。图1是示出包括装载口、微环境装置、各种处理装置等的复合处理装置1的立体图,图2是示意性地示出其剖面的图。复合处理装置1设置于被称为清洁室(clean room)的、0.5μm以上的灰尘在1立方英尺中100个以下的约为等级100的比较清洁的气氛的工场内。复合处理装置1主要包括装载口2、微环境空间3、搬运机器人4、风扇过滤器单元5、搬运腔室6、各种加工腔室(处理装置)7、装载锁定腔室9。微环境空间3借助框架3a、固定于框架3a且与外部气氛分离的壁面、设置在顶棚的风扇过滤器单元5而形成封闭空间。风扇过滤器单元5是设置在顶棚并在将来自外部的空气净化为高清洁度的空气之后,作为向下的层流而朝微环境空间3导入的高清洁空气导入机构。在风扇过滤器单元5中,具备朝向微环境空间3的内部向下供给空气的风扇、以及将存在于被送来的空气中的微小的尘埃和有机物等污染物质除去的高性能的过滤器。另外,在微环境空间3的底面10安装有冲压板等具有规定的开孔率的空气能够流通的构件。
通过上述的结构,从风扇过滤器单元5供给至微环境空间3的内部的清洁的空气在微环境空间3内一直朝下方流动,从底面10朝装置外部排出。另外,因搬运机器人4等的动产生发生的尘埃也随着该向下的流动而朝装置外部排出。因此,微环境空间3内部被保持为高清洁气氛。搬运机器人4将薄板状基板的1种即晶圆15保持在指状部52上,在FOUP13及加工腔室7之间进行搬运,通过机械人4的臂可动部分采用磁性流体密封件等防止引发灰尘构造,从而极力抑制由引发灰尘所产生的对于晶圆15的负面影响。进一步,微环境空间3内部的气压维持在比外部气氛大1.5Pa的程度,防止来自外部的污染物质和尘埃的侵入,由此微环境空间3的内部维持0.5μm灰尘在1立方英尺内1个以下的等级1以上的较高的清洁度。
接着,首先参照图3说明载置FOUP13并将FOUP13的盖17开闭的以往的装载口2。至少公知的装载口2具备:固定于形成微环境空间3的框架3a的规定的位置且将FOUP13载置在规定的位置的载台14;具有晶圆15能够通过的面积的端口开口部11;在载台14移动至规定的位置时与FOUP13的盖17一体化的门(FIMS门)12;使载台14相对于FIMS门12前进、后退移动的载台驱动部29;以及使FIMS门12进行升降动作的FIMS门升降部(门升降部)19。在此,FIMS是“Front-Opening Interface Mechanical Standard”的缩略语,表示采用半导体相相关的国际规格即根据SEMI决定的规格。另外,载台14具备将FOUP13载置在规定的位置的动态销30、以及将载置于规定的位置的FOUP13固定的未图示的固定机构。载台驱动部29具备驱动源即马达29a及进给丝杠29b,马达29a的旋转被传递至进给丝杠29b,从而能够使载台14移动至任意位置。需要说明的是,载台驱动部29也可以代替马达29a及进给丝杠29b而具备利用气压和液压之类的流体压的工作缸。
FOUP13通过配置于载台14上的动态销30准确地载置在载台14的规定的位置。另外,通过利用载台驱动部29的工作使FOUP13朝向FIMS门12前进移动,由此使FOUP13的盖17与FIMS门12抵接。若FOUP13移动至盖17与FIMS门12抵接的位置,则形成于FOUP13的凸缘部分26及装载口2的端口开口部11的周围所具备的凸缘板8形成对置的位置关系。在此,在公知的装载口2的构造中,例如即使形成为FIMS门12下降移动而载台14能够前进的状态,由于凸缘板8及凸缘部分26抵接,因此载台14无法进一步前进。
凸缘板8是配备为将端口开口部11的周缘部包围的板状的构件,且是限制端口开口部11周缘部分及FOUP13的凸缘部分26的间隙的构件。通过使该凸缘板8及凸缘部分26抵接而封堵端口开口部11,防止包含外部的尘埃的低清洁度的空气流入微环境空间3内部。或者,凸缘板8配置为在与凸缘部分26之间设置少量的间隙,在内压高的微环境空间3内充满的清洁空气通过该间隙朝外部流出,由此承担防止包含来自外部的尘埃的空气流入内部的功能。
通过使与FOUP13的盖17一体化的FIMS门12往复移动至相对于FOUP13分离的位置,或者通过载台驱动部29使载置有FOUP13的载台14往复移动至相对于与盖17一体化的FIMS门12分离的位置,由此能够实现FIMS门12对FOUP13进行的开门及闭门动作。
在FIMS门12上设有通过吸附力相对于盖17进行一体化及定位的定位销23a、及闩锁钥匙23。通过将闩锁钥匙23b嵌合于盖17所具备的闩锁钥匙孔24(参照图4(b))并旋转,由此将锁定机构25切换至锁定状态或锁定解除状态。通过上述结构,通过载台驱动部29的工作而与FIMS门12抵接的盖17被解除与载体16锁定的锁定状态。另外,盖17及FIMS门12通过使闩锁钥匙23b朝锁定解除方向旋转而一体化。在此,盖17及FIMS门12「一体化」是指两者在通过机械机构彼此结合的状态下被固定。需要说明的是,上述的机构与根据半导体制造相关的规格、即SEMI规格规定的FIMS(Front-opening Interface Mechanical Standard)系统对应。
当盖17及FIMS门12被一体化时,载台14继续动作,使载体16朝从盖17分离的方向后退移动,使盖17及载体16分离。或者,通过使FIMS门12后退移动的机构继续动作,使FIMS门12朝相对于载体16分离的方向后退移动,使盖17及载体16分离。FIMS门12透过托架31升降自如地安装于FIMS门升降部19。FIMS门12在盖17及载体16分离之后,通过FIMS门升降部19下降至规定的位置,搬运机器人4能够向载体16内部接近。通过搬运机器人4向载体16内部接近,从而能够保持载置在载体16的棚18上的晶圆15并朝加工腔室7搬运,将在加工腔室7内处理结束后的晶圆15载置于载体16内的棚18。需要说明的是,FIMS门升降部19构成为,在本实施方式中通过驱动源即马达19a使进给丝杠19b正转或者翻转的旋转动作,使FIMS门12升降移动至任意位置,但是可取代此,使用利用了空压或液压之类的流体压的工作缸也。
另外,在装载口2中具备检测收纳于FOUP13内部的晶圆15的有无及载置状态的晶圆映射传感器32。在晶圆映射传感器32中,使用具有朝相对于载置晶圆15的面平行(且相对于图3的纸面垂直)的方向延伸的光轴的一对透过型传感器。晶圆映射传感器32的发光部及传感器部分别安装于U字形状的传感器安装部33的各前端部,传感器安装部33的基端安装于传感器驱动部34。传感器驱动部34的驱动源适合采用马达或旋转致动器,通过这些驱动源继续旋转动作,传感器安装部33以驱动源的轴为中心而转动,晶圆映射传感器32进入载体16内部。传感器驱动部34固定于托架31,能够与FIMS门升降部19的动作连动地进行升降动作。通过利用FIMS门升降部19的动作使传感器安装部33进行升降动作,由此晶圆映射传感器32在载体19内从上面移动至下面。由此,在载体19内收纳有晶圆15的情况下,由于晶圆映射传感器32的光轴因晶圆15的厚度而被遮蔽,因此能够检测载体16内的全部的棚18的晶圆15的有无。另外,朝各驱动部的输出信号和传感器等的输入信号被控制部37控制。
在上述结构的基础上,也能够为了防止从装载口2所具备的各驱动源或可动部产生的尘埃朝微环境空间3侵入而设置盖35,或为了防止来自外部的低清洁度空气的进入而将面向外部的部分被防尘盖36覆盖也能够。且,具备将装载口内发生的尘埃朝外部排出用的排气风扇38。通过上述结构,不仅能够防止尘埃流出到微环境空间3内,而且在微环境空间3内流动的高清洁度空气的向下流动从盖35的上部开口部分渗入,通过设在装载口2的底面的排气风扇38朝外部排出。
接着,参照图4说明能够民币的容器的一例即FOUP13。FOUP13是通过将内部维持在高清洁度的气氛而在将被收纳物即晶圆15从低清洁度的外部气氛隔绝的状态下,进行针对晶圆的各处理工序间的搬运的能够密闭的容器。图4是示出半导体的晶圆收纳容器之一即FOUP13的立体图。FOUP13包括在内部收容晶圆15的箱状的容器即载体16、及为了使晶圆15进出而将设在载体16的第1开口部16a密闭的盖17。另外,在载体16的内部壁面上,用于载置晶圆15的搁板18以在铅锤方向上隔开规定间隔的方式设置有多个。需要说明的是,图4(b)是示出盖17的FIMS门12侧的面的图,图4(c)是示出盖17的载体16侧的面的图。盖17在载体16的开放面与载体16嵌合,将FOUP13内部形成密闭空间。
在盖17的外侧、即FIMS门12侧的面,具备限定盖17相对于FIMS门12的位置的定位孔22、及用于通过使装载口2所具备的闩锁钥匙23b使盖17与载体16卡合、分离的闩锁钥匙孔24。另外,在盖17的上下虽具备锁定机构25,但是这是为了将盖17卡合于载体16的凸缘部分26。此盖锁定机构25与设在闩锁钥匙孔24的机构连动,通过FIMS门12所具备的闩锁钥匙23b使闩锁钥匙孔24左右旋转,由此能够将锁定机构25操作位锁定状态及开放状态。
参照图4(c)说明盖17的载体16侧的面。在盖17的与载体16接触的周缘部分设有用于保持FOUP13内的密闭性的密封件27,在中央部纵方向上具备用于将收纳于FOUP13的内部的晶圆15的端缘部分朝水平方向按压固定的具有弹性的保持构件28。这些详细尺寸等信息根据有关半导体制造的规格、即SEMI规格进行规定。
进一步,在载体16的底部的固定位置设置有供给非活性气体等而将FOUP13内部的气氛与非活性气体等置换的净化端口39。净化端口39设有用于从外部注入非活性气体的注入用净化端口39a、及用于将FOUP13内部的空气朝外部排出的排出用净化端口39b,在各净化端口39具备通过注入用气体的注入压力或者被排出的内部气氛的排出压力而从闭状态朝开状态切换的止回阀,防止逆流。另外,在注入用净化端口39a中具备用于阻止朝注入用气体混入的微小的尘埃侵入载体16内部的过滤器构件。
接着,对于具备本发明的气氛置换功能的装载口进行详细说明。图5是从侧面观察具备本发明的一实施方式的气氛置换功能的装载口40时的剖视图,图6是从上面观察使装载口40移动至后述的第3位置(基板搬运位置)的状态时的剖视图。装载口40在所述的公知的装载口2的结构的基础上还具备配置于从载台14观察时的FIMS门12的后方、即靠近搬运机器人4的位置的框体41、及堆叠配置为能够将形成于框体41的开口部41a封闭的遮蔽板43。另外,载台驱动部47载置在载台14上,在通过FIMS门12将盖17取下之后,载体16的凸缘部分26使载台14移动至与框体41抵接的位置。进一步在本发明的一实施方式的装载口40中,在框体41的开口部41a的配置搬运机器人4的一侧配置有开闭器部42。开闭器部42具备能够将一部分局部开闭的遮蔽部。另外,装载口40进一步具备驱动遮蔽部的一部分局部开闭的开闭器驱动部、及朝FOUP13的载体16的内部供给净化气体的净化喷嘴。在本说明书中,遮蔽部例示了具备多个遮蔽板43的结构,开闭器驱动部例示了能够使遮蔽板43沿铅锤方向升降移动的遮蔽板驱动部44。对于净化喷嘴如后述。在此,本发明的净化气体是对FOUP13的载体16内部的气氛进行置换的气体,除了氮、氩、氖、氪之外,也包含干燥空气。
本发明的一实施方式的装载口40所具备的框体41在相对于载台14比FIMS门12更前方、即比FIMS门12更靠近搬运机器人4的位置,经由托架41b而安装于基座构件45。框体41是厚度5~10mm的板状的构件,配置为覆盖端口开口部11的周围整体。另外,在框体41上形成有开口部41a,该开口部41a具有与载体16的开口部16a同样的面积及形状。搬运机器人4能够通过框体41的开口部41a及载体16的开口部16a,朝形成于载体16的内部的各棚18搬入晶圆15,或者将载置于各棚18的晶圆15朝载体16的外部搬出。在此,将形成于FOUP13的载体16的开口部16a作为第1开口部,相对于此,将形成于框体41的开口部41a作为第2开口部。需要说明的是,密封构件46在整周方位内安装在第2开口部即框体41的开口部41a的周缘。该密封构件46配置为与凸缘部分26气密地抵接,该凸缘部分26形成于在前进移动至晶圆交接位置的载体16上形成的第1开口部、即开口部16a的周缘。另外,能够通过密封构件46抑制框体41及凸缘部分26抵接时产生的尘埃。密封构件46优选由密闭性、缓冲性优异的橡胶或硅、由发泡聚氨酯之类的弹性体形成。
框体41经由托架41b而固定于装载口40的基座构件45。该托架41b发挥隔离件的作用,从而框体41以隔开规定间隔的方式固定于基座构件45,防止框体41与FIMS门12或晶圆映射传感器32之类的各构件冲突。在框体41的开口部41a的周缘部及基座构件45之间,以将间隙关闭的方式固定有护罩48。通过该护罩48使框体41及基座构件45的各间隙气密地封闭。但是,由于FIMS门12和晶圆映射传感器32通过开口部41a的下方的间隙,因此不配置护罩48。另外,也可以在该护罩48上具备用于向被护罩48覆盖的空间及载体16的内部空间供给净化气体的侧面净化喷嘴49。对于侧面净化喷嘴49、及朝侧面净化喷嘴49的净化气体供给路径,如后述。
装载口40所具备的载台驱动部47具备驱动源即马达47a及进给丝杠47b,马达47a的旋转传递至进给丝杠47b,能够使固定于进给丝杠47b的载台14移动至任意位置。载台驱动部47能够在以下位置进退移动,即,在手动或者与未图标的FOUP搬运装置之间进行FOUP13的交接的第1位置即等待位置(图5的位置)、使载台14前进而使FIMS门12及盖17抵接并一体化的第2位置即基座位置、及为了在FOUP13本体即载体16与盖17分离之后与搬运机器人4之间进行晶圆15的交接,进一步使载台14前进而使形成于载体16的凸缘部分26与框体41抵接的第3位置即搬运位置(图6的位置)。需要说明的是,也可以取代马达47a及进给丝杠47b,使用利用气压或液压之类的流体压的工作缸。需要说明的是,与以往的装载口2同样地,FOUP13通过配置于载台14的定位构件即动态销30被准确地载置在载台14的规定位置,通过未图示的卡合机构形成与载台14卡合的构造。
在本实施方式的装载口40的载台14具备底面净化喷嘴66。该底面净化喷嘴66用向朝载体16内部供给净化气体,配置于与通过动态销30定位在载台14的规定位置的载体16的底部所具备的净化端口39a、39b对应的位置。经由该底面净化喷嘴66将净化气体供给到被载体16及开闭器部42包围的收纳晶圆15的空间的内部。
接着,参照图6、图7、图8说明将设置于框体41的第2开口部即开口部41a封闭的多个遮蔽板43及开闭器部42。图7是示意性地示出开闭器部42附近的立体图,图8是从侧面观察开闭器部42附近时的局部放大剖视图。遮蔽板43是方柱状的构件,具有与设置在框体41的开口部41a的水平方向的尺寸相比更大的长度方向的尺寸,各遮蔽板43均具有与形成于FOUP13的内部的各棚18的上下方向的间隔大致相同的高度方向的尺寸(厚度)。该遮蔽板43在铅锤方向上堆叠配置,从而形成于框体41的开口部41a被层叠的多个遮蔽板43封闭。在各遮蔽板43的左右两端部附近沿铅锤方向设有贯通孔,限制遮蔽板43的水平方向的位置的圆柱状的构件即定位轴50被插入到各贯通孔中。该一对定位轴50配置为以隔开距离的方式相互平行,至少能够供在指状部52上水平支承的晶圆15通过。另外,在左右各定位轴50的上端及下端安装有固定组件51a、51b,进一步,该固定组件51a、51b固定于框体41。
固定组件51a、51b固定于框体41的与载体16侧相反的一侧(配置搬运机器人4一侧)的面。另外,各遮蔽板43开口的贯通孔的直径形成为比定位轴50的直径略大,各遮蔽板43被支承为,能够以堆叠在固定于框体41的开口部41a的下侧的固定组件51a上的状态沿铅锤方向移动。上侧的固定组件51b相对于配置于最上层的遮蔽板43的上面以与上方隔开规定的间隔的方式固定。通过该结构,多个各遮蔽板43能够从任一位置朝上方向抬起,若抬起规定的遮蔽板43a,则堆叠于比该规定的遮蔽板43a靠上方的遮蔽板43的全部被抬起。
如图8所示,若抬起规定的遮蔽板43a,则与已抬起的遮蔽板43a的正下方的遮蔽板43b之间局部出现间隙。该间隙成为支承晶圆15及晶圆15的指状部52能够通过的开口部65。在此,比已朝上方抬起的遮蔽板43a更靠下方的遮蔽板43处于已堆叠的状态静止的状态,比遮蔽板43a更靠上方的遮蔽板43在堆叠的状态下与遮蔽板43a一体地朝上方移动。由此,形成比形成于框体41的开口部41a窄的第3开口部65。即,相当于开口部41a的区域中的该第3开口部65以外的部分保持被多个遮蔽板43封闭的状态。需要说明的是,各遮蔽板43配置为相对于框体41在搬运机器人侧隔开少量的间隙,以便不与框体41接触。因此,在各遮蔽板43沿着定位轴50朝上下方向升降移动时,不会因遮蔽板43与框体41接触而产生尘埃。
在搬运机器人4向载体16内的晶圆15接近时,借助已抬起的遮蔽板43a的下边及该遮蔽板43a的正下方的遮蔽板43b的上边形成划分出上下方向的位置的开口部65。因此,优选关闭状态下的各遮蔽板43的位置定位为,与固定在载台14的载体16上形成的搁板18的高度对应。例如,既可以是各搁板18的上面、及与该搁板18对置的遮蔽板43的上面或者下面配置为在铅锤方向上达到相同的高度位置,也可以是与各搁板18的上面对置的遮蔽板43的上面或者下面配置为以规定尺寸在上下方向上改变位置。尤其是,出于维持载体16内部的气氛的目的,考虑到搬运机器人4所具备的指状部52的厚度尺寸、被支承于指状部52且通过开口时的晶圆的挠曲量等,优选开口部65调整为尽可能小的开口面积。
接着参照图7说明使遮蔽板43沿上下方向升降移动的遮蔽板驱动部44。遮蔽板驱动部44包括在左右两端具备钩53的遮蔽板支承机构54、及使遮蔽板支承机构54沿上下方向升降移动的升降驱动机构55。
遮蔽板支承机构54包括与形成于遮蔽板43的两端的缺口部59卡合且在两端支承遮蔽板43的钩53、使钩53在水平面内旋转动作且与缺口部分59卡合的钩驱动机构56、以及将钩驱动机构56固定支承的支承构件57。钩53的前端部是具有能够与遮蔽板43的缺口部分59卡合的形状及尺寸,固定于一端固定在支承构件57的钩驱动机构56的驱动轴。钩驱动机构56例如能够将气缸58作为驱动源。气缸58所具备的活塞杆的伸缩动作通过配置于遮蔽板支承机构54的两端的一对凸轮机构或者连杆机构而转换成一对钩53的旋转动作。该气缸58及凸轮机构等以能够动作的方式固定于支承构件57,根据该结构,通过经由未图示的配管及控制用的阀供给压缩空气而使气缸58的活塞杆伸长,另外,当停止压缩空气的供给而释放供给压力时,通过弹性构件等使得活塞杆收缩。该活塞杆的伸缩动作通过凸轮机构等转换为钩53的正转、逆转动作。
需要说明的是,在本实施方式中,示出了钩驱动机构56具备气缸58与凸轮机构等的例子,但是本发明不限定于此。例如既可以取代气缸58而使用马达或电磁铁,也可以使钩53在马达或旋转致动器的旋转轴上旋转。另外,在本实施方式中,通过使钩53旋转而与缺口部分59卡合,但是除此以外,也完全能够通过使钩53滑动移动而与缺口部分59卡合。
接着,说明使遮蔽板支承机构54沿铅垂方向升降移动的升降驱动机构55的结构。升降驱动机构55具备驱动源即马达60与进给丝杠61,马达60的旋转经由未图示的带轮及皮带以规定的减速比传递至进给丝杠61,从而使螺合于进给丝杠的移动件62升降移动。本实施方式的升降驱动机构55所具备的马达60优选为能够通过脉冲进行马达轴的角度控制的步进马达或伺服马达。另外,在升降驱动机构55中具备使移动件62的移动朝铅垂方向的直线移动导引的导引机构63。另外,支承托板64的下部固定于移动件62,支承托板64的顶部安装于遮蔽板支承机构54的支承构件57底部,从下方对支承构件57进行支承。由此,通过控制马达60的旋转角度,能够利用钩53支承规定的遮蔽板43的两端并使之升降移动。
在该结构中,为了将第3开口部开闭,需要使遮蔽板43能够朝上下方向移动。因此,第3开口部的开闭量根据上端的固定组件51b及最上层的遮蔽板43的间隔而规定。因此,通过使该间隔足够大,能够将上下的升降移动量扩大。即,通过将该间隔扩大,也能够将第3开口部的开口高度设为各搁板间的距离或者各遮蔽板的间距以上。
另外,当使升降驱动机构55朝下方移动时,遮蔽板43借助自重而下降,第3开口部被关闭。在此,为了将第3开口部可靠地关闭,通过在上端的固定组件51b与最上层的遮蔽板43之间设置螺旋弹簧(未图示),并朝下方按压,由此能够更可靠地将第3开口部关闭。另外,也可以取代螺旋弹簧而利用板簧或橡胶之类的其他弹性构件将最上层的遮蔽板43朝下方按压。
需要说明的是,在上述的说明中,示出开闭器部在与多个搁板位置对应的位置具有与搁板相同数量的遮蔽板的例子。但是,开闭器部也可以具备比搁板的数量少的遮蔽板。
另外,遮蔽板驱动部将第3开口部开闭,以达到与收纳一片所述基板的各棚部的区域相同的高度,由此能够利用非常小的开口进行基板的搬入搬出。但是,通过利用遮蔽板驱动部改变遮蔽板的升降移动量,由此能够变更第3开口部的大小。例如,也能够选择性地开闭第3开口部的大小,以使得遮蔽板驱动部的升降移动量达到与收纳一片基板的各棚部的区域相同的高度、或者达到该高度的整数倍的高度。
参照图9对控制以上说明的各种驱动部和本发明的装载口的控制部进行说明。载台驱动部47、FIMS门驱动部19、遮蔽板驱动部44的各动作由装载口40所具备的控制部37控制。进一步,控制部37也进行净化气体的供给时间点、供给量的切换控制。朝各净化喷嘴66、49、73(对于净化喷嘴73如后述)导入的净化气体既可以从设有复合处理装置1的工场设备供给,也可以从复合处理装置1内所具备的贮留有净化气体的容器供给。从供给源67供给的净化气体经由供给路径导入至装载口40,经由装载口40内所具备的配管经过压力调整器68、压力传感器69被分配至四个系统。被分配至四个系统的净化气体经由配管并经由各系统所具备的电磁阀70及流量调整阀71向各净化喷嘴66、49、73导入。压力调整器68对于在供给源67产生的入口侧的压力变动而将出口侧(装载口侧)的压力调整为恒定。压力传感器69测定从压力调整器68供给的净化气体的压力测量,在净化气体相对于预先设定的压力值的上限及下限的阈值处于高压状态或者低压状态的情况下,将警报信号发送至控制部37。
电磁阀70根据从控制部37发送的信号将净化气体进行流通的阀开闭,限制净化气体的供给。控制部37根据预先存储在配备于内部的存储装置中的步骤向电磁阀70发送阀的开闭信号,由此能够在规定的时间点进行规定期间的净化气体的供给。另外,在从各电磁阀70与各净化喷嘴连接的配管路径中具备流量调整阀71,能够利用该流量调整阀71调整净化气体的准确流量。在本实施方式的装载口40中,具备对于底面净化喷嘴66控制大流量的净化气体的流量的电磁阀70a和流量调整阀71a、以及控制小流量的净化气体的流量的电磁阀70b和流量调整阀71b这两个系统,对于侧面净化喷嘴49,具备供给规定的净化气体的电磁阀70c和流量调整阀71c这一个系统。根据上述结构,被导入到装载口40内部的净化气体能够在被调整至规定的压力及流量之后,在规定的时间点以规定的期间向各净化喷嘴供给。
接着,参照图10、图11对本发明的一实施方式的装载口40的动作进行详细说明。图10(a)是示出载台14位于第1位置即等待位置的状态的图,图10(b)是示出载台14是位于比第1位置更靠里侧的第2位置(基座位置),且FIMS门12与盖17一体化的状态的图。图11(a)是示出载台14移动到略微向跟前后退的映射位置,一体化的FIMS门12和盖17与晶圆映射传感器32一起进行下降动作的状态的图,图11(b)是示出载台14从第2位置进一步朝里侧前进,移动到第3位置即搬运位置的状态的图。
为了接收复合处理装置1中的表面处理结束的晶圆15,若将内部空的FOUP13载置于在等待位置即图10(a)所示的第1位置等待的载台14的规定的位置,则控制部37使FOUP13固定于载台14。之后,载台驱动部47驱动而使载台14移动至图10(b)所示的第2位置即基座位置。当到达该第2位置的移动结束时,控制部37使FIMS门12的各机构动作,进行FIMS门12与盖17的一体化,同时进行盖17与载体16的锁定状态的解除。接着如图11(a)所示,控制部37使载台14后退,使盖17与载体16分离。当载台14的后退动作结束时,在使FIMS门12及晶圆映射传感器32沿垂直方向微小下降之后,使晶圆映射传感器32朝向载体16动作。当晶圆映射传感器32朝向载体16动作时,控制部37在此使载台14前进至第2位置即基座位置。需要说明的是,在本实施方式的装载口40中,在将载体16同样盖17分离时,使载体16后退,但是不限定于此,也能够使与盖17一体化的FIMS门12相对于载体16后退。即,通过使载体16与盖17相对地移动而进行使载体16与盖17分离的动作。
接着,控制部37通过使FIMS门12与晶圆映射传感器32沿铅垂方向下降至规定的位置来检测晶圆15,从而确认晶圆15是否载置在载体16内部的棚18上。当检测结束时,控制部37使晶圆映射传感器32后退至原来的等待位置,使FIMS门12与晶圆映射传感器32下降至不与载台14干涉的下降位置。
当FIMS门12与晶圆映射传感器32的下降动作结束使,如图11(b)所示,控制部37使载台14从第2位置即基座位置朝向配置于前方的框体41进一步前进,移动至第3位置即搬运位置。当载台14前进至第3位置时,载体16的凸缘部分26与框体41所具备的密封构件46气密地抵接。需要说明的是,在本发明的实施方式的装载口40中,由于以往的装载口2不具备在端口开口部11附近所具备的凸缘板8(参照图3),因此载体16的凸缘部分26能够不与任何构件干涉地穿过端口开口部11而前进至规定的位置。
在从该第2位置到第3位置的移动结束的期间,控制部37将电磁阀70a打开,经由底面净化喷嘴66朝载体16内部供给大流量的净化气体。略微,控制部37使电磁阀70c动作,经由侧面净化喷嘴49向被载体16、护罩48、框体42及开闭器部42包围的晶圆收纳空间72内供给净化气体。被供给的净化气体充满该晶圆收纳空间72内,使晶圆收纳空间72内的普通大气以被该净化气体挤出的方式从设置在开闭器部42的各遮蔽板43与框体42之间的间隙朝外部排出。如此进行晶圆收纳空间72内的气氛置换。需要说明的是,例如在因FOUP13的容积大而气氛置换需要时间的情况、或无法加大净化气体的供给量的情况下,也可以在FOUP13刚固定于载台14之后就开始净化气体的供给。但是,由于FOUP13被密闭,因此优选经由注入用净化端口39a而供给净化气体,并且经由排出用净化端口39b将FOUP13的内部气氛排出。由此,能够短时间内完成气氛置换。
当载台14结束移动至第3位置即搬运位置时,载体16与框体42气密地抵接,控制部37将电磁阀70c关闭,使来自侧面净化喷嘴49的净化气体的供给中止。另外,控制部37在载台14结束移动至第3位置且经过规定的时间之后,关闭将大流量的净化气体供给至底面净化喷嘴66的电磁阀70a,取而代之地打开控制小流量的净化气体的供给的电磁阀71b,开始朝净化喷嘴66进行小流量的净化气体的供给。向晶圆收纳空间72持续进行小流量的净化气体供给,维持内部的清洁环境。需要说明的是,在上述说明中使用内部未收纳晶圆15的FOUP13,但是不限定于此,装载口40也能够载置收纳有要通过复合处理装置1进行处理的预定的晶圆15的FOUP13,将其盖17打开并在搬运位置等待。在该情况下,也可以依据上述说明的步骤利用净化气体对晶圆收纳空间72的内部进行气氛置换。
当上述的动作结束时,控制部37向未图示的上位控制机构发送晶圆16的搬运准备完成信号,接收到此信号的上位控制机构向搬运机器人4发送信号,以便将规定的处理结束后的晶圆15从加工腔室7朝装载口40搬运。另外,上位控制机构对控制部37发送指定规定的棚18并搬运晶圆16的指令的信号(接近要求信号)。接收到接近要求信号的控制部37使遮蔽板驱动部44工作,使对应于指定的棚18的遮蔽板43上升移动。在此,通过使遮蔽板43上升,从而使净化气体从开口部65朝外部排出,存在晶圆收纳空间72内部的净化气体浓度下降的可能性。因此,控制部37通过操作电磁阀70a、70b,将供给至晶圆收纳空间72内的净化气体的流量从小流量切换至大流量,由此防止晶圆收纳空间72内部的净化气体浓度下降。
接着说明在本发明的一实施方式的装载口40中将利用复合处理装置1进行的表面处理结束后的晶圆15收纳到FOUP13内部的步骤、及净化气体的供给的控制。首先,根据所述的装载口40的动作步骤,预先将未收纳晶圆15的FOUP13的盖17打开,将晶圆收纳区域72的内部用净化气体充满。表面处理结束后的晶圆15通过搬运机器人4从复合处理装置1搬出。此时,上位控制机构对控制部37发送将晶圆搬运至载体16的规定的棚18的指令信号。接收到信号的控制部37使遮蔽板驱动部44工作。遮蔽板驱动部44使遮蔽板支承机构54上升至规定位置,使钩53与对应于所期望的棚18的遮蔽板43的缺口部59卡合,之后使遮蔽板支承机构54上升,在对应于所期望的棚的位置形成能够接近的开口部65。参照图12(a)参照,在此,为了抑制充满晶圆收容空间72的内部的净化气体从开口部65朝空间外部流出而使得晶圆收纳空间72内部的净化气体浓度下降的情况,控制部37切换电磁阀70a、70b的工作,开始朝晶圆收纳空间72供给大流量的净化气体。
在上述动作结束后,控制部37向上位控制机构发送晶圆15的接收准备完成信号。接收到该信号的上位控制机构使搬运机器人4工作,将指状部52及被指状部52保持的晶圆15经由开口部65而移动至预先指引的规定的棚的规定的搬运位置。参照图12(b),当水平方向的移动完成时,搬运机器人4进行微小的下降动作,将由指状部52保持的晶圆15载置于目标的棚18。参照图13(a),需要说明的是,由于开口部65具有不与搬运机器人4的一连串动作干涉的上下尺寸,因此晶圆15、指状部52不会与遮蔽板43冲突。另外,在朝晶圆收纳空间72移动的晶圆15的表面上残留有复合处理装置1中使用的反应气体的分子的情况下,该反应气体的分子有可能伴着晶圆收纳空间72内部的净化气体的流动而朝晶圆收纳空间72内部扩散。但是,由于净化气体一直供给且经由开口部65或遮蔽板43与框体41的间隙而朝晶圆收纳空间72的外部排出,因此反应气体滞留在晶圆收纳空间72内部,不会污染其他的晶圆。另外,由于充满晶圆收纳空间72的净化气体不含水分子和氧分子,因此也不会在被搬入到晶圆收纳区域72的晶圆15的表面生成氧化膜。
当搬运机器人4的动作结束时,控制部37使遮蔽板驱动部44工作,使遮蔽板43移动至原来的位置。参照图13(b),之后解除钩53的卡合,使遮蔽板驱动部44移动至规定的等待位置。在此,若下一个晶圆15的搬入开始,则控制部37维持电磁阀70a的工作并持续从底面净化喷嘴66供给大流量的净化气体。另外,若不进行下一个晶圆15的搬入,则在经过规定的时间后,控制部37切换电磁阀70a、70b的工作,以便从底面净化喷嘴66朝晶圆收纳空间72内部供给小流量的净化气体。
接着,使用图14及图18说明侧面净化喷嘴49及底面净化喷嘴66的详细情况。图14(a)是例示从第2位置朝第3位置移动的途中的净化气体的供给状态的图,图14(b)是例示第3位置中的净化气体供给状态的图。图18是示出侧面净化喷嘴的其他例子的图。为了高效地进行晶圆收纳空间72内部的气氛置换,重要的是利用净化气体将滞留在晶圆收纳空间72内部的普通大气以挤出的方式排出。若为了供给大量的净化气体而有力地供给净化气体,因净化气体所产生的乱流而使净化气体与一般大气被搅拌。若像这样净化气体及普通大气被搅拌,则晶圆收纳空间72内部的气氛置换完成之前需要长时间。进一步,因净化气体产生的乱流而使载体16内部残留的尘埃向晶圆收纳空间72内部飞散,该尘埃也会附着在晶圆15上。在此,优选设置如图14(a)、(b)的实施方式所示的侧面净化喷嘴49,以便也可以不从一个位置有力地供给净化气体。另外,优选该侧面净化喷嘴49的喷出口具有喷出抑制构件,该喷出抑制构件使被供给的净化气体的流速降低,并且使净化气体大范围地扩散。通过该喷出抑制构件,无需降低净化气体的供给量就能够降低净化气体的力度。
侧面净化喷嘴49是向被载体16、开闭器部42及护罩48包围的晶圆收纳空间72的内部供给净化气体的喷嘴。如图14(a)所示,侧面净化喷嘴49优选为在护罩48的上方及左右配置多个。进一步,对于配置于上方的护罩48上的侧面净化喷嘴49的位置及个数,优选使净化气体遍布横跨开口部16a、41a的横方向整个区域。另外,优选被配置于左右的护罩48上的侧面净化喷嘴49与形成有载体16的棚18的上下方向的间距对应地配置,尤其是,侧面净化喷嘴49优选配置为向载置于各棚18的晶圆15的上下方向的各间隙供给净化气体。
从以上的多个侧面净化喷嘴49供给的净化气体将晶圆收纳空间72内部的普通大气经由端口开口部11、凸缘部分26与框体41的间隙、以及框体41和开闭器部42的遮蔽板43的间隙而朝外部压出。需要说明的是,若预先经由底面净化喷嘴66朝载体16内部充满净化气体,则该气氛置换能够短时间结束。
参照图14(a),需要说明的是,在图14(a)、(b)及第18图等中,示出了将底面净化喷嘴66配置于载体16的底面的第1开口部附近的位置的例子,但是底面净化喷嘴66也可以配置于载体16的底面的中央部附近,或者第17图(b)所示的载体16的底面的里侧。
底面净化喷嘴66形成为与在FOUP12的底面具备的净化端口39对应的形状。另外,底面净化喷嘴66构成为经由净化端口39向FOUP12内部供给净化气体,底面净化喷嘴66不具有如侧面净化喷嘴49所具有的喷出抑制构件。代替于此,将净化端口39所具备的止回阀和杂质除去的过滤器发挥作为喷出抑制构件的功能。另外,如图14(b)所示,由于在载台14位于搬运位置时,载体16的凸缘部分26与框体41气密抵接,因此朝晶圆收纳空间72的净化气体的供给经由底面净化端口66而进行。此时,晶圆收纳空间72的内部气氛经由框体41与遮蔽板43的间隙和开口部65朝外部排出。另外,载置于载体16内的晶圆15发挥整流板的功能,将被供给的净化气体整流成朝向开闭器部42的平行的流动。
需要说明的是,在本实施方式中,在图14所示的例子中,侧面净化喷嘴49固定于护罩48,但也能够如图18所示那样固定于框体41。在框体41上形成有使载置在载体16的棚18上的晶圆15能够通过的第2开口部41a。以能够朝向该开口部41a供给净化气体的方式,将侧面净化喷嘴49固定于框体41的上方及左右。
在侧面净化喷嘴49所具备的喷出抑制构件、净化端口39所具备的过滤器中,能够使用对于所供给的净化气体具有所需的喷出抑制功能的各种原料,尤其优选多孔质的材料。例如能够使用具备PTFE微粒子键合复合材料或烧结金属、烧结玻璃、连续发泡玻璃、层积滤芯、或者中空纤维膜作为滤芯的空气过滤器用构件。进一步,还能够进行流通于配管时混入的尘埃的除去。另外,由于利用多孔质的微细构造,因此具有所需的喷出抑制能力且还能够采用小型的外形。
本发明的净化端口40设置为,开闭器部42以及操作该开闭器部42的机构与以往的装载口2相比进一步朝微环境空间3侧伸出。在此,载体16配置在根据以往的装载口2规定的晶圆的搬运位置(图10(b)的位置),由于以往的搬运机器人4的指状部过短,因此无法经由开闭器部42的开口部65接近收纳于载体16的内部的棚部的晶圆15。因此,在本发明的一实施方式的装载口40中,使载体16的位置移动至朝搬运机器人4侧前进的第3位置,将该位置作为搬运位置。通过这样做,搬运机器人4无需更换指状部52等就能够容易地接近收纳于被载置于装载口40的载体16内的晶圆15。即,由于载置于装载口40的基板收纳容器能够移动至比根据以往的装载口规定的搬运位置进一步前进的位置,因此不需要特别的改造,基板搬运机器人就能够接近载置于本发明的装载口的基板收纳容器。
进一步,由于装载口40构成为与关于半导体制造装置的国际规格即SEMI(Semiconductor Equipment Material International)所规定的限定微环境空间3的排除区域的规格一致,因此无需对复合处理装置1进行特别的改造,就能够在设有以往的装载口2的位置设置本发明的一实施方式的装载口40。
在本发明的一实施方式的装载口40中,在载台14位于第3位置即搬运位置时,通过经由净化端口39供给净化气体,将被载体16、框体41及开闭器部42包围的晶圆收纳空间72的内部维持为比周边环境更大压力的净化气体气氛,由此防止来自外部的尘埃和普通大气的侵入。另外,例如即便在开闭器部42开设有用于进行搬运的开口部65,也向晶圆收纳空间72的内部供给对于维持正压的气氛而言足够的流量的净化气体。但是,根据复合处理装置1的不同,也有将风扇过滤器单元5所具备的风扇的转数提高,将微环境空间3内的压力维持于较高的正压的情况。在如此的复合处理装置1的微环境空间3中,在搬运机器人4保持晶圆15并使之通过开口部65时,晶圆15进行整流板那样的工作,来自风扇过滤器单元5的强力的向下的层流变成水平方向的气流。其结果,如图15的空心箭头所示,该包含氧和水分的水平方向的气流从微环境空间3经由开口部65朝晶圆收纳空间72内部侵入。于是,在本发明的装载口的第2实施方式中,如图16所示,在开口部65的上方设置将来自微环境空间3的向下的气流阻断的檐部74,而且该檐部74具备1个或者多个开口部净化喷嘴73。图16是示出本发明的第2实施方式的一例的图,图16(a)、(b)分别是从侧面方向及正面方向观察使时的意示图,且是示出从开口部喷嘴73供给净化气体的状态的局部放大图。
通过在开口部65的上方配备檐部74,来自风扇过滤器单元5的向下流动被阻断,能够减少净化气体受到的负面影响。檐部74优选为设在开口部65的稍上方并与开口部65一起移动。例如,也能够经由托架将板状的构件安装于支承构件57,通过升降驱动机构55与钩53和遮蔽板支承机构54一起上下移动。檐部74配置为不与开闭器部42的遮蔽板43接触且向配置有搬运机器人4的空间侧伸出。需要说明的是,为了阻断来自风扇过滤器单元5的向下流动,檐部74的面积愈大,阻断效果愈大,但是优选配置为在微环境空间3内包含在规定的排除区域内。
进一步,通过从该檐部74所具备的第3净化喷嘴即开口部净化喷嘴73将净化气体朝开口部65周边局部地喷出,由此防止来自风扇过滤器单元5的向下流动从开口部65朝晶圆收纳空间72侵入。开口部净化喷嘴73配置于与形成开口部65的遮蔽板43平行的直线上,对通过开口部65及开口部65的晶圆15从上方喷出净化气体。由于开口部净化喷嘴73安装于檐部74,因此与檐部74同样地能够与钩53和遮蔽板支承机构54一起上下移动,无论在开闭器部42任意位置形成开口部65,开口部净化喷嘴73及开口部65的位置关系始终恒定。另外,由于开口部65与开口部净化喷嘴73的距离是比较近的距离,因此能够通过喷出与其他的净化喷嘴相比较少量的净化气体而获得充分的阻断效果。
来自开口部净化喷嘴73的净化气体的供给被控制部37控制,在开口部65被关闭时不供给净化气体。在开口部65打开后,控制部37将电磁阀70d打开并朝开口部净化喷嘴73供给净化气体。控制部37在搬运机器人4进行朝载体16的接近时,开始进行用于使遮蔽板43开闭的遮蔽板驱动部44的动作,并且使电磁阀70d工作,开始朝开口部净化喷嘴73供给净化气体。
开口部净化喷嘴73不具有侧面净化喷嘴49所具有的喷出抑制构件,净化气体从点状的喷出口自上方向被指状部52保持的晶圆15喷出。净化气体喷出的方向也可以是从晶圆15的上方朝铅垂方向喷出,但是为了防止起因于净化气体的喷出力的乱流将微环境空间3内部的空气卷入并经由开口部65朝晶圆收纳空间72内部侵入的情况,优选朝向晶圆15向开口部65进入的方向以微小的角度喷出。另外,利用流量调整阀71d将净化气体调整为如下流量,即,对于防止从风扇过滤器单元5供给的空气通过开口部65而侵入晶圆收纳空间72内部而言足够的流量。根据上述结构,防止从风扇过滤器单元5供给的空气借助从各开口部净化喷嘴73喷出的净化气体而通过开口部65,侵入到晶圆收纳空间72内部,晶圆收纳空间72内部被维持为高纯度的净化气体气氛。另外,还利用从开口部净化喷嘴73喷出的净化气体将附着在晶圆15表面的反应气体的成分吹飞,从而杂质混入晶圆收纳空间72内部的可能性也变少。
通过设置这种结构的开口部净化喷嘴,能够局部防止供给至微环境空间的向下的清洁空气经由开口部侵入到收纳空间内部的情况。另外,由于檐部借助使遮蔽板升降的遮蔽板驱动部而上下移动,因此即便在上下方向的任何位置形成有开口部,檐部也必然能够位于开口部的上方。
由此,来自风扇过滤器单元5的强力的向下的层流通过与基板冲突而改变方向,能够阻断想要经由第3开口部从正面侵入的清洁空气。另外,由于开口部净化喷嘴安装于檐部,且与檐部一起上下移动,因此能够局部向开口部供给净化气体,能够抑制收纳空间内部的净化气体浓度下降。
需要说明的是,本实施方式的开口部净化喷嘴73安装于檐部74,从开口部65的上方朝向开口部65周边沿铅垂方向喷出净化气体,但是不限定于此,例如也可以将开口部净化喷嘴73安装在一对钩53附近,从侧面朝向开口部65周边沿水平方向喷出净化气体。进一步,还能够将吸引机构设在开闭器部42下方,该吸引机构吸引从框体41及开闭器部42的间隙朝晶圆收纳空间72的外部排出的大气或反应气体分子等。通过设置该吸引机构,晶圆收纳空间72内部的气氛置换短时间完成。吸引机构具体而言优选为轴流风扇或泵、空气喷射器等。
在上述的本发明的装载口的第1及第2实施方式中,朝载体16内部的净化气体的供给经由在载体16的底面所具备的净化端口39而进行。其目的在于,标准的FOUP13在底面具备净化端口39,无需对FOUP13进行特别的加工或购入特殊的FOUP13,就能够进行有效的气氛置换。但是,在经由普通的FOUP13的底面所具备的净化端口39将净化气体从底面供给的方法中,收纳于内部的晶圆15成为障碍,使净化气体遍布FOUP13整体需要时间。因此,在本发明的装载口的第3实施方式中,应对了代替从载体16的底面供给净化气体的结构而是从载体16的后方朝向开口部16a供给净化气体的FOUP13。
图17是例示本发明的第3实施方式的图,图17(a)、(b)分别是从平面方向及侧面方向观察时的意示图,且是示出从设在载体16内部的净化塔75供给净化气体的状态的局部放大图。在本发明的第3实施方式中,如图17所示,为了应对在载体16内部的后方配备的净化塔75,底面净化喷嘴66配置于载台14的后方。经由该底面净化喷嘴66供给的净化气体从在载体16的后方配备的圆筒上的净化塔75朝载体16内部供给。净化塔75是内部空洞的圆筒状的构件,设有用于朝规定位置供给净化气体的供给口76。另外,在净化塔75内部具备使从供给口76供给的净化气体的流速降低且大范围扩散的喷出力抑制构件77。净化塔75的内部所具备的喷出抑制构件77中能够使用对于所供给的净化气体具有所需的喷出抑制功能的各种原材料,尤其优选多孔质的材料。例如能够使用具备PTFE微粒子键合复合材料或烧结金属、烧结玻璃、连续发泡玻璃、层积滤芯、或者中空纤维膜作为滤芯的空气过滤器用构件。
形成于净化塔75的供给口76具有横方向(与晶圆面品行的方向)上较长的形状(细横长的狭缝形状),以便使净化气体遍布大范围地向分别对应的晶圆15的表面流出。从该供给口76流出的净化气体形成将载体16内部的普通大气朝向载体开口部16a挤出的气流。另外,从净化塔75流出的净化气体还将残留于在载体16内部以隔开规定间隔沿铅垂方向堆叠的方式收纳的晶圆15的表面的反应气体的分子朝向载体开口部16a挤出。从载体16内部的后方供给的净化气体及通过净化气体被挤出的氧化性的大气通过设在框体41及开闭器部42的遮蔽板43之间的间隙而朝晶圆收纳空间72的外部排出。尤其是,在本实施方式的例子中,与铅垂方向上隔开间隙收纳的晶圆15平行的流动在不从载体16内部的后方朝向前方的开闭器部42改变方向的情况下继续流动。由此,乱流的发生被抑制,实现短时间内的气氛置换。
朝净化塔75的净化气体的供给通过控制部37在所期望的时间点进行。优选为在与上述的其他的实施方式所示的例子同样的时间点供给适当的流量。例如,在载台14移动至第2位置即基座位置之后,在载体16与盖17分离的时间点开始继续供给。需要说明的是,在本实施方式中,从2个净化塔75的双方朝向载体16内部供给净化气体,但是不限定于此,也可以从一方的净化塔75将净化气体供给至载体16内部,由另一方的净化塔75吸引载体16内部的气氛。
以上,说明了上述本发明的几个实施方式,但是本发明的范围不限定于上述例示的实施方式。例如,在上述的实施方式中,示出了遮蔽板驱动部将遮蔽板抬起至形成与一个棚的高度几乎相同高度的开口(第3开口部65)。但是,也能够根据来自控制部的命令改变第3开口部的开口的大小(高度)。
另外,在上述的实施方式中示出与棚同数量的多个遮蔽板向上方向堆叠的结构。虽然优选像这样在与1个棚对应的位置设置1个遮蔽板的结构,但是也能够采用1个遮蔽板与2个或者3个或者以上的棚的高度对应的结构。在该情况下,也优选通过遮蔽板驱动部来改变第3开口部的高度。
若能够像这样改变开口部的高度,例如,通过将第3开口部设为2个棚的高度或者这以上的高度的开口,在将收纳于载体16内的基板朝相同载体内的其他棚移动时等,能够高效地进行作业。
需要说明的是,在上述的实施方式中,作为开闭器部而例示了向上方向堆叠的遮蔽板,作为开闭器驱动部例示了遮蔽板驱动部,但是也能够将开闭器部及开闭器驱动部设为其他方式。
例如开闭器部也可以构成为,能够在水平轴方向上转动的多个平板状的遮蔽板与各搁板的间距对应地配置,通过选择性地使各遮蔽板转动而将第3开口部开闭。在该情况下,通过作为开闭器驱动部而设置单独驱动各遮蔽板进行开闭的马达或者电磁式或空气驱动式的致动器,能够选择性地将各遮蔽板开闭。
或者也可以构成为,朝向载体轴16的内部使能够在水平轴方向上转动的多个平板状的遮蔽板与各搁板的间距对应地配置,在各遮蔽板的下部设置铰链构件,通过利用弹簧等的弹力将各遮蔽板向开闭器部关闭的方向按压,由此搬运机器人的指状部前进,从而利用指状部将遮蔽板推开而形成第3开口部,通过在指状部前进的期间由指状部持续按压遮蔽板,从而第3开口部维持开口,若指状部后退,则通过弹簧等的弹力(复原力)使遮蔽板返回至原来的位置,使开闭器部形成封闭状态。
此外,也可以在各遮蔽板的内部配置超小型的马达,在框体41中配置棒状的齿条,将固定于马达的旋转轴的小齿轮与上述齿条组合,通过超小型的马达的旋转使各遮蔽板单独进行升降动作。
另外,不言而喻,本领域技术人员能够进行将载体16与盖17开闭的机构的变形。例如,不言而喻,能够变形为与盖17一体化的FIMS门12将下方的支点作为旋转中心相对于载体16进退移动。另外,在本说明书的说明中,公开了SEMI规格所规定的晶圆用FOUP13以及与FOUP13相适的装载口作为对象,但是本发明不限定于此,本发明也能够应用于液晶显示器基板和太阳能电池板基板等需要微细处理的基板。
另外,只要处理装置具有收纳要处理的基板且相对于外部气氛密闭的容器、对该容器进行载置或者搬运的搬运装置、以及从该容器内搬运被处理物并实施规定处理,就能够有用滴应用本发明的具有气氛置换功能的装载口。
【符号说明】
1:复合处理装置
2:装载口
3:微环境空间
4:搬运机器人
5:风扇过滤器单元
6:搬运腔室
7:各种加工腔室(处理装置)
11:端口开口部
12:门(FIMS门)
13:FOUP
14:载台
15:晶圆
16:载体
16a:第1开口部
17:FOUP的盖
18:载体的棚
19:门升降部(FIMS门升降部)
29:载台驱动部
37:控制部
41:框体
41a:第2开口部
42:开闭器部
43:遮蔽板
44:遮蔽板驱动部
49、66、73:各种净化喷嘴
54:遮蔽板支承机构
55:升降驱动机构
56:钩驱动机构
65:第3开口部
74:檐部

Claims (13)

1.一种具有气氛置换功能的装载口,其载置基板收纳容器,用于使基板相对于所述基板收纳容器进出,所述基板收纳容器为了将多个基板载置收纳到内部而形成有在铅垂方向以一定的间隔配置的多个搁板,并且所述基板收纳容器具有用于使所述基板进出的第1开口部、以及能够将该第1开口部开闭的盖部,其特征在于,
具备:
载台,在该载台的第1位置载置并固定所述基板收纳容器;
载台驱动部,其使所述载台在所述第1位置、第2位置及第3位置之间进退移动;
门,其在从所述第1位置前进的位置、即所述第2位置与所述基板收纳容器的所述盖部卡合,相对于所述基板收纳容器装卸所述盖部;
门升降部,其使所述门升降移动;
框体,其在从所述第2位置进一步前进的位置、即所述第3位置与所述基板收纳容器的周缘部抵接;
开闭器部,其配置为能够局部开闭,在所述框体的与所述基板收纳容器相反的一侧,将被所述框体包围的第2开口部整体关闭;
开闭器驱动部,其选择性地驱动所述开闭器部的一部分进行开闭,以便在所述开闭器部的所期望的位置设置比所述第2开口部小的第3开口部;以及
至少一个净化喷嘴,其向所述基板收纳容器的内部供给净化气体。
2.根据权利要求1所述的具有气氛置换功能的装载口,其特征在于,
所述开闭器部具备以能够上下移动的方式堆叠配置的多个遮蔽板,
所述开闭器驱动部具备遮蔽板驱动部,该遮蔽板驱动部与所期望的位置的所述遮蔽板卡合,使该遮蔽板以及层叠在该遮蔽板之上的所述遮蔽板升降移动,由此将所述第3开口部开闭。
3.根据权利要求2所述的具有气氛置换功能的装载口,其特征在于,
所述开闭器部在与所述多个搁板位置对应的位置具有与所述搁板相同数量的所述遮蔽板。
4.根据权利要求2所述的具有气氛置换功能的装载口,其特征在于,
所述开闭器部具备比所述搁板的数量少的所述遮蔽板。
5.根据权利要求2至4中任一项所述的具有气氛置换功能的装载口,其特征在于,
所述遮蔽板驱动部改变所述遮蔽板的升降移动量,从而变更所述第3开口部的大小。
6.根据权利要求2至4中任一项所述的具有气氛置换功能的装载口,其特征在于,
所述遮蔽板驱动部将所述第3开口部开闭,使得该第3开口部达到与载置一张所述基板的所述搁板的各自的间隔相同的开口高度。
7.根据权利要求2至4中任一项所述的具有气氛置换功能的装载口,其特征在于,
所述遮蔽板驱动部能够选择性地将所述第3开口部开闭,使得该第3开口部达到与载置一张所述基板的所述搁板的各自的间隔相同的开口高度,或达到该间隔的整数倍的开口高度。
8.根据权利要求2至4中任一项所述的具有气氛置换功能的装载口,其特征在于,
所述遮蔽板配置为能够在通过定位轴而被限制的面内上下移动。
9.根据权利要求2至4中任一项所述的具有气氛置换功能的装载口,其特征在于,
在所述第3开口部的上方配置有通过所述遮蔽板驱动部而在上下方向上移动的檐部。
10.根据权利要求1至4中任一项所述的具有气氛置换功能的装载口,其特征在于,
所述净化喷嘴具备从所述基板收纳容器的底面供给所述净化气体的底面净化喷嘴。
11.根据权利要求9所述的具有气氛置换功能的装载口,其特征在于,
进一步在所述檐部具有朝所述第3开口部的周边喷出净化气体的开口部净化喷嘴。
12.一种装载口的气氛置换方法,该装载口用于使基板相对于基板收纳容器进出,所述基板收纳容器为了将多个基板载置收纳到内部而形成有在铅垂方向以一定的间隔配置的多个搁板,并且所述基板收纳容器具有用于使所述基板进出的第1开口部、以及能够将该第1开口部开闭的盖部,在该装载口中,通过将搬入到所述基板收纳容器的内部的基板的表面迅速净化,由此防止形成于基板表面的半导体电路氧化,
其特征在于,
包括以下工序:
将所述基板收纳容器载置在停止于第1位置的载台的工序;
将所述载台从所述第1位置前进移动至第2位置,将所述基板收纳容器的所述盖部取下的工序;
使所述载台从所述第2位置进一步前进移动,并将净化气体供给至所述基板收纳容器内的工序;
将所述载台前进移动至进行所述基板的进出的第3位置,使所述基板收纳容器的所述第1开口部的周缘部与框体抵接的工序;
响应向所述基板收纳容器的所述搁板接近的接近要求讯号,将遮蔽所述框体的开口部即第2开口部的开闭器部的一部分打开,在与存在接近要求的所述搁板的位置对应的位置,形成比所述第1开口部窄的开口即第3开口部的工序;以及
响应针对所述搁板的接近结束讯号,将所述第3开口部关闭的工序。
13.根据权利要求12所述的装载口的气氛置换方法,其特征在于,
在形成所述第3开口部的工序中,进一步在形成所述开口部的期间,从比所述第3开口部靠上方的位置朝向下方的所述第3开口部供给净化气体。
CN201580047472.5A 2014-09-05 2015-08-27 装载口及装载口的气氛置换方法 Active CN106856664B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014-181229 2014-09-05
JP2014181229 2014-09-05
PCT/JP2015/074273 WO2016035675A1 (ja) 2014-09-05 2015-08-27 ロードポート及びロードポートの雰囲気置換方法

Publications (2)

Publication Number Publication Date
CN106856664A CN106856664A (zh) 2017-06-16
CN106856664B true CN106856664B (zh) 2019-11-19

Family

ID=55439740

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580047472.5A Active CN106856664B (zh) 2014-09-05 2015-08-27 装载口及装载口的气氛置换方法

Country Status (6)

Country Link
US (1) US10354903B2 (zh)
JP (1) JP6556148B2 (zh)
KR (1) KR102400424B1 (zh)
CN (1) CN106856664B (zh)
TW (1) TWI688034B (zh)
WO (1) WO2016035675A1 (zh)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6099945B2 (ja) * 2012-11-22 2017-03-22 東京エレクトロン株式会社 蓋開閉機構、遮蔽機構及び容器の内部パージ方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9257320B2 (en) * 2013-06-05 2016-02-09 GlobalFoundries, Inc. Wafer carrier purge apparatuses, automated mechanical handling systems including the same, and methods of handling a wafer carrier during integrated circuit fabrication
JP6291878B2 (ja) * 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
JP6226190B2 (ja) * 2014-02-20 2017-11-08 Tdk株式会社 パージシステム、及び該パージシステムに供せられるポッド及びロードポート装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6459682B2 (ja) * 2015-03-20 2019-01-30 Tdk株式会社 ガスパージ装置、ロードポート装置およびガスパージ方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6679907B2 (ja) * 2015-12-11 2020-04-15 Tdk株式会社 ロードポート装置及びロードポート装置における容器内への清浄化ガス導入方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6632403B2 (ja) * 2016-02-02 2020-01-22 東京エレクトロン株式会社 基板収納容器の連結機構および連結方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6874303B2 (ja) * 2016-08-31 2021-05-19 Tdk株式会社 ロードポート装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058562B1 (fr) * 2016-11-07 2019-05-10 Pfeiffer Vacuum Dispositif et procede de controle de l'etancheite d'une enceinte de transport pour le convoyage et le stockage atmospherique de substrats semi-conducteurs
US10262884B2 (en) * 2016-11-10 2019-04-16 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6752163B2 (ja) * 2017-02-13 2020-09-09 東京エレクトロン株式会社 基板処理システム及び基板搬送方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6867827B2 (ja) * 2017-02-28 2021-05-12 キヤノン株式会社 リソグラフィ装置および物品製造方法
CN106684023A (zh) * 2017-03-14 2017-05-17 大族激光科技产业集团股份有限公司上海分公司 全封闭式smif系统
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102474585B1 (ko) * 2017-05-11 2022-12-06 로제 가부시키가이샤 박판형상 기판 유지 핑거 및 이 핑거를 구비하는 반송 로봇
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
JP6863114B2 (ja) * 2017-06-16 2021-04-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
TWI635559B (zh) * 2017-07-25 2018-09-11 春田科技顧問股份有限公司 裝載埠的吹淨裝置及其吹淨方法
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) * 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10622236B2 (en) * 2017-08-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for handling wafer carrier doors
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102410974B1 (ko) * 2017-10-13 2022-06-20 삼성전자주식회사 반도체 제조 장치의 구동 방법
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
JP7037379B2 (ja) * 2018-02-06 2022-03-16 ローツェ株式会社 薄板状基板保持装置、及び保持装置を備える搬送ロボット
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP6963179B2 (ja) * 2018-03-15 2021-11-05 シンフォニアテクノロジー株式会社 Efem
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7147116B2 (ja) * 2018-03-28 2022-10-05 信越ポリマー株式会社 基板収納容器
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11355371B2 (en) * 2018-04-02 2022-06-07 Bum Je WOO Wafer storage container
US10403514B1 (en) * 2018-04-12 2019-09-03 Asm Ip Holding B.V. Substrate transporting system, storage medium and substrate transporting method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
DE202019101794U1 (de) * 2018-06-27 2019-10-09 Murata Machinery, Ltd. Vorrichtungen zum mindestens einen aus Substrat-Handhabung, Substrat-Lagerung, Substrat-Behandlung und Substrat-Verarbeitung
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7136612B2 (ja) * 2018-07-13 2022-09-13 ローツェ株式会社 局所パージ機能を有する搬送装置
JP7234527B2 (ja) * 2018-07-30 2023-03-08 Tdk株式会社 センサー内蔵フィルタ構造体及びウエハ収容容器
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10549427B1 (en) * 2018-08-31 2020-02-04 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer robot
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11061417B2 (en) 2018-12-19 2021-07-13 Applied Materials, Inc. Selectable-rate bottom purge apparatus and methods
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI723329B (zh) * 2019-01-19 2021-04-01 春田科技顧問股份有限公司 裝載埠及其氣簾裝置與吹淨方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7346839B2 (ja) 2019-02-15 2023-09-20 Tdk株式会社 ロードポート
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11004713B2 (en) * 2019-05-16 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Robot arm device and method for transferring wafer
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112289718A (zh) * 2019-07-13 2021-01-29 家登精密工业股份有限公司 基板载具及其气体扩散模块
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112582316B (zh) * 2019-09-29 2023-01-31 长鑫存储技术有限公司 加工处理装置及方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113075865B (zh) * 2020-01-06 2022-11-08 夏泰鑫半导体(青岛)有限公司 一种用于处理半导体衬底的装置和方法
KR102388390B1 (ko) * 2020-01-06 2022-04-21 세메스 주식회사 로드 포트 유닛, 이를 포함하는 저장 장치 및 배기 방법
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024040529A (ja) * 2021-01-21 2024-03-26 村田機械株式会社 パージ装置及び搬送システム
JP2022122205A (ja) * 2021-02-09 2022-08-22 株式会社ディスコ シートの貼着装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113777234B (zh) * 2021-08-31 2023-10-31 安徽科技学院 一种防止粉尘进入能够自吸改变迎风角度的大气观测装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11842913B2 (en) * 2021-09-24 2023-12-12 Applied Materials, Inc. Seal mechanisms for load ports
US11751362B2 (en) 2021-10-22 2023-09-05 International Business Machines Corporation Thermally activated retractable EMC protection
US11871550B2 (en) * 2021-10-22 2024-01-09 International Business Machines Corporation Motor controlled retractable EMC protection
CN114695222B (zh) * 2022-06-02 2022-08-16 江苏邑文微电子科技有限公司 晶片传输系统和方法
CN116525508B (zh) * 2023-05-23 2024-03-26 乐孜芯创半导体设备(上海)有限公司 一种密闭式晶圆盒装载口及其气体置换方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005124853A1 (ja) * 2004-06-21 2005-12-29 Right Mfg,Co.,Ltd. ロードポート
CN101116181A (zh) * 2005-03-08 2008-01-30 株式会社安川电机 装载口及装载口的控制方法
JP2009038074A (ja) * 2007-07-31 2009-02-19 Tdk Corp 被収容物の処理方法及び当該方法に用いられる蓋開閉システム
WO2014080851A1 (ja) * 2012-11-22 2014-05-30 東京エレクトロン株式会社 基板処理装置、蓋開閉機構、遮蔽機構及び容器の内部パージ方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1063186A1 (en) * 1997-12-03 2000-12-27 Nikon Corporation Substrate transferring device and method
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
TW525221B (en) * 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
JP2004235516A (ja) * 2003-01-31 2004-08-19 Trecenti Technologies Inc ウエハ収納治具のパージ方法、ロードポートおよび半導体装置の製造方法
JP2006237559A (ja) * 2005-01-28 2006-09-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4451854B2 (ja) * 2006-03-20 2010-04-14 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理装置における移載機構の制御方法
TWI372444B (en) * 2007-10-10 2012-09-11 Semes Co Ltd Substrate support unit, and substrate treating apparatus and method using the same
JP5448000B2 (ja) 2009-05-27 2014-03-19 ローツェ株式会社 雰囲気置換装置
TWI580814B (zh) * 2010-10-21 2017-05-01 荏原製作所股份有限公司 基板處理裝置,以及鍍覆裝置及鍍覆方法
JP5998640B2 (ja) * 2012-05-29 2016-09-28 Tdk株式会社 ロードポート装置
JP6235294B2 (ja) * 2013-10-07 2017-11-22 東京エレクトロン株式会社 基板搬送室及び容器接続機構
JP6069578B2 (ja) * 2014-02-24 2017-02-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP6326330B2 (ja) * 2014-09-05 2018-05-16 株式会社Screenホールディングス 基板収納容器、ロードポート装置および基板処理装置
JP6430195B2 (ja) * 2014-09-29 2018-11-28 株式会社Screenホールディングス 基板収納容器
JP6539199B2 (ja) * 2015-12-18 2019-07-03 株式会社荏原製作所 基板搬送用移載機及び基板移載方法
JP6727044B2 (ja) * 2016-06-30 2020-07-22 株式会社荏原製作所 基板処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005124853A1 (ja) * 2004-06-21 2005-12-29 Right Mfg,Co.,Ltd. ロードポート
CN101116181A (zh) * 2005-03-08 2008-01-30 株式会社安川电机 装载口及装载口的控制方法
JP2009038074A (ja) * 2007-07-31 2009-02-19 Tdk Corp 被収容物の処理方法及び当該方法に用いられる蓋開閉システム
WO2014080851A1 (ja) * 2012-11-22 2014-05-30 東京エレクトロン株式会社 基板処理装置、蓋開閉機構、遮蔽機構及び容器の内部パージ方法

Also Published As

Publication number Publication date
US10354903B2 (en) 2019-07-16
CN106856664A (zh) 2017-06-16
KR102400424B1 (ko) 2022-05-19
WO2016035675A1 (ja) 2016-03-10
KR20170054427A (ko) 2017-05-17
JPWO2016035675A1 (ja) 2017-06-15
TW201620065A (zh) 2016-06-01
JP6556148B2 (ja) 2019-08-07
TWI688034B (zh) 2020-03-11
US20170178942A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
CN106856664B (zh) 装载口及装载口的气氛置换方法
CN102449752B (zh) 气氛置换装置
WO2013157462A1 (ja) 収納容器、収納容器のシャッター開閉ユニット、及びこれらを用いたウエハストッカー
US10832928B2 (en) Systems, apparatus, and methods for an improved load port
TWI765025B (zh) 薄板狀基板保持用指板及具備此指板的搬送機器人
US20090092468A1 (en) Inlet port mechanism for introducing object and treatment system
KR20150087703A (ko) 가스 충진부를 구비하는 웨이퍼 스토리지 장치 및 이를 이용하는 반도체 제조 장치
CN105164310B (zh) 用于在批量处理中处理两个或更多基板的装置
JP7037379B2 (ja) 薄板状基板保持装置、及び保持装置を備える搬送ロボット
JP2011187539A (ja) ガス注入装置、ガス排出装置、ガス注入方法及びガス排出方法
JP2012199584A (ja) 基板処理装置、基板処理方法、半導体装置の製造方法および基板の搬送方法
KR20190122161A (ko) 배기 노즐 유닛, 로드 포트 및 efem
KR20120135881A (ko) 기판 반송 용기의 개폐 장치, 덮개의 개폐 장치 및 반도체 제조 장치
KR20210030286A (ko) 국소 퍼지 기능을 갖는 반송 장치
US7802830B2 (en) Method and device for handling an article in the course of semiconductor fabrication
TW201718109A (zh) 噴嘴單元
JP6947993B2 (ja) ロードポート及び搬送室
JP7454714B2 (ja) 基板処理装置
JP2010251380A (ja) ウエハローディング・アンローディング方法および装置
US20200207559A1 (en) Dust-free system and method of manufacturing panel
JP2023027184A (ja) 容器パージ装置
JP2017120936A (ja) ロードポート
KR20080058624A (ko) 기판 처리 장치
JP2014216413A (ja) 基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant