CN104810269A - 具有铁电氧化铪的半导体装置及形成该半导体装置的方法 - Google Patents

具有铁电氧化铪的半导体装置及形成该半导体装置的方法 Download PDF

Info

Publication number
CN104810269A
CN104810269A CN201510040666.4A CN201510040666A CN104810269A CN 104810269 A CN104810269 A CN 104810269A CN 201510040666 A CN201510040666 A CN 201510040666A CN 104810269 A CN104810269 A CN 104810269A
Authority
CN
China
Prior art keywords
semiconductor device
layer
scope
hafnium oxide
titanium nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510040666.4A
Other languages
English (en)
Other versions
CN104810269B (zh
Inventor
J·穆勒
D·H·瑞尤赛
R·宾德尔
J·梅茨格
P·波兰斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN104810269A publication Critical patent/CN104810269A/zh
Application granted granted Critical
Publication of CN104810269B publication Critical patent/CN104810269B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明涉及具有铁电氧化铪的半导体装置及形成该半导体装置的方法,提供一种半导体装置,包括基板、形成在该基板之上的未掺杂的二氧化铪层、以及形成在该二氧化铪层上的氮化钛层。在本文中,该未掺杂的二氧化铪层至少是部分铁电性的。在用于形成半导体装置的例示性方法中,在半导体基板之上形成未掺杂的非晶二氧化铪层且在该未掺杂的非晶二氧化铪层上形成氮化钛层。实行热退火制程用以在该未掺杂的非晶二氧化铪层中至少部分造成铁电相。

Description

具有铁电氧化铪的半导体装置及形成该半导体装置的方法
技术领域
本发明关一般关于半导体装置,且尤其是关于具有铁电氧化铪的复杂半导体装置,以及用于形成相应的半导体装置的方法。
背景技术
集成电路典型地包含非常大量的电路组件形成在给定的芯片面积上,其中,半导体装置代表电路组件的重要实现。举例而言,目前的先进IC(集成电路,integrated circuit,IC)是由数百万个场效晶体管所形成,该场效晶体管又称为MOS晶体管或MOSFET,且一般来说MOSFET可以视为在现代IC中的主要半导体装置。因此,迈向增进的效能和较低的积集体积的努力已经主要导向减少基本晶体管结构的尺寸。持续增进半导体装置效能的驱动力是由微缩的摩尔定律(Moore’slaw of scaling)所提出,其要求在IC中的半导体装置的数量指数级地增加,例如,每二到三年变为两倍。结果,晶体管的最小尺寸约每一年指数级的缩小。
尽管多种尺寸微缩制程技术已经被发展并实现来满足摩尔定律,其无法永远持续下去是显而易见的,特别是当由材料所附加的限制在先进微缩节点中变得更加重要时。举例而言,在先进晶体管结构的栅极电极中的栅极介电层厚度变得如此薄(在2nm以下),使得从该栅极电极到通道区域(通常位于该栅极电极下方)的直接电子穿隧所造成的栅极漏电流随着减低的厚度而增加,结果,基于这种晶体管的任何集成电路的功耗变得无法接受。
在使用高k介电材料时,该栅极漏电的问题可以由设置用高k介电材料(譬如氧化铪基的材料)的栅极介电质来解决,其允许增加该栅极电极到该通道的电容耦合而不需要降低该栅极介电质的厚度。因此,利用高k介电材料实现栅极介电质不仅允许增加个别晶体管组件的效能,也可以降低半导体装置的尺寸并因此在给定的芯片面积中结合了增多的功能性。
为了增加建立在给定芯片面积中的功能性的进一步努力导致了消除第二级储存系统的方法。这些方法是基于非易失性存储装置的发展,其在没有供电时不会失去所储存的信息。在此,努力被导向铁电性RAM或FeRAM的发展,其使用具有铁电性质的介电层。相较于传统的闪存装置,目前的FeRAM显示,在较高的写入效能时有较低的功率使用以及较多的写入-消除循环次数。因此,大量的研究投入在铁电材料上,以提供非易失性存储装置。
因此,铁电材料已经被考虑用于形成高效的电容器。在此使用铁电材料的效果是基于施加在该铁电材料上的适当电场来调整该铁电材料的极化状态的可能性。因为铁电材料的极化状态是会保持的,除非暴露在高的关于该极化状态反向电场或高温下,可能可以“程序化”由铁电材料所形成的电容器,使得所引发的极化状态反应一信息单元。因为所引发的极化状态可以被保持,即使将电源供应从“已程序化”的电容器移除。相对于习知闪存设计的传统储存电容器,该电容器的状态更新(refreshment)是不需要的。铁电材料的另一优点是铁电材料相对高的介电常数(k大于4),其可以使其能够制造相较于传统半导体装置具有优越效能的半导体装置。
虽然铁电场效晶体管或铁电电容器用于复杂半导体装置,在理论上表现出非常有前景的概念,识别能够和既有的制程兼容的适当的铁电材料是困难的任务,特别是在先进技术节点。举例而言,一般的习知铁电材料,譬如PZT或钙钛矿(perovskites),和标准的CMOS制程并不兼容。然而,最近的研究结果指出氧化铪基介电材料可能代表了有前景的具有铁电行为的材料的候选者。迄今,已知富铪(Hf)材料展现了具有顺电性行为的显着单斜结构且纯二氧化铪(HfO2)已知是顺电性的。然而,关于铪基材料,目前的结果指出该单斜结构在Zr、Si、Y和Al掺杂的氧化铪介电材料中是被抑制的且在因此掺杂的样本中获得铁电性的稳定结晶结构。铁电性的铪基材料的范例是,举例而言,在Mueller等人的“Incipient Ferroelectricity in Al-doped HfO2 ThinFilms,”Adv.Funct.Mater.,22:2412-17(2012)、Mueller等人的“Ferroelectricity in yttrium-doped hafnium oxide,”J.Appl.Phys.,110:114113(2011)、Mueller等人的“Ferroelectricity inSimple Binary ZrO2 and HfO2,”Nanoletters,12:4318-23(2012)、以及Boeske等人的“Phase Transitions in FerroelectricSilicon-doped Hafnium Oxide,”Appl.Phys.Lett.,99:112904(2011)所讨论者。
目前,相对于富铪材料的顺电性的一些铪基材料的铁电性被视为源自由在因此掺杂的氧化铪材料中建立的适当结晶状态,其提供由于其单斜结晶结构而在纯氧化铪中不具有的且未观察到的铁电性。
虽然基于氧化铪的铁电材料可期待显示和现有CMOS制程的较佳兼容性,在实际实施中观察到数个铁电非易失性存储装置的缺点。特别是,掺杂所沉积的铁电氧化铪层,例如在ALD制程的架构中,造成许多关于如何将铁电氧化铪层的掺杂包含到ALD程序中的问题。举例而言,用于包含掺杂物到铁电氧化铪中的必须的复杂配方结构降低了现有制程的生产率。特别是在ALD制程中,额外的前驱体(dopingprecursor)的需求通常会苦于低的ALD效能。观察到在ALD制程中的掺杂不可能到达足够的程度。对于氧化铪,藉由包含掺杂物到铁电氧化铪中以建立铁电相只有狭窄的工艺窗口,导致制程控制困难,例如具有XPS分析,以及不良的掺杂均匀性。当整个晶圆发生大的装置参数波动时,举例而言,提供用于铁电FET装置的足够存储窗口均匀性是不可能的。
鉴于上述习知技术的状况,在具有和标准制造技术良好兼容性且没有所制造的半导体装置的劣化效能和降低产出下,亟欲提供具有结合铁电行为的半导体装置。更欲在和标准CMOS制程一致下,且特别是可以不导致复杂配方结构地包含到标准制程中,提供用于形成具有铁电性质的半导体装置的方法。
发明内容
以下呈现了本发明的简化概要以便提供对本发明的一些态样的基本理解。此概要并非本发明的详尽综述。此概要并非意在标识本发明的关键要件,也并非意在描绘本发明的范围。该概要的唯一目的是以简化的形式呈现本发明的一些概念,以作为稍后呈现的更详细描述的前序。
本揭露提供一种半导体装置和用于形成半导体装置的方法,其中,提供一种具有未掺杂的铁电氧化铪材料层的半导体基板。
在本揭露的一实施态样中,提供一种半导体装置。在本文的一些例示性实施例中,该半导体装置包含基板、形成在该基板之上的未掺杂的二氧化铪层、以及形成在该二氧化铪层上的氮化钛层,其中,该未掺杂的二氧化铪层至少是部分铁电性的。
在本揭露的另一实施态样中,提供一种用于形成半导体装置的方法。在本文的一些例示性实施例中,该方法包含在基板之上形成未掺杂的非晶二氧化铪层、在该未掺杂的非晶二氧化铪层上形成氮化钛层、以及实行热退火制程用以在该未掺杂的非晶二氧化铪层中至少部分造成铁电相。
附图说明
藉由参考以下叙述结合附图可以更了解本揭露,其中类似的参考编号意指类似的组件,且其中:
图1a至1c示意地例示依据本揭露的一些例示实施例用于形成半导体装置的方法;
图2示意地例示代表依据本揭露的一例示实施例的氧化铪材料层的铁电行为的图示关系;
图3a示意地例示依据本揭露的一例示实施例的FeFET装置结构;以及
图3b示意地例示依据本揭露的一例示实施例的电容器结构。
虽然本文所揭露的标的容许各种的修改及替代形式,但其特定的实施例已通过附图中的例子来显示,并在本文中详细描述。然而,应该了解的是,本文中特定实施例的描述不是为了要限制本发明所披露的特定形式,相反地,本发明欲涵盖落入本发明的精神与范畴内的所有修改物、相等物、以及替代物,其将如权利要求书所定义。
具体实施方式
下面将说明本发明的各种例示性实施例。为了清楚起见,本说明书中并不记载实际实施方式中的所有特征。当然,应该理解,在研发任何这种实际实施例的过程中,必须考虑许多具体的实施因素来达到研发人员的特定目的,诸如符合系统相关以及商业相关的约束,这些约束在各个实施方式中都是不同的。而且,应该理解,这种研发的努力可能是复杂并且耗时的,虽然如此,本领域技术人员受益于本公开内容也能正常地实现。
现在参照附图描述本发明主题。附图中示意的各种结构、系统及装置只是出于解释目的并用以避免由本领域技术人员已知的细节模糊本揭露。但是,该些附图被包括来描述并解释本揭露的实施例。这里所用的词语和词组的意思应当解释为与相关领域技术人员对该些词语及词组的理解一致。在本文中的连贯使用的术语或词组并不意图隐含特别的定义,亦即与本领域技术人员所理解的通常惯用意思不同的定义。若术语或词组意图具有特定意义,亦即不同于本领域技术人员所理解的意思,则此类特别定义会以直接明确地提供该术语或词组的特定定义的定义方式明确表示于说明书中。
本发明关于整合在芯片上的半导体装置以及关于整合在芯片上的半导体装置结构。举例而言,半导体装置可以是金氧半导体装置(MOS装置)、例如MIM/MIS电容器的电容器、电阻器结构等等。当参照MOS装置时,本领域的技术人员将了解到虽然使用「MOS装置」作为表达,并于欲将本发明限制于含有金属的栅极材料及/或含有氧化物的栅极介电材料。当参照MIM/MIS电容器时,本领域的技术人员将了解到虽然使用「MIM/MIS」作为表达,并不欲将本发明限制于含有金属的电极。本揭露的半导体装置,且特别是如本文所述的例是实施例所示的MOS装置及/或电容器,关注由先进技术所制造的组件。本揭露的半导体装置是由应用于小于100nm的技术节点所制造,较佳地的是小于50nm,更佳的是小于35nm。本领域技术人员会了解本揭露建议半导体装置具有小于100nm的最小长度及/或宽度尺寸,较佳地的是小于50nm,更佳的是小于35nm。
本领域的技术人员理解MOS晶体管可以制作为P通道MOS晶体管或PMOS晶体管以及N通道晶体管或NMOS晶体管,且两者均可用具有或不具有迁移性增强应力源的特征(mobility enhancing stressorfeatures)或应变引发特征(strain-inducing features)的方式来制作。电路设计者可以使用PMOS和NMOS晶体管、施加应力或未施加应力,来混合并匹配装置种类,以利用其最适用于所要设计的电路的各个装置种类的最佳特性。本领域的技术人员了解该应力和应变是一般性的对应于拉伸模数来叙述的。
在以下图式的描述中,将例示依据本揭露的各种范例实施例的半导体装置和形成半导体装置的方法。所述的制程步骤、程序和材料被视为仅作为设计来例示给熟知本领域技术的人员用于实践本发明的范例实施例。然而,要了解本发明并不排他性地限于所例示和叙述的范例实施例,因为存在许多可能的修饰和修改,其对于本领域的技术人员在学习本发明的详细叙述以及随附图示和上述的技术背景和发明内容之后是十分清楚的。半导体装置所例示的部分可以只包含单一个组件,虽然本领域的技术人员将理解该半导体装置的实际实施可以包含大量的这些组件。制造半导体装置的各种步骤是习知的,因此为了简洁起见,许多传统的步骤在此只会简短的提及,或是在不提供习知的制程细节下完全被省略。
最近的测量指出已掺杂的氧化铪薄膜可以在中间状态的掺杂程度下产生自发极化,可能在这些层造成铁电磁滞循环(ferroelectrichysteresis loop)。举例而言,具有5.2mol%的YO1.5内容物的Y:HfO2薄膜显示具有24μC/cm2的残留极化Pr的铁电磁滞。在3.4mol%的SiO2内容物下,Si:HfO2薄膜显示具有10μC/cm2的残留极化Pr的铁电磁滞。再者,在ZrO2掺杂的氧化铪材料中,在50mol%ZrO2的混合物中,观察到15μC/cm2的残留极化Pr的铁电磁滞。
在上述范例中所获得的资料指出在掺杂的HfO2中的自发极化的结构原点是链接到在掺杂的氧化铪的安定的正交相。虽然氧化铪基薄膜显示铁电行为,且藉由结合适当的掺杂结构到氧化铪中,其似乎可能达成高密度铁电储存,在用于整合铁电体到微电子装置的现有制程的实现中显示如上述的关于习知技术的严重缺陷。
有鉴于目前的状况,提出形成未掺杂的多晶HfO2层在基板上方,举例而言,利用ALD制程。之后,形成TiN层在该未掺杂的多晶HfO2层上。发明者可证明具有铁电特性的未掺杂的多晶HfO2层是能够被制造的。
本领域的技术人员将了解未掺杂的氧化铪铁电材料层可以轻易的在现有制程流程中实现,使得在拥有整合HfO2基薄膜到先进半导体装置中的大量经验下,可以轻易用既有制程提供铁电性而不影响用以达成复杂半导体装置的可靠度和微缩的特性。特别是,可以正向地影响铁电非易失性储存装置的微缩。
参考图1a至1c,本揭露的一些例示范例将会被详尽的描述。图1a示意地显示在制程的早期阶段的依据本揭露的一例示实施例的半导体装置100。在这个阶段,提供半导体基板102。该基板102可包含可由硅、锗、硅/锗、硅/锗/碳、硅/碳、砷化镓、砷化铟、磷化铟或其他合适的半导体材料中选出的半导体材料。在一些例示实施例中,该基板102可包含硅。本领域的技术人员将了解该基板102可以是具有面方位(surface orientation)的单晶硅层,亦即,作为主要的晶体方位中的一者的垂直于该基板102的顶部表面的表面晶体方位。在一些例示实施例中,该基板102可以是块材基板、绝缘体上覆半导体(semiconductor-on-insulator,SOI)以及混合基板中的一者。该基板102可以具有内建的应变或应力,用于加强主要电荷载体的移动性。另外,或替代地,该基板102可以被掺杂,譬如具有一个或多个阱区域。这并不对本揭露提出任何限制,且在图1a的阶段,该基板102可以是未掺杂的。
在一些例示范例中,可以形成可选层106在该半导体基板102上。举例而言,该可选层106可以包含TiN、SiO2和SiON中的一者。替代地,该可选层106可以被省略,如在图1a中以虚线指出者。
非晶的未掺杂HfO2层122被形成在该基板102上方。在本文的一些例示范例中,该非晶的未掺杂HfO2层122可以沉积在该基板102上,亦即,该非晶的未掺杂HfO2层可以直接和下方的基板102接触。在其他实施例中,该非晶的未掺杂HfO2层122可以沉积在该可选层106上。
依据本揭露的例示实施例,可以藉由执行用于沉积该非晶的未掺杂HfO2层122的ALD制程120来形成该非晶的未掺杂HfO2层122。在本文的一些特别范例中,沉积温度可以是在从约200到400℃的范围内,譬如,举例而言,从约200到300℃。在一些本揭露的先进实施中,该沉积温度小于300℃,或甚至小于250℃。
所沉积的非晶的未掺杂HfO2层122的厚度可以在约4到20nm的范围内。在一些本揭露的先进实施中,该HfO2层122可以用减低的厚度来形成,譬如小于10nm,或甚至小于6nm。在本揭露的一明确的范例中,该未掺杂HfO2层122可以是6nm厚,且并不对本揭露做出任何限制。
图1b绘示在制程中更进一步的阶段中的该半导体装置100,在该阶段,覆盖层形成在该未掺杂的非晶HfO2层122上。在本揭露的例示实施例中,该覆盖层132可以包含TiN层132,或甚至由TiN层132所提供。在一例是范例中,该未掺杂的非晶HfO2层122可以被该TiN层132完全覆盖。
在本文的一些例示范例中,该TiN层132具有在约2–30%的范围内的平均含氧量,举例而言,在约2–20%的范围内,以及为了最佳结果,在约2–15%的范围内。该平均含氧量,举例而言,可以由在该TiN层132的TiN材料的既定体积中的含氧量来判定,且可以由该既定体积的含氧量来推定而获得该平均含氧量。因此,可以获得推定的值,其代表横跨该TiN层132的平均含氧量。可以藉由推定多个预设量测体积的含氧量并平均该些推定的值来改善该平均含氧量。本领域的技术人员将了解这并不对本揭露提出任何限制且仅用于例示获得该平均值的可能性。在一些本揭露的先进实施中,以降低的含氧量来形成该HfO2层122,譬如小于10%,或甚至小于5%。
在一些例示范例中,该TiN层可具有大于约100μΩcm且小于约400μΩcm的体电阻率,譬如,举例而言,小于约350μΩcm,且为了最佳的结果,小于约300μΩcm。
在一些例示范例中,该TiN层132可具有在约4.5–5.2g/cm3的范围内,且为了最佳的结果,约4.55–5.15g/cm3的范围内的密度。
在一些特殊的例示范例中,该TiN层132可具有在200–1500MPa的范围内的压缩内应力。举例而言,该TiN层132的压缩内应力可以是约250Mpa或更大。
在本揭露的一些特殊例示实施例中,可以沿着该TiN层132的深度方向,亦即和该TiN层132的上表面132u的法向平行,形成含氧量分布。举例而言,该含氧量分布可以是在该TiN层的上表面132u的含氧量是在约5–15%的范围内,且在该TiN层132和该未掺杂的非晶HfO2层122的界面的含氧量是小于7%。在本文的一些例示范例中,该含氧量分布可以设置成在该TiN层的上表面132u的含氧量大于约5%并在该TiN层132和该未掺杂的非晶HfO2层122的界面降低至0%。因此,本领域的技术人员将了解可以在该TiN层132中设置氧梯度,该氧梯度的方向平行于该上表面132u的法向。在一明确的范例中,在该上表面132u的含氧量可以在从2-5%的范围内且在该接口的含氧量可以低于5%。
在本揭露的例示实施例中,可以在沉积制程130中形成该TiN层132。在本揭露的一些例示实施例中,该沉积制程130可包含物理气相沉积(physical vapor deposition,PVD)制程。该沉积制程130的沉积温度可以实质上小于或等于约400℃,且为了最佳的结果,小于或等于约300℃。在本文的一些特殊例示范例中,该沉积温度可以实质上约是室温。举例而言,该TiN层132可以沉积在该未掺杂的非晶HfO2层122,使得该未掺杂的非晶HfO2层122在经过整个沉积制程130仍保持非晶。
依据本文的一些例示范例,可以特定的钛/氮比例(其在该沉积制程130中被调整)来实行该PVD制程。举例而言,该PVD制程可以是溅镀制程。
在特定的例示范例中,钛/氮气体可以设置在制程腔室中,用以在该TiN层132的上表面132u上形成在从约2-15%或5-10%的范围内的含氧量,并在该TiN层132和该未掺杂的非晶HfO2层122的界面上形成小于7%的含氧量。举例而言,该氮含量可以在该沉积制程130中被改变以使得想要的氧含量分布和想要的氧梯度可以被建立在整个TiN层132。在一明确的范例中,在该上表面132u的含氧量可以是在约2-5%的范围内的且在该接口的含氧量可以低于5%。
在另一特定例示范例中,包含PVD制程的沉积制程130是在氮气中实行。本领域的技术人员将了解特定的钛/氮比例可以在该沉积制程130中被调整。在形成该TiN层132之后,可以在含氧的气体中实行热退火制程,用以形成在该TiN层132中想要的含氧量分布。举例而言,可以形成上述的含氧量分布。在一特定的例示方法中,可以在该TiN层132中提供氧梯度。该氧梯度可以如上所述的设置。
图1c绘示依据的一些例示实施例的在制程中更进一步的阶段中的该半导体装置100。热退火制程140被实行来至少部分地在该未掺杂的非晶HfO2层122中造成铁电相。在一些例示范例中,该TiN层132可以完全覆盖该未掺杂的非晶HfO2层122,以便在该热退火制程140中获得该HfO2层晶体的完全保持的成核(fully kept nucleation)。
在本揭露的一些例示实施例中,该热退火制程可以是快速热退火制程,其将该半导体装置100在该热退火制程140中暴露在约400-1100℃的温度中,且为了最佳结果,暴露在约800℃中。本领域的技术人员将了解该退火温度可依据该未掺杂的非晶HfO2层122的厚度而定且可以对应地选择。
图2绘示铁电性的未掺杂氧化铪材料,譬如未掺杂的HfO2层122,在实行热退火制程140之后的磁滞曲线H。
绘示在图2中的图示具有代表极化状态P(以μC/cm2为单位)的纵坐标对应代表施加电压U(任意单位,例如在1-5V的范围内且不以此限制本揭露)的横坐标。图2的图示的原点O代表零极化状态和零施加电压U,如图2中的虚线所示。
如图2中可见的,铁电性的特质藉由通过两个饱和极化状态Psat和-Psat之间的磁滞的材料极化状态来描述。举例而言,当从其上具有最小的极化状态-Psat的U1增加电压U到最大电压U2,该材料的极化状态沿着该磁滞曲线H的部分曲线H1变化并变为饱和的(P假设该饱和极化状态Psat)。当再次降低该施加电压U从最大极化状态Psat的U2到U1,该极化状态如该磁滞曲线H的部分曲线H2所示地变化,直到极化状态在U1变为饱和(负的饱和极化状态-Psat)。注意到在该电压U2/U1之后进一步增加/减少该电压U不会确实改变该极化状态,因为该铁电材料的全部偶极子在由该施加电压所实行的电场中对齐了,且因此预期是饱和状态。
在从U2/U1开始减少/增加该电压U到零的过程中,该极化状态减少/增加(沿着H2/H1)到在U=0时不会消失的极化状态值Pr/-Pr,通常称为”永久极化状态”。依据由先前施加电压所造成的先前引起的饱和极化状态,可因此保持铁电材料的特定永久极化状态,即使将该铁电材料从电源断接之后。以这个方法,铁电材料可以被”程序化”成永久极化状态,其允许用来识别先前施加的电压水平,亦即,大于或小于零。
发明人观察到约2μC/cm2的永久极化状态,在依据一些例示实施例(其关于图1a到1c以及图2在以上讨论)的上述由TiN层覆盖的铁电性的未掺杂HfO2层中。在包含具有6nm厚度的未掺杂HfO2层的其他实验中,观察到约10μC/cm2的永久极化状态。
本揭露的一些例示应用将参考图3a和3b进一步地讨论。在图3a中,显示半导体装置300a。该半导体装置300a可以形成在基板302a中及上。该基板302a可类似于以上参考图1a所述的基板102。如图3a所示,该半导体装置300a包含栅极电极结构310a形成在该基板302a的表面部分上方。在一明确的范例中,该栅极电极结构310a可包含可选SiON层312a、未掺杂的铁电HfO2层314a、TiN层316a以及栅极材料层318,譬如多晶硅材料层。在该栅极电极结构310a的相反端,源极区域322和漏极区域324形成在该基板302a中。该SiON层312a是选择性的,如虚线所示。这并不对本揭露做出任何限制且本领域的技术人员将了解SiO2层可以形成来取代该SiON层312a,或替代地,该未掺杂的铁电HfO2层314a可以直接沉积在该基板302a上。
在本揭露的一些例示实施例中,该半导体装置300a可以被作为FeFET来运作。在此,该源极区域322和漏极区域324可以耦合成源极/漏极接触(未图示),且该栅极电极318可以耦合成栅极接触(未图示)。可理解该源极区域322可耦接至源极电位Vs、该漏极区域324可耦接至漏极电位Vd且该栅极结构310a可耦接至栅极电位Vg。本领域的技术人员将了解静止状态可以是Vg=0V、Vd=0V、Vs=0V。
在以下用于该半导体装置300a的例示运作模式的讨论中,假设该半导体装置300a示N通道FeFET配置。在此,举例而言,该基板302a可以至少部分地邻接到该半导体装置300a设置为P型阱,且由内嵌在该基板302a中的N型掺杂区域来设置该源极区域322和漏极区域324。本领域的技术人员将了解到,在一些例示范例中,该源极电位Vd可以由第一位线(未图示)供应、该源极电位Vs可以由第二位线(未图示)供应,且该栅极电位Vg可以由字线(未图示)供应。
在N通道FeFET中,可藉由提供电压脉冲到该栅极电极结构310a,其中,该电压脉冲具有振福Vp,来达成将该铁电未掺杂HfO2层314a转换到第一极化状态来程序化该半导体装置300a。在本文的一些例示范例中,该第一极化状态可以关联于讯息状态”0”。因此,当设定该电压脉冲的振幅为-Vp,可以在该铁电未掺杂HfO2层314a中定义第二极化状态。该第二极化状态可以,举例而言,识别为讯息状态”1”。这并不会对本揭露做出任何限制,且替代地,可以反转该讯息状态到极化状态的指派。
参考以上图2的讨论,该振幅Vp可以实质上等于以上参考图2讨论的U2。另一方面,该振幅-Vp可以实质上等于以上参考图2讨论的U1。然而,这并不对本揭露做出任何限制,且本领域的技术人员将了解可以选择不会完全引起该未掺杂的铁电HfO2层314a的饱和极化状态的振幅Vp和-Vp。应理解到,在程序化中,电位Vd和Vs是设为0V以得到最佳结果。
在关闭供应给该栅极电极结构310a的程序化电位之后,永久极化状态(请见图2中的Pr或-Pr)将保留在该未掺杂的铁电HfO2层314a中。因此,对应由Vp所引起的第一极化状态的永久极化状态可以关联于讯息状态”0”而对应-Vp的永久极化状态可以关联于该半导体装置300a的讯息状态”1”。
该未掺杂的铁电HfO2层314a的非消失性永久极化状态造成该半导体装置300a的临界电压的偏移。因为该未掺杂的铁电HfO2层314a的不同永久极化状态关联于不同的讯息状态”0”、”1”,这些不同的永久极化状态在程序化之后造成该半导体装置300a不同的临界电压。当施加预定的栅极电位到该栅极电极结构310a时,依据判定在该源极区域322和该漏极区域324之间的电流,可以判定不同的临界电压,且因此,可以判定不同的讯息状态”0”、”1”。
在本文的一特殊例示范例中,可以藉由施加栅极电位Vg=Vr到该栅极电极结构310a以及漏极电位Vd=Vdr到该漏极322来实施从该半导体装置300a进行读取。在本文的明确范例中,该源极322可以耦接至源极电位Vs=0V。要理解到当从该半导体装置300a读取信息时,藉由程序化电位”+Vp”的程序化造成的讯息状态”0”会造成比以程序化电位”-Vp”的程序化造成的讯息状态”1”更大的源极/漏极电流。因此,藉由在施加预设的读取电位Vr到该栅极电极结构310a和读取电位Vdr到该漏极322下,流经该源极区域322和该漏极区域324之间的电流量可以辨别该讯息状态”0”、”1”。此外,如上述的读取程序可以是非破坏性的,亦即,可以保留由在该铁电未掺杂HfO2层314a中引起的特定极化状态所施加在该栅极电极结构310a上的讯息状态,特别是当选择读取电压具有一绝对值,其小于所施加的程序化电位的绝对值时。
本领域的技术人员将了解由本揭露所提供的用于形成半导体装置的方法非常轻易能被包含到现有的复杂半导体装置的制造流程中。平行地制造大量的半导体装置是可能的,其中,在半导体装置的HfO2层中的铁电特性可以选择性地被调整或被「启动」而不需要掺杂物,且因此,不需要增加额外的掺杂程序到现有的制程中。
在本揭露的一些例示实施例中,可以达成只在所选择的半导体装置上选择性地形成TiN层,譬如参考图1a-1c所讨论的该TiN层132或是以上参考图3a所述的该TiN层316a,举例而言,藉由屏蔽该基板302a(半导体装置将被制造于该基板302a中)上的部分,在这些部分不应具有任何铁电特性。
在本文的一些明确范例中,第一和第二半导体装置可以设置在基板的第一和第二主动区域中,譬如,举例而言,如以上参考图1a和3a所述的基板。该第一和第二主动区域可以由隔离结构来分开,譬如STI结构及/或在其间形成的一个或多个主动区域。
可以在该第一和第二主动区域之上形成非掺杂的非晶HfO2层,使得第一非掺杂的非晶HfO2层可以形成在该第一主动区域之上且第二非掺杂的非晶HfO2层可以形成在该第二主动区域之上。因此,在这个制造阶段,该第一半导体装置可包含第一非掺杂的非晶HfO2层,且在这个制造阶段,该第二半导体装置可包含第二非掺杂的非晶HfO2层。本领域的技术人员将了解可能出现在该基板中的其他主动区域可能由适当的屏蔽结构所覆盖,使得该第一和第二主动区域曝露在用于形成该第一和第二非掺杂的非晶HfO2层的沉积制程中。在本文的一些例示范例中,该沉积制程可以是ALD制程。
接着,可以在该基板上方形成一屏蔽结构,该屏蔽结构覆在该第一主动区域之上并将该第二主动区域曝露在进一步的制程中。在本文的一些例示范例中,可以在该第一主动区域上形成屏蔽或硬屏蔽。
接着,可以依据以上的该TiN层132或该TiN层316a所述的形成制程来该形成TiN层在该第二非掺杂的非晶HfO2层上。
在该第二主动区域上方的该第二非掺杂的非晶HfO2层上方形成该TiN层之后,可移除该第一主动区域的该屏蔽结构,且可形成覆盖在该第二主动区域之上的第二屏蔽结构以将该第一主动区域曝露在进一步的制程中。
可以依据传统的技术,譬如习知的CVD制程,在该第一非掺杂的HfO2层上方形成第二TiN层。本领域的技术人员将了解藉由将该第二主动区域曝露到400℃或更高的温度,可以启动该第二HfO2层的铁电特性,同时该第一HfO2层将不是铁电性的,且显现如习知技术的顺电性质。
因此,铁电性半导体装置和非铁电性半导体装置可以在由现有制程所组成的方法中被轻易的制造,亦即,不需要增加额外的掺杂制程。
参考图3b,将描述另一半导体装置结构300b。该半导体装置结构300b包含类似以上参考图1a-1c所述的基板102或以上参考图3a所述的该基板302a的基板302b。另外,底部电极层312b形成在该半导体基板302b之上。虽然图3b绘示该底部电极层312b是直接形成在该基板302b的上表面上,这并不对本揭露做出任何限制,且本领域的技术人员将了解一个或多个其他的层可以形成在该底部电极层312b和该基板302b之间。举例而言,绝缘层(未图示)可以形成在该底部电极层312b和该基板302b之间。另外,导电结构可以形成在该底部电极层312b和该基板302b之间。在这个例子中,本领域的技术人员将了解该基板302b可具有形成在其中的已掺杂阱区域,至少局部地在邻接于该半导体装置结构300b的区域中。举例而言,该底部电极层312b可藉由导电结构(未图示)耦接至形成在该基板302b中的掺杂区域(未图示)。
在该底部电极层312b上形成未掺杂的铁电HfO2层314b。该未掺杂的铁电HfO2层314b可以依据以上参考图1a-1c或参考图3a所述的制程来形成。
再者,该半导体装置结构300b包含形成在该未掺杂的铁电HfO2层314b的TiN层316b。该TiN层316b类似于以上参考图1a-1c所讨论的该TiN层132或以上参考图3a所讨论的该TiN层316a。
在本揭露的一特殊例示实施例中,该半导体装置结构300b可形成MIM或MIS电容器结构。相关的半导体装置结构300b可以耦接到FET装置(未图示),使得该底部电极层312b可以耦接到该FET装置(未图示)的源极或漏极的一者。FET装置(未图示)和该半导体装置结构300b的对应组合可以实现1T-1C FeRAM存储胞结构,其包含传统的,亦即,非铁电性的,FET作为访问晶体管(access transistor),同时该半导体装置结构包含未掺杂的铁电HfO2层314b。本领域的技术人员将了解其与D-RAM存储胞相反,仅有一个电容器和一个访问晶体管用来形成该存储胞。另外,本领域的技术人员将了解到对应的FeRAM结构可以轻易的依据现有的制造流程不需要增加不必要的掺杂制程来完成。因此,藉由现有的制造流程而不需要包含复杂的掺杂制程,可以提供跨越整个半导体晶圆的高均匀度的基于铁电性的FeRAM装置的非易失性的存储装置。依据上述的读取和写入操作的讨论,可以读取电容器。
本揭露提出使用特别工程化的TiN层用于使得纯HfO2材料中能够有铁电性。因此,可能可以具有以ALD制程形成的非掺杂HfO2材料并在纯HfO2中造成稳定的铁电结晶相。举例而言,发明人观察到发生在因为PVD-TiN的覆盖的ALD沉积的纯HfO2中的稳定效果,其中,该TiN层显现特定的氧含量分布,譬如氧梯度。相较于由物理气相沉积制程沉积的HfO2或由ALD制程所形成的掺杂的HfO2材料,由本揭露提供的铁电性纯HfO2材料显现较高的敷形性(conformality)。特别是,在ALD制程中实施掺杂使得现有半导体装置的制造变得非常复杂,因为其需要对整个晶圆控制均匀的掺杂浓度。
以上所述的具体实施例仅是说明性的,因为本发明可以以不同的但等效的方式修改和实施,这些方式对于获得这里讲授的益处的本领域的技术人员是显然的。举例而言,可以不同的顺序实行所阐述的制程步骤。此外,除在下面的权利要求中描述的之外,不打算限制这里表示的构造或设计的细节。因此证实,以上公开的具体实施例可以改变或修改,并且所有这样的变化认为在本发明的范围和精神内。因而,这里寻求的保护在权利要求书中叙述。

Claims (20)

1.一种半导体装置,包括:
基板;
未掺杂的二氧化铪层,形成在该基板之上;以及
氮化钛层,形成在该二氧化铪层上;
其中,该未掺杂的二氧化铪层至少是部分铁电性的。
2.如权利要求1所述的半导体装置,其中,该未掺杂的二氧化铪层具有在约1–30nm的范围内或2–20nm的范围内的厚度。
3.如权利要求1所述的半导体装置,其中,该氮化钛层具有在约2–30%的范围内、或约2–20%的范围内、或约2–15%的范围内的平均含氧量。
4.如权利要求1所述的半导体装置,其中,该氮化钛层具有含氧量分布在其中,该含氧量分布显示在该氮化钛层的上表面的含氧量是在约5–15%的范围内,且在该氮化钛层和该未掺杂的二氧化铪层的界面的含氧量是在约0–7%的范围内。
5.如权利要求4所述的半导体装置,其中,该含氧量分布代表氧梯度。
6.如权利要求1所述的半导体装置,其中,该氮化钛层具有在约100–400μΩcm的范围内、或约100–350μΩcm的范围内、或约100–300μΩcm的范围内的体电阻率。
7.如权利要求1所述的半导体装置,其中,该氮化钛层具有在约4.5–5.2g/cm3的范围内或约4.55–5.15g/cm3的范围内的密度。
8.如权利要求1所述的半导体装置,还包括具有栅极介电质的栅极结构,形成在该基板之上,该栅极介电质包含该二氧化铪层和该氮化钛层。
9.如权利要求1所述的半导体装置,其中,该二氧化铪层是形成在氮氧化硅层上。
10.如权利要求1所述的半导体装置,还包括具有介电结构的MIM或MIS电容结构,形成在该基板上,该介电结构包含该二氧化铪层和该氮化钛层。
11.如权利要求10所述的半导体装置,其中,该二氧化铪层是作为基极电极层而形成的。
12.一种用于形成半导体装置的方法,包括:
在半导体基板之上形成未掺杂的非晶二氧化铪层;
在该未掺杂的非晶二氧化铪层上形成氮化钛层;以及
实行热退火制程用以在该未掺杂的非晶二氧化铪层中至少部分造成铁电相。
13.如权利要求12所述的方法,其中,藉由在包含氮或氧/氮的其中一种的气体中实行PVD制程来沉积该氮化钛层。
14.如权利要求13所述的方法,其中,该PVD制程是在小于或等于约400℃且较佳地是小于或等于约300℃的温度下实行。
15.如权利要求14所述的方法,还包括在实行该PVD制程时,调整钛/氮的特定比例。
16.如权利要求15所述的方法,其中,该氮化钛层具有在约2–30%的范围内、或约2–20%的范围内、或约2–15%的范围内的平均含氧量。
17.如权利要求12所述的方法,其中,该氮化钛层是非晶的。
18.如权利要求12所述的方法,其中,藉由实行ALD制程以沉积具有在约4–20nm的范围内的厚度的一层未掺杂的非晶二氧化铪来形成该未掺杂的非晶二氧化铪层。
19.如权利要求18所述的方法,其中,在该ALD制程中,沉积温度是出自约200–400℃的范围或约200–300℃的范围。
20.如权利要求12所述的方法,其中,在至少约400℃以及最多约1100℃或最多约800℃的退火温度下实行该热退火制程。
CN201510040666.4A 2014-01-27 2015-01-27 具有铁电氧化铪的半导体装置及形成该半导体装置的方法 Active CN104810269B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/165,209 2014-01-27
US14/165,209 US9269785B2 (en) 2014-01-27 2014-01-27 Semiconductor device with ferroelectric hafnium oxide and method for forming semiconductor device

Publications (2)

Publication Number Publication Date
CN104810269A true CN104810269A (zh) 2015-07-29
CN104810269B CN104810269B (zh) 2017-11-14

Family

ID=53523152

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510040666.4A Active CN104810269B (zh) 2014-01-27 2015-01-27 具有铁电氧化铪的半导体装置及形成该半导体装置的方法

Country Status (4)

Country Link
US (1) US9269785B2 (zh)
CN (1) CN104810269B (zh)
DE (1) DE102015200946B4 (zh)
TW (1) TWI541983B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106558481A (zh) * 2015-09-24 2017-04-05 中国科学院微电子研究所 半导体器件制造方法
CN107251146A (zh) * 2015-02-19 2017-10-13 高通股份有限公司 形成界面偶极子层的系统和方法
CN108369956A (zh) * 2015-12-03 2018-08-03 美光科技公司 铁电电容器、铁电场效应晶体管及在形成包含导电材料与铁电材料的电子组件时使用的方法
CN109100900A (zh) * 2018-07-23 2018-12-28 电子科技大学 一种HfO2基铁电材料的使用方法
CN110504274A (zh) * 2018-05-18 2019-11-26 瑞萨电子株式会社 半导体装置及其制造方法
CN110601673A (zh) * 2019-08-12 2019-12-20 清华大学 基于铪系铁电薄膜的声表面波器件及薄膜体声波器件
CN111937118A (zh) * 2018-04-02 2020-11-13 朗姆研究公司 基于氧化铪的铁电材料的覆盖层
CN112736083A (zh) * 2020-12-25 2021-04-30 光华临港工程应用技术研发(上海)有限公司 一种三维铁电存储器件的制造方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6067524B2 (ja) * 2013-09-25 2017-01-25 株式会社東芝 半導体装置および誘電体膜
US9679893B2 (en) * 2015-05-15 2017-06-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and transistor
DE102015015854B4 (de) 2015-12-03 2021-01-28 Namlab Ggmbh Integrierte Schaltung mit einer ferroelektrischen Speicherzelle und Verwendung der integrierten Schaltung
TWI690080B (zh) * 2016-06-08 2020-04-01 聯華電子股份有限公司 半導體元件
US10892330B2 (en) 2016-07-06 2021-01-12 International Business Machines Corporation FET based synapse network
US9966466B2 (en) * 2016-08-08 2018-05-08 Globalfoundries Inc. Semiconductor-on-insulator wafer, semiconductor structure including a transistor, and methods for the formation and operation thereof
US10249756B2 (en) * 2016-11-29 2019-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including memory and logic circuit having FETs with ferroelectric layer and manufacturing methods thereof
DE102016015010A1 (de) * 2016-12-14 2018-06-14 Namlab Ggmbh Integrierte Schaltung, die eine ferroelektrische Speicherzelle enthält, und ein Herstellungsverfahren dafür
TWI713117B (zh) * 2017-01-05 2020-12-11 聯華電子股份有限公司 製作金屬閘極結構的方法
DE102017219741A1 (de) 2017-05-24 2018-11-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bildung einer Higher-k-Grenzfläche bei ferroelektrischen Feldeffekteinrichtungen
TWI726128B (zh) * 2017-07-17 2021-05-01 聯華電子股份有限公司 半導體元件及其製作方法
US10784362B2 (en) * 2017-10-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10930751B2 (en) 2017-12-15 2021-02-23 Micron Technology, Inc. Ferroelectric assemblies
JP6920192B2 (ja) 2017-12-28 2021-08-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN108416179B (zh) * 2018-05-16 2021-09-07 杭州电子科技大学 一种负电容场效应晶体管中抑制随机掺杂效应的建模方法
DE102018212736B4 (de) * 2018-07-31 2022-05-12 Christian-Albrechts-Universität Zu Kiel Ferroelektrische Halbleitervorrichtung mit einer einen Mischkristall aufweisenden ferroelektrischen Speicherschicht und Verfahren zu deren Herstellung
US10998338B2 (en) 2018-11-13 2021-05-04 Micron Technology, Inc. Integrated assemblies having ferroelectric transistors with heterostructure active regions
TWI739051B (zh) 2018-12-13 2021-09-11 財團法人工業技術研究院 鐵電記憶體
KR20200084410A (ko) * 2018-12-21 2020-07-13 삼성전자주식회사 강유전 구조체 및 이를 포함하는 반도체 장치
CN113892156A (zh) 2019-04-08 2022-01-04 开普勒计算公司 掺杂极性层及并入有掺杂极性层的半导体装置
US20210005728A1 (en) * 2019-07-02 2021-01-07 National Taiwan Normal University Storage memory device
KR20210014017A (ko) 2019-07-29 2021-02-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11380708B2 (en) 2019-08-30 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Analog non-volatile memory device using poly ferroelectric film with random polarization directions
DE102020100777A1 (de) 2019-08-30 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Analoge nichtflüchtige Speichervorrichtung unter Verwendung eines polyferroelektrischen Films mit zufälligen Polarisationsrichtungen
KR20210035553A (ko) * 2019-09-24 2021-04-01 삼성전자주식회사 도메인 스위칭 소자 및 그 제조방법
KR20210036714A (ko) 2019-09-26 2021-04-05 삼성전자주식회사 강유전 박막 구조체 및 그 제조방법과, 강유전 박막 구조체를 포함하는 전자 소자
TWI744784B (zh) 2020-02-03 2021-11-01 財團法人工業技術研究院 鐵電記憶體及其製造方法
US11393833B2 (en) * 2020-04-22 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric random access memory device with seed layer
US11302529B2 (en) * 2020-07-09 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Seed layer for ferroelectric memory device and manufacturing method thereof
JP2023538535A (ja) * 2020-08-10 2023-09-08 東京エレクトロン株式会社 半導体デバイス用の、選択された分極を有する誘電体材料を形成する方法
US11508755B2 (en) * 2021-02-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked ferroelectric structure
US11825663B2 (en) 2021-08-17 2023-11-21 Globalfoundries U.S. Inc. Ferroelectric nonvolatile memory device and integration schemes
US11527649B1 (en) * 2021-08-30 2022-12-13 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric field effect transistor devices and methods for forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040175882A1 (en) * 2003-03-04 2004-09-09 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20050202222A1 (en) * 2002-06-10 2005-09-15 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20090261395A1 (en) * 2008-04-21 2009-10-22 Qimonda Ag Integrated Circuit Including a Ferroelectric Memory Cell and Method of Manufacturing the Same
CN102110653A (zh) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 形成双金属栅极结构的方法
US20120315749A1 (en) * 2011-06-07 2012-12-13 Globalfoundries Inc. Metal Gate Stack Formation for Replacement Gate Technology

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225656B1 (en) 1998-12-01 2001-05-01 Symetrix Corporation Ferroelectric integrated circuit with protective layer incorporating oxygen and method for fabricating same
US20060267113A1 (en) * 2005-05-27 2006-11-30 Tobin Philip J Semiconductor device structure and method therefor
US7393736B2 (en) * 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP4833650B2 (ja) * 2005-12-08 2011-12-07 パナソニック株式会社 半導体装置及びその製造方法
JP4552973B2 (ja) * 2007-06-08 2010-09-29 セイコーエプソン株式会社 半導体装置の製造方法
US9053802B2 (en) 2013-06-04 2015-06-09 Namlab Ggmbh Ferroelectric memory cell for an integrated circuit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050202222A1 (en) * 2002-06-10 2005-09-15 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040175882A1 (en) * 2003-03-04 2004-09-09 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20090261395A1 (en) * 2008-04-21 2009-10-22 Qimonda Ag Integrated Circuit Including a Ferroelectric Memory Cell and Method of Manufacturing the Same
CN102110653A (zh) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 形成双金属栅极结构的方法
US20120315749A1 (en) * 2011-06-07 2012-12-13 Globalfoundries Inc. Metal Gate Stack Formation for Replacement Gate Technology

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
STEFAN MUELLER等: "《Ten-Nanometer Ferroelectric Si:HfO2 Films for Next-Generation FRAM Capacitors》", 《IEEE ELECTRON DEVICE LETTERS》 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107251146A (zh) * 2015-02-19 2017-10-13 高通股份有限公司 形成界面偶极子层的系统和方法
CN106558481A (zh) * 2015-09-24 2017-04-05 中国科学院微电子研究所 半导体器件制造方法
CN108369956A (zh) * 2015-12-03 2018-08-03 美光科技公司 铁电电容器、铁电场效应晶体管及在形成包含导电材料与铁电材料的电子组件时使用的方法
CN108369956B (zh) * 2015-12-03 2021-08-31 美光科技公司 铁电电容器、铁电场效应晶体管及在形成包含导电材料与铁电材料的电子组件时使用的方法
CN111937118A (zh) * 2018-04-02 2020-11-13 朗姆研究公司 基于氧化铪的铁电材料的覆盖层
CN110504274A (zh) * 2018-05-18 2019-11-26 瑞萨电子株式会社 半导体装置及其制造方法
CN109100900A (zh) * 2018-07-23 2018-12-28 电子科技大学 一种HfO2基铁电材料的使用方法
CN109100900B (zh) * 2018-07-23 2020-11-17 电子科技大学 一种HfO2基铁电材料的使用方法
CN110601673A (zh) * 2019-08-12 2019-12-20 清华大学 基于铪系铁电薄膜的声表面波器件及薄膜体声波器件
CN110601673B (zh) * 2019-08-12 2021-08-13 清华大学 基于铪系铁电薄膜的声表面波器件及薄膜体声波器件
CN112736083A (zh) * 2020-12-25 2021-04-30 光华临港工程应用技术研发(上海)有限公司 一种三维铁电存储器件的制造方法

Also Published As

Publication number Publication date
DE102015200946B4 (de) 2023-05-25
TW201533888A (zh) 2015-09-01
TWI541983B (zh) 2016-07-11
DE102015200946A1 (de) 2015-07-30
US9269785B2 (en) 2016-02-23
US20150214322A1 (en) 2015-07-30
CN104810269B (zh) 2017-11-14

Similar Documents

Publication Publication Date Title
CN104810269A (zh) 具有铁电氧化铪的半导体装置及形成该半导体装置的方法
Gong et al. Why is FE–HfO 2 more suitable than PZT or SBT for scaled nonvolatile 1-T memory cell? A retention perspective
Mueller et al. From MFM Capacitors Toward Ferroelectric Transistors: Endurance and Disturb Characteristics of ${\rm HfO} _ {2} $-Based FeFET Devices
US9318315B2 (en) Complex circuit element and capacitor utilizing CMOS compatible antiferroelectric high-k materials
Saeidi et al. Modeling and simulation of low power ferroelectric non-volatile memory tunnel field effect transistors using silicon-doped hafnium oxide as gate dielectric
US9590109B2 (en) Semiconductor device and method for manufacturing the same
JP7360203B2 (ja) 不揮発性記憶装置及びその動作方法
KR20190043641A (ko) 극성, 비대칭성, 및 비-중심-대칭성 강유전성 물질들, 그러한 물질들을 포함하는 메모리 셀들, 및 관련 디바이스들 및 방법들
US10056376B2 (en) Ferroelectric FinFET
US11527646B2 (en) Domain switching devices and methods of manufacturing the same
CN107768372A (zh) Soi技术中的nvm装置以及制造相应装置的方法
JP2020031213A (ja) ロジックスイッチング素子及びその製造方法
US20180277683A1 (en) Mobile ferroelectric single domain wall implementation of a symmetric resistive processing unit
CN107452742A (zh) 半导体强电介质存储元件的制造方法和半导体强电介质存储晶体管
Lehninger et al. Ferroelectric fets with separated capacitor in the back-end-of-line: Role of the capacitance ratio
US20220140148A1 (en) Semiconductor device
EP1168454B1 (en) Nonvolatile semiconductor memory
Misirlioglu et al. Domain control of carrier density at a semiconductor-ferroelectric interface
Mallick et al. Evaluation of bulk and SOI FeFET architecture for non-volatile memory applications
Ma et al. Impacts of pulse conditions on endurance behavior of ferroelectric thin-film transistor non-volatile memory
US20240145571A1 (en) Inserting inhibition layer for inducing antiferroelectricity to ferroelectric structure
Afanas' Ev et al. Band alignment at interfaces of oxide insulators with semiconductors
KR20240068207A (ko) 도메인 조절된 강유전체 재료를 포함하는 전계 효과 트랜지스터, 커패시터, 및 전자 장치
Zhang et al. Influence of the ferroelectric–electrode interface on the characteristics of MFIS-FETs
Sharma et al. Study of Variations in Memory Window of Si: HfO2 Based MFIS-FET

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210223

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.