CN104159956A - 旋涂硬掩模材料 - Google Patents

旋涂硬掩模材料 Download PDF

Info

Publication number
CN104159956A
CN104159956A CN201380008666.5A CN201380008666A CN104159956A CN 104159956 A CN104159956 A CN 104159956A CN 201380008666 A CN201380008666 A CN 201380008666A CN 104159956 A CN104159956 A CN 104159956A
Authority
CN
China
Prior art keywords
sulfonic acid
organic sulfonic
resin
salt
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380008666.5A
Other languages
English (en)
Inventor
安德烈亚什·弗罗姆霍尔德
亚历克斯·鲁滨逊
耶德萨达·马尼安姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Birmingham
Original Assignee
University of Birmingham
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Birmingham filed Critical University of Birmingham
Publication of CN104159956A publication Critical patent/CN104159956A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D161/00Coating compositions based on condensation polymers of aldehydes or ketones; Coating compositions based on derivatives of such polymers
    • C09D161/04Condensation polymers of aldehydes or ketones with phenols only
    • C09D161/06Condensation polymers of aldehydes or ketones with phenols only of aldehydes with phenols
    • C09D161/14Modified phenol-aldehyde condensates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/002Processes for applying liquids or other fluent materials the substrate being rotated
    • B05D1/005Spin coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/02Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by baking
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K9/00Use of pretreated ingredients
    • C08K9/04Ingredients treated with organic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C1/00Treatment of specific inorganic materials other than fibrous fillers; Preparation of carbon black
    • C09C1/44Carbon
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C1/00Treatment of specific inorganic materials other than fibrous fillers; Preparation of carbon black
    • C09C1/44Carbon
    • C09C1/48Carbon black
    • C09C1/56Treatment of carbon black ; Purification
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Paints Or Removers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文公开并要求保护的是一种用于形成旋涂硬掩模的组合物,所述组合物具有富勒烯衍生物和交联剂。进一步公开了用于形成硬旋涂硬掩模的方法。

Description

旋涂硬掩模材料
发明领域
本专利申请涉及用于半导体制造的成像的领域,并且更具体地,涉及使用旋涂制剂的蚀刻掩蔽的领域。
背景
半导体设备的最小形体尺寸继续缩小以能够增加设备的密度。实现这样的高密度图案的一种方法是使用薄的光致抗蚀剂薄膜以减轻在显影后高纵横比抗蚀剂特征的此种图案坍缩的问题。解决该问题的一种可能的方案包括使用高分辨率、高灵敏度和高蚀刻耐久性富勒烯抗蚀剂。然而,虽然此种抗蚀剂产生的纵横比可以高达5∶1,但是总体蚀刻深度被可用的抗蚀剂厚度明显限制。
多层硬掩模堆叠体可以允许进一步增加蚀刻图像的纵横比。此种方法可以使用通过化学气相沉积而真空沉积的厚的无定形碳,其然后被涂覆以富硅薄层。然后,薄的光致抗蚀剂薄膜足以将富硅层图案化;因此避免图案坍缩。该富硅层进而被用作硬掩模以将碳图案化,产生高纵横比碳图案,其适用于提供硬掩模以用于蚀刻硅片。通过从富硅材料到富碳材料交替(并且反之亦然),可以实现不同基板的整体蚀刻选择性的优化。
近年来,气相沉积材料已被旋涂蚀刻掩模代替。例如,如由van Delft等,J.Vac.Sci.Technol.B,18(2000)3419报道的,酚醛清漆-氢基倍半硅氧烷(novolak-hydrido silsesquioxane)(HSQ)双层堆叠体被用于实现具有3.25∶1的纵横比的40nm半节距分辨率以及具有20∶1的纵横比的分离的40nm线。然而,在下面的HSQ层的基于氟的蚀刻导致图案化酚醛清漆特征的膨胀,这导致波状畸变。
因此,仍然需要可以经受在下面的层的基于氟的蚀刻而没有畸变的旋涂硬掩模材料,以致可以产生高分辨率图案。
附图简述
图1显示使用旋涂硬掩模制备高纵横比、高分辨率特征的方法。
图2显示利用本文所述的材料形成硬掩模薄膜、加热薄膜和进行溶剂浸泡的结果。
图3显示根据图1所示的方案在约100nm的碳中蚀刻的25nm线和间隔。
附图详述
图1显示使用旋涂硬掩模制备高纵横比、高分辨率特征的方法。在该实施方案中,初始堆叠体1是基板;在其上已经涂覆有旋涂硬掩模、富硅层和光致抗蚀剂。平版印刷步骤2使光致抗蚀剂图案化。在方案3中,图案化的光致抗蚀剂充当富硅层的蚀刻掩模,而富硅层又充当在下面的旋涂硬掩模层4的蚀刻掩模。硬掩模层然后被用作基板5的蚀刻掩模并且富硅层可以被如所示地蚀刻或可以需要单独的蚀刻步骤。最后,使用氧蚀刻以除去旋涂硬掩模6。图1中显示的实施方案仅是用于说明的一个实例而不意在限制。例如,可以采用含有硅的光敏组合物代替沉积在富硅层上的光致抗蚀剂。
图2显示利用本文所述的材料形成硬掩模薄膜、加热薄膜和进行溶剂浸泡的结果。具体地,来自实施例2和实施例3(下文所述的)的制剂被旋涂在基板上并且在不同温度烘干。比较其在氯苯∶异丙醇(1∶1w/w)溶液中浸泡前后的厚度。关于实施例2的薄膜,将当在不同温度烘干但是没有暴露于溶剂浸液11的薄膜的归一化的厚度与在不同温度烘干但暴露于溶剂浸液12的相同的薄膜比较。关于实施例3的薄膜,将当在不同温度烘干但是没有暴露于溶剂浸液13的薄膜的归一化的厚度与在不同温度烘干但暴露于溶剂浸液14的相同的薄膜比较。
图3显示根据图1所示的方案在约100nm的碳中蚀刻的25nm线和间隔。下文提供用于形成图3中显示的图像的方法的细节。
详述
当在本文中使用时,除非另外指明,连词“和”意在是包括的而连词“或”不意在是排他的。例如,短语“或,备选地”意在是排他的。当在本文中使用时,“脂环族”化合物是脂族的且是环状的有机化合物。脂环族化合物可以含有一个或多个全碳环,所述全碳环可以是饱和的或不饱和的,但是不具有芳香性。脂环族化合物可以具有也可以不具有相连的脂族侧链。当在本文中使用时,术语“示例的”用于指示实例并且不一定用于指示优先性。
本文公开和要求保护的是一种用于形成旋涂硬掩模的组合物,所述组合物包含:由以下通式(I)表示的富勒烯衍生物,
其中n是1-6的整数,所述富勒烯中的碳原子的数目Q为60、70、76、78、80、82或84,R1表示包括酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第一取代基,并且R2表示包括氢、卤素、C6-C20芳基、C1-C20烷基、酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第二取代基;和包含两个以上热反应性基团或催化反应性基团的交联剂。
本文进一步公开和要求保护的是一种用于形成旋涂硬掩模的方法,所述方法包括:提供组合物,所述组合物包含(a)由通式(I)表示的富勒烯衍生物,其中n是1-6的整数,所述富勒烯中的碳原子的数目Q为60、70、76、78、80、82或84,R1表示包括酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第一取代基,并且R2表示包括氢、卤素、C6-C20芳基、C1-C20烷基、酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第二取代基;以及(b)具有两个以上热反应性基团或催化反应性基团的交联剂;在基板上形成涂层;和在足以交联涂层的温度加热基板和涂层。
本文进一步公开和要求保护的是一种用于形成旋涂硬掩模的组合物,其中所述组合物还包含热致酸生成物(thermal acid generator)。
本文进一步公开和要求保护的是一种用于形成旋涂硬掩模的组合物,其中所述组合物还包含光致酸生成物(photoacid generator)。
本文进一步公开和要求保护的是一种用于形成旋涂硬掩模的组合物,其中所述组合物还包含溶剂如聚乙二醇一甲基醚乙酸酯、乳酸乙酯、茴香醚、甲苯、氯仿、氯苯、邻二氯苯、间二氯苯、对二氯苯、邻二甲苯、间二甲苯、对二甲苯、二硫化碳或其组合。
通式(I)代表具有1-6个桥亚甲基的衍生的富勒烯分子。富勒烯可以具有不同的同素异形体,包括(II)中所示的C60、C70、C76、C78、C80、C82和C84,指示笼形结构但是不指示键合。在一些情况中,不同的同素异形体可以具有相同数目的碳。
根据本文公开的主题,富勒烯可以跨过双键被桥亚甲基取代从而形成三元环。在一个实施方案中,桥亚甲基在其中两个6元环相遇的顶点桥连,从而形成如在(III)中所示的所谓的[6,6]桥(主链碳未显示)。在另一个实施方案中,通过桥亚甲基的开环[6,5]取代可以形成类富勒烯结构。然而,在某些情况中,在加热时,开环[6,5]类富勒烯结构可以重排成[6,6]桥连的富勒烯结构。用于桥亚甲基富勒烯的合成技术是本领域中已知的,如Fukashi等,Beilstein J.Org.Chem.(2008),4,No.33.doi:10.3762/bjoc.4.33和Hummelen等,Org.Chem.(1995),60,532-538。
根据本文公开的主题,交联剂可以选自环氧化酚醛清漆树脂、环氧化甲酚酚醛清漆树脂、环氧化双酚A树脂、环氧化双酚酚醛清漆树脂,烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或异氰酸酯(醇酸树脂)树脂。
合适的胺系交联剂包括由Cytec of West Paterson,N.J.生产的三聚氰胺,如CYMELTM300、301、303、350、370、380、1116和1130;苯并胍胺树脂如CYMELTM1123和1125;甘脲树脂CYMELTM1170、1171和1172;和脲系树脂,BEETLETM60、65和80,其也可获得自Cytec,West Paterson,N.J.。大量类似的胺系或氨基塑料(amidoplast)化合物可商购自多个供应商。
环氧化的酚醛清漆树脂和甲酚酚醛清漆树脂显示在(IV)中,其中X可以是H,CH3并且n可以是0-20。环氧化双酚A树脂显示在理想化的结构(V)中,其中n可以是0-20。环氧化双酚Z树脂显示在理想化的结构(VI)中,其中n可以是0-20。类似的“环氧化双酚”交联剂是预期的。例如,可以使用基于1,1-二(4-羟基苯基)-1-苯基-乙烷,2,2-二(4-羟基苯基)六氟丙烷,2,2-二(4-羟基苯基)丁烷,二-(4-羟基苯基)二苯基甲烷,2,2-二(3-甲基-4-羟基苯基)丙烷,二(4-羟基苯基)-2,2-二氯乙烯,1,1-二(4-羟基苯基)乙烷,二(4-羟基二苯基)甲烷,2,2-二(4-羟基-3-异丙基-苯基)丙烷,1,3-二(2-(4-羟基苯基)-2-丙基)苯,二(4-羟基苯基)砜,1,4-二(2-(4-羟基苯基)-2-丙基)苯,5,5’-(1-甲基亚乙基)-二[1,1’-(二苯基)-2-醇]丙烷,1,1-二(4-羟基苯基)-3,3,5-三甲基-环己烷的二缩水甘油醚的树脂和上述任意的组合。
根据本文公开的主题,合适的热致酸生成物可以包括有机磺酸的烷基酯、有机磺酸的脂环族酯、有机磺酸的胺盐、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的苯偶姻酯、有机磺酸的β-羟基烷基酯、有机磺酸的β-羟基环烷基酯、有机磺酸的三芳基锍盐、有机磺酸的烷基二芳基锍盐、有机磺酸的二烷基芳基锍盐、有机磺酸的三烷基锍盐、有机磺酸的二芳基碘盐、有机磺酸的烷基芳基锍盐或三(有机磺酰基)甲基化物的铵盐。
盐包括阳离子和阴离子。盐的示例的阳离子包括三芳基锍,烷基二芳基锍,二烷基芳基锍,三烷基锍,二芳基碘,烷基芳基碘,二烷基碘,三芳基,烷基二芳基,二烷基芳基,三烷基。不受限制,盐中的阳离子的具体实例包括三苯基锍,三(对甲苯基)锍,1,4-亚苯基二(二苯基锍)(具有+2的电荷),二苯基碘和二(4-叔丁基苯基)碘
此外,不受限制,盐中的示例性阴离子包括卤化物,PF6 -,AsF6 -,SbF6 -,SbCl6 -和BF4 -。此外,不受限制,可以使用基于含氧酸的阴离子。其中有C1-C10全氟链烷磺酸盐如三氟甲烷磺酸盐,全氟丁烷磺酸盐和全氟辛烷磺酸盐,C1-C18直链、支链和脂环族链烷磺酸盐,如十二烷磺酸盐,甲烷磺酸盐和樟脑磺酸盐,C1-C18芳族和取代的芳族磺酸盐如甲苯磺酸盐和十二烷基苯磺酸盐,C1-C18氟化芳基磺酸盐,如三氟甲基苯磺酸盐,五氟苯磺酸盐等,C1-C18羧酸盐和卤化羧酸盐如苯甲酸盐,乙酸盐,氯乙酸盐,二氯乙酸盐,三氯乙酸盐,三氟乙酸盐,全氟戊酸盐,五氟丙酸盐,全氟辛酸盐,全氟苯甲酸盐等。此外,不受限制,合适的阴离子包括C1-C20三(链烷磺酰基)甲烷化物,三(氟链烷磺酰基)甲烷化物,(R3C-),二(链烷磺酰基)酰亚胺和二(氟链烷磺酰基)酰亚胺,(R2N-),如三(三氟甲基磺酰基)甲烷化物,二(三氟甲基磺酰基)酰亚胺等。此外,不受限制,含氧酸阴离子可以与聚合物结合以致自硬掩模材料而外的酸扩散可以被限制。其中有聚合物酸如聚(乙烯基磺酸盐),聚(苯乙烯-4-磺酸盐),聚(四氟乙烯-共-1,1,2,2-四氟-2-(1,2,2-三氟乙烯基氧基)乙烷磺酸盐),聚((甲基)丙烯酸)等。此外,磺酸化的和氟磺酸化的(甲基)丙烯酸单体可以结合到多种聚合物中。将理解,含氧酸阴离子可以包括其他元素如Se、P、As、Sb以形成硒酸盐、膦酸盐、砷酸盐、锑酸盐等。酯类的热致酸生成物可以包括,例如,任意上述含氧酸阴离子从而形成羧酸盐、磺酸盐、硒酸盐、膦酸盐、砷酸盐和锑酸盐。
此外,不受限制,酯类和类热致酸生成物在其自硬掩模组合物的其他组分吸收电磁辐射可以充当电子受体的波长可以被用作光致酸生成物。此外,可以使用三嗪类光致酸生成物。合适的卤化三嗪包括卤代甲基-均三嗪。合适的卤化三嗪包括例如,2-[1-(3,4-苯并间二氧杂环戊烯基)]-4,6-二(三氯甲基)-1,2,5-三嗪,2-[1-(2,3-苯并间二氧杂环戊烯基)]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[1-(3,4-苯并间二氧杂环戊烯基)]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[1-(2,3-苯并间二氧杂环戊烯基)]-4,6-二(三溴甲基)-1,3,5-三嗪,2-(2-糠基(furfyl)亚乙基)-4,6-二(三氯甲基)1,3,5-三嗪,2-[2-(5-甲基呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[2-(4-甲基呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[2-(3-甲基呋喃基)次乙基]-4,6-二-(三氯甲基)-1,3,5-三嗪,2-[2-(4,5-二甲基呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[2-(5-甲氧基呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[2-(4-甲氧基呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[2-(3-甲氧基呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-[2-(4,5-二甲氧基-呋喃基)亚乙基]-4,6-二(三氯甲基)-1,3,5-三嗪,2-(2-(2-糠基(furfyl)亚乙基)-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(5-甲基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(4-甲基呋喃基)-亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(3-甲基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(4,5-二甲氧基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(5-甲氧基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(4-甲氧基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(3-甲氧基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2-[2-(4,5-二甲氧基呋喃基)亚乙基]-4,6-二(三溴甲基)-1,3,5-三嗪,2,4,6-三-(三氯甲基)-1,3,5-三嗪,2,4,6-三-(三溴甲基)-1,3,5-三嗪,2-苯基-4,6-二(三氯甲基)-1,3,5-三嗪,2-苯基-4,6-二(三溴甲基)-1,3,5-三嗪,2-(4-甲氧基苯基)-4,6-二(三氯甲基)-1,3,5-三嗪,2-(4-甲氧基苯基)-4,6-二(三溴甲基)-1,3,5-三嗪,2-(2-(1-萘基)-4,6-二(三氯甲基)-1,3,5-三嗪,2-(1-萘基)-4,6-二(三溴甲基)-1,3,5-三嗪,2-(4-甲氧基-1-萘基)-4,6-二(三氯甲基)-1,3,5-三嗪,2-(4-甲氧基-1-萘基)-4,6-二(三溴甲基)-1,3,5-三嗪,2-(4-氯苯基)-4,6-二(三溴甲基)-1,3,5-三嗪,2-苯乙烯基-4,6-二(三氯甲基)-1,3,5-三嗪,2-苯乙烯基-4,6-二(三溴甲基)-1,3,5-三嗪,2-(4-甲氧基苯乙烯基)-4,6-二(三氯甲基)-1,3,5-三嗪,2-(4-甲氧基苯乙烯基)-4,6-二(三溴甲基)-1,3,5-三嗪,2-(3,4,5-三甲氧基苯乙烯基)-4,6-二(三氯甲基)-1,3,5-三嗪,2(3,4,5-三甲氧基苯乙烯基)-4,6-二(三氯甲基)-1,3,5-三嗪,2-(3-氯-1-苯基)-4,6-二(三氯甲基)-1,3,5-三嗪,2-(3-氯苯基)-4,6-二(三溴甲基)-1,3,5-三嗪等。可用于本发明的其他三嗪类光致酸生成物在通过引用结合于此的美国专利号5,366,846中公开。
均三嗪化合物是某些甲基-卤代甲基-均三嗪和某些醛或醛衍生物的缩合反应产物。这样的均三嗪化合物可以根据在美国专利号3,954,475和Wakabayashi等,Bulletin of Chemical Society of Japan,42,2924-30(1969)中公开的方法制备。
根据本文公开的主题,所要求保护的组合物中的总固体可以合适地包括1g/l至100g/l。根据本文公开的主题,所要求保护的组合物中的总固体还可以合适地包括2.5g/l至75g/l。根据本文公开的主题,所要求保护的组合物中的总固体还可以合适地包括5g/l至50g/l。
根据本文公开的主题,富勒烯载量可以合适地占组合物中总固体的10%至90%。根据本文公开的主题,交联剂的载量可以合适地占组合物中总固体的90%至10%。根据本文公开的主题,热致酸生成物的载量可以合适地占组合物中总固体的0%至40%。根据本文公开的主题,光致酸生成物可以合适地占组合物中总固体的0%至40%。固体组成的所有百分比都是按重量计。
其他材料可以存在于组合物中以增强薄膜形成特性。这些包括表面活性剂、润湿剂、流变改性剂、消泡剂等。
根据本文公开的主题,利用任何所述组合物形成的薄膜可以在足以导致涂覆的薄膜交联的温度被加热。热致酸生成物的存在可以降低交联发生的温度。示例的温度范围可以为80℃至350℃。另一个示例的温度范围可以为100℃至250℃。另一个示例的温度范围可以为120℃至160℃。
根据本文公开的主题,利用任何所述组合物形成的薄膜可以在足以导致涂覆的薄膜在加热期间、在加热前或在环境温度交联的照射剂量暴露于电磁辐射。光致酸生成物的存在可以降低交联发生的温度。示例的照射波长可以为190nm至520nm,这取决于光致酸生成物的灵敏度。另外的示例的照射波长可以为225nm至400nm,这取决于光致酸生成物的灵敏度。示例的照射剂量范围可以为0.1mJ/cm2-1000mJ/cm2。另一个示例的照射剂量范围可以为1mJ/cm2至500mJ/cm2。另一个示例的照射剂量范围可以为10mJ/cm2至100mJ/cm2
不受限制,涂覆可以合适地通过喷涂、刮板涂布、旋涂或其组合实现。关于旋涂,例如,旋涂速度可以合适地为100rpm至8000rpm。作为另一个实例,旋涂速度可以合适地为200rpm至2000rpm。作为另一个实例,旋涂速度可以为800rpm至1500rpm。旋涂时间可以合适地为10秒至150秒。通过任何以上方法涂覆的基板可以合适地在交联前被软烤(softbake)。合适的软烤温度可以为50℃至150℃。
以下实施例是说明性的并且不意在限制所附权利要求的范围。例如,各种基板、基板制备方法、蚀刻化学和条件或抗蚀剂类型和照射条件可以合适地被使用。
实施例1(基板制备)将硅(100)基板(Rockwood Electronic Materials,n-型)用于所有实验过程。使用Disco DAD321晶片切片机从晶片切割尺寸为2×2cm的正方形芯片。使用来自Riedel-de的半导体级化学品清洁样品。将样品在异丙醇(IPA)中超声洗涤15分钟,然后在去离子(DI)水(PuriteNeptune,18.2MΩcm)中冲洗达1分钟。然后通过以下方法制备氢封端表面(hydrogen terminated surface):将基板浸渍在H2SO4(95-98%):H2O2中达10分钟,在DI水中达1分钟并且在稀HF中达1分钟,之后再在DI水中冲洗数分钟,之后利用氮气干燥。在制备后将基板在真空下存储并且在2天内使用。
实施例2-4(样品制备)根据表1制备用于形成旋涂硬掩模的组合物。用于所有组合物的溶剂都是氯仿。交联剂是聚[(邻甲苯基缩水甘油基醚)-共-甲醛],其可获自Sigma Aldrich公司。热致酸生成物是二(叔丁基苯基)碘六氟磷酸酯,其由TCI Europe Ltd.提供。固体和所述溶剂被装填在瓶中并且被快速溶解。
硬掩模的薄膜通过以1000rpm的旋涂速度在实施例1的基板上进行旋涂达60秒来制备(对于实施例2的样品,旋涂速度为1000rpm;对于实施例3的样品,旋涂速度为1000rpm;并且对于实施例4的样品,旋涂速度为1000)。在旋涂后,将薄膜在高达330℃烘烤五分钟。在烘烤后,实施例2给出约300nm的薄膜厚度,实施例3给出约250nm的薄膜厚度,实施例4给出约350nm的薄膜厚度。
实施例5(溶解度测试)为了能够进一步加工,应当使得旋涂硬掩模不溶于用于抗蚀剂以及进一步的旋涂硬掩模层的典型溶剂。图2显示由实施例2和实施例3的制剂旋涂的、在一氯代苯(MCB)∶IPA 1∶1溶液中浸渍前后的归一化的薄膜厚度。对于高于190℃的温度,由实施例3的制剂旋涂的、具有热致酸生成物的薄膜变得不溶,而对于由不具有热致酸生成物的实施例2旋涂的薄膜,需要260℃的温度以实现同样的效果。
实施例6(生产蚀刻图像)由实施例2的制剂涂覆的硬掩模材料的薄膜通过以下方式制备:以1000rpm的旋涂速度在实施例1的基板上进行旋涂并且在300℃的温度烘烤达5分钟从而产生约300nm的厚度。
在制备300nm硬掩模薄膜后,通过利用250W RF功率以1x10-2mbar的氩气压力喷涂达2分钟来沉积40nm厚硅层。最后,将可获自DowElectronic Materials Company的电子束抗蚀剂SAL601tm旋涂在硅层之上。使用配有图形发生器(Raith Elphy Plus)的FEI XL30SFEG扫描电子显微镜使抗蚀剂图案化。图案化25nm线和间隔并且然后使用Oxford InstrumentsPlasmaPro NGP80Inductively Coupled Plasma(ICP)蚀刻系统将其蚀刻到硅薄膜中。使用真空润滑脂将硅基板连接至牺牲硅晶片以保证良好的热接触。将牺牲晶片机械地夹到下部的电极,所述电极配有氦背压(helium backsidepressure)以保证在蚀刻过程期间对样品的良好的热控制。使用20第二混合模式SF6/C4F8ICP蚀刻将图案转移到硅外涂层中。SF6流速为25sccm并且C4F8流速为30sccm。应用20W的RF功率和220W的ICP功率。
图案从硅到硬掩模的转移使用氧等离子体蚀刻完成。为了在蚀刻期间最小化碳的底切并且保持垂直的侧壁,保持1.5mT的室压。蚀刻持续时间为20秒,O2流速为15sccm。100W的RF功率和300W的ICP功率。
最后,利用另一种混合模式SF6/C4F8ICP蚀刻,使用:20sccm的SF6流速,30sccm的C4F8流速,RF功率20W,ICP功率220W将硬掩模图案转移到硅基板中。图3显示结果,其中获得约3.4的纵横比。
虽然已经关于具体实施例显示并描述了本发明,对于本发明所属技术领域中的技术人员来说明显的各种变化和改进在所附权利要求中所述的主题的精神、范围和预期内。

Claims (14)

1.一种用于形成旋涂硬掩模的组合物,所述组合物包含:
a.由以下通式表示的富勒烯衍生物,
其中n是1-6的整数,所述富勒烯中的碳原子的数目Q是60、70、76、78、80、82或84,R1表示包括酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第一取代基,并且R2表示包括氢、卤素、C6-C20芳基、C1-C20烷基、酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第二取代基;
b.交联剂,所述交联剂包含两个以上热反应性基团或催化反应性基团。
2.根据权利要求1所述的组合物,所述组合物还包含一种或多种热致酸生成物。
3.根据权利要求2所述的组合物,其中所述一种或多种热致酸生成物选自有机磺酸的烷基酯、有机磺酸的脂环族酯、有机磺酸的胺盐、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的苯偶姻酯、有机磺酸的β-羟基烷基酯、有机磺酸的β-羟基环烷基酯、有机磺酸的三芳基锍盐、有机磺酸的烷基二芳基锍盐、有机磺酸的二烷基芳基锍盐、有机磺酸的三烷基锍盐、有机磺酸的二芳基碘盐、有机磺酸的烷基芳基锍盐或三(有机磺酰基)甲基化物的铵盐。
4.根据权利要求2所述的组合物,所述组合物还包含一种或多种光致酸生成物。
5.根据权利要求4所述的组合物,其中所述一种或多种光致酸生成物选自卤化三嗪、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的三芳基锍盐、有机磺酸的烷基二芳基锍盐、有机磺酸的二烷基芳基锍盐、有机磺酸的二芳基碘盐、有机磺酸的烷基芳基锍盐、n-有机磺酰氧基二环[2.2.1]-庚-5-烯-2,3-二甲酰亚胺或1,3-二氧代异吲哚啉-2-基有机磺酸盐。
6.根据任一前述权利要求所述的组合物,其中所述交联剂选自环氧化酚醛树脂、环氧化甲酚树脂、环氧化双酚A树脂、环氧化双酚A酚醛清漆树脂、环氧化双酚树脂、烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或异氰酸酯树脂。
7.根据任一前述权利要求所述的组合物,其中所述旋涂硬掩模还包含选自以下各项的一种或多种溶剂:聚乙二醇一甲基醚乙酸酯、乳酸乙酯、茴香醚、甲苯、氯仿、氯苯、邻二氯苯、间二氯苯、对二氯苯、邻二甲苯、间二甲苯、对二甲苯、二硫化碳或其组合。
8.一种用于形成旋涂硬掩模的方法,所述方法包括:
a.提供组合物,所述组合物包含:
i.由以下通式表示的富勒烯衍生物,
其中n是1-6的整数,所述富勒烯中的碳原子的数目Q为60、70、76、78、80、82或84,R1表示包括酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第一取代基,并且R2表示包括氢、卤素、C6-C20芳基、C1-C20烷基、酯、醇、酚、胺、酰胺、酰亚胺或羧酸的第二取代基;和
ii交联剂,所述交联剂具有两个以上热反应性基团或催化反应性基团;
b.在基板上形成涂层;和
c.在足以交联所述涂层的温度加热所述基板和所述涂层。
9.根据权利要求8所述的方法,其中所述组合物还包含一种或多种热致酸生成物。
10.根据权利要求9所述的方法,其中所述一种或多种热致酸生成物选自有机磺酸的烷基酯、有机磺酸的脂环族酯、有机磺酸的胺盐、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的苯偶姻酯、有机磺酸的β-羟基烷基酯、有机磺酸的β-羟基环烷基酯、有机磺酸的三芳基锍盐、有机磺酸的烷基二芳基锍盐、有机磺酸的二烷基芳基锍盐、有机磺酸的三烷基锍盐、有机磺酸的二芳基碘盐、有机磺酸的烷基芳基锍盐或三(有机磺酰基)甲基化物的铵盐。
11.根据权利要求8所述的方法,其中所述组合物还包含一种或多种光致酸生成物。
12.根据权利要求11所述的方法,其中所述一种或多种光致酸生成物选自卤化三嗪、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的三芳基锍盐、有机磺酸的烷基二芳基锍盐、有机磺酸的二烷基芳基锍盐、有机磺酸的二芳基碘盐、有机磺酸的烷基芳基锍盐、n-有机磺酰氧基二环[2.2.1]-庚-5-烯-2,3-二甲酰亚胺或1,3-二氧代异吲哚啉-2-基有机磺酸盐。
13.根据权利要求8至12中任一项所述的方法,其中所述交联剂选自环氧化酚醛树脂、环氧化甲酚树脂、环氧化双酚A树脂、环氧化双酚A酚醛清漆树脂、环氧化双酚树脂、烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或异氰酸酯树脂。
14.根据权利要求8至13中任一项所述的方法,其中所述组合物还包含选自以下各项的一种或多种溶剂:聚乙二醇一甲基醚乙酸酯、乳酸乙酯、茴香醚、甲苯、氯仿、氯苯、邻二氯苯、间二氯苯、对二氯苯、邻二甲苯、间二甲苯、对二甲苯、二硫化碳或其组合。
CN201380008666.5A 2012-02-10 2013-02-11 旋涂硬掩模材料 Pending CN104159956A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261597710P 2012-02-10 2012-02-10
US61/597,710 2012-02-10
PCT/GB2013/050219 WO2013117908A1 (en) 2012-02-10 2013-01-31 Spin on hard-mask material

Publications (1)

Publication Number Publication Date
CN104159956A true CN104159956A (zh) 2014-11-19

Family

ID=47714454

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380008666.5A Pending CN104159956A (zh) 2012-02-10 2013-02-11 旋涂硬掩模材料

Country Status (6)

Country Link
US (1) US20150010703A1 (zh)
EP (1) EP2812391A1 (zh)
JP (1) JP6284887B2 (zh)
KR (1) KR20150028221A (zh)
CN (1) CN104159956A (zh)
WO (1) WO2013117908A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107207259A (zh) * 2014-10-08 2017-09-26 亚历克斯·菲利普·格雷厄姆·罗宾逊 旋涂硬掩膜材料
CN109562944A (zh) * 2016-05-25 2019-04-02 亚历克斯·菲利普·格雷厄姆·罗宾逊 硬掩模组合物
CN112119133A (zh) * 2018-02-25 2020-12-22 亚历克斯·P·G·罗宾逊 硬掩模组合物

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5895602B2 (ja) * 2012-03-01 2016-03-30 三菱商事株式会社 架橋性フラーレン組成物
JP6276565B2 (ja) * 2013-09-05 2018-02-07 国立大学法人大阪大学 フラーレン誘導体を含有する樹脂組成物およびその製造方法
KR102295525B1 (ko) * 2015-01-16 2021-08-30 삼성전자 주식회사 스핀 코팅용 하드 마스크 조성물
KR20160100172A (ko) * 2015-02-13 2016-08-23 부산대학교 산학협력단 용액 공정 가능한 탄소 동소체를 포함하는 하드 마스크 조성물, 이 조성물을 이용하여 하드마스크를 제조하는 방법 및 하드마스크
WO2016129927A1 (ko) * 2015-02-13 2016-08-18 부산대학교 산학협력단 용액 공정 가능한 탄소 동소체를 포함하는 하드 마스크 조성물, 이 조성물을 이용하여 하드마스크를 제조하는 방법 및 하드마스크
KR102308765B1 (ko) * 2015-03-11 2021-10-05 닛산 가가쿠 가부시키가이샤 레지스트 하층막의 형성방법
KR102515377B1 (ko) * 2015-12-24 2023-03-28 삼성전자주식회사 하드 마스크 조성물, 탄소 나노 튜브 막구조체, 패턴 형성 방법 및 반도체 장치 제조 방법
US11746255B2 (en) * 2016-05-25 2023-09-05 Irresistible Materials Ltd Hard-mask composition
KR20180058993A (ko) * 2016-11-25 2018-06-04 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102675775B1 (ko) * 2016-12-27 2024-06-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101563652A (zh) * 2006-11-20 2009-10-21 Jsr株式会社 抗蚀剂下层膜形成用组合物及图案形成方法
CN101641644A (zh) * 2007-04-06 2010-02-03 日产化学工业株式会社 形成抗蚀剂下层膜的组合物

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3954475A (en) 1971-09-03 1976-05-04 Minnesota Mining And Manufacturing Company Photosensitive elements containing chromophore-substituted vinyl-halomethyl-s-triazines
EP0537524A1 (en) * 1991-10-17 1993-04-21 Shipley Company Inc. Radiation sensitive compositions and methods
US5262280A (en) 1992-04-02 1993-11-16 Shipley Company Inc. Radiation sensitive compositions
JP3032833B2 (ja) * 1997-09-22 2000-04-17 ザ ユニバーシティ オブ バーミンガム 電子線レジスト
WO2005081061A1 (ja) * 2004-02-19 2005-09-01 Tokyo Ohka Kogyo Co., Ltd. フォトレジスト組成物およびレジストパターン形成方法
JP2005266798A (ja) * 2004-02-19 2005-09-29 Tokyo Ohka Kogyo Co Ltd フォトレジスト組成物およびレジストパターン形成方法
US7329709B2 (en) * 2004-06-02 2008-02-12 Konarka Technologies, Inc. Photoactive materials and related compounds, devices, and methods
GB0420702D0 (en) * 2004-09-17 2004-10-20 Univ Birmingham Use of methanofullerene derivatives as resist materials and method for forming a resist layer
KR20060090483A (ko) * 2005-02-07 2006-08-11 삼성코닝 주식회사 풀러렌을 포함하는 저유전 박막 형성용 조성물, 이를이용한 저유전 박막 및 저유전 박막의 제조방법
JP2008129423A (ja) * 2006-11-22 2008-06-05 Tokyo Ohka Kogyo Co Ltd 下層膜形成用材料
JP5566890B2 (ja) * 2008-08-22 2014-08-06 コニカミノルタ株式会社 有機光電変換素子、太陽電池及び光センサアレイ
JP5609882B2 (ja) * 2009-09-29 2014-10-22 Jsr株式会社 パターン形成方法及びレジスト下層膜形成用組成物
US9256126B2 (en) * 2012-11-14 2016-02-09 Irresistible Materials Ltd Methanofullerenes
US9323149B2 (en) * 2013-03-05 2016-04-26 Irresistible Materials Ltd Methanofullerenes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101563652A (zh) * 2006-11-20 2009-10-21 Jsr株式会社 抗蚀剂下层膜形成用组合物及图案形成方法
CN101641644A (zh) * 2007-04-06 2010-02-03 日产化学工业株式会社 形成抗蚀剂下层膜的组合物

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
FALCO C. M. J. M.等: "Hydrogen silsesquioxane/novolak bilayer resist for high aspect ratio nanoscale electron-beam lithography", 《J. VAC. SCI. TECHNOL. B》, vol. 8, no. 6, 31 December 2000 (2000-12-31), pages 3419 - 3423 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107207259A (zh) * 2014-10-08 2017-09-26 亚历克斯·菲利普·格雷厄姆·罗宾逊 旋涂硬掩膜材料
CN109562944A (zh) * 2016-05-25 2019-04-02 亚历克斯·菲利普·格雷厄姆·罗宾逊 硬掩模组合物
CN109562944B (zh) * 2016-05-25 2022-09-09 亚历克斯·菲利普·格雷厄姆·罗宾逊 硬掩模组合物
CN112119133A (zh) * 2018-02-25 2020-12-22 亚历克斯·P·G·罗宾逊 硬掩模组合物

Also Published As

Publication number Publication date
EP2812391A1 (en) 2014-12-17
US20150010703A1 (en) 2015-01-08
JP2015513786A (ja) 2015-05-14
WO2013117908A1 (en) 2013-08-15
JP6284887B2 (ja) 2018-02-28
KR20150028221A (ko) 2015-03-13

Similar Documents

Publication Publication Date Title
CN104159956A (zh) 旋涂硬掩模材料
KR101426980B1 (ko) 레지스트 하층막 형성용 조성물
CN110088072B (zh) 新型化合物、半导体材料、以及使用了其的膜以及半导体的制造方法
JP7007338B2 (ja) 芳香族下層
KR102514100B1 (ko) 스핀-온 하드마스크 재료
KR20100014499A (ko) 비닐 에테르 가교제를 이용한 반사방지 코팅
KR20170051429A (ko) 장치의 포토리소그래피 패터닝
JP7256065B2 (ja) ハードマスク形成用組成物及び電子部品の製造方法
KR20160058761A (ko) 지방족 다환구조를 포함하는 자기조직화막의 하층막 형성조성물
WO2007142209A1 (ja) パターン形成方法および高炭素含有樹脂組成物
JP7454618B2 (ja) フォトレジスト下層組成物
JP5457337B2 (ja) 閉じ込め層の製造方法
KR20210052259A (ko) 하드 마스크 형성용 조성물 및 전자 부품의 제조 방법
JP2021506996A (ja) エチニル誘導体コンポジット、それを含んでなる組成物、それによる塗膜の製造方法、およびその塗膜を含んでなる素子の製造方法
TW202328224A (zh) 光阻劑底層組成物
TW201840609A (zh) 感光性樹脂組成物
CN113906077A (zh) 抗蚀剂下层膜形成用组合物
TW201921121A (zh) 半導體微影膜形成組成物,以及阻劑圖型形成方法及裝置
TWI634174B (zh) 用於施體膜的光固化性樹脂組合物及施體膜
JP7386309B2 (ja) フォトレジスト下層組成物
KR20170085211A (ko) 막 형성용 조성물, 막, 패턴이 형성된 기판의 제조 방법 및 화합물
CN117447900A (zh) 用于光致抗蚀剂底层的涂料组合物
JP2024061788A (ja) オーバーコートフォトレジスト用のコーティングされた下層
JP2018091942A (ja) 平坦化膜形成組成物、これを用いた平坦化膜およびデバイスの製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20141119