CN109562944A - 硬掩模组合物 - Google Patents

硬掩模组合物 Download PDF

Info

Publication number
CN109562944A
CN109562944A CN201780032612.0A CN201780032612A CN109562944A CN 109562944 A CN109562944 A CN 109562944A CN 201780032612 A CN201780032612 A CN 201780032612A CN 109562944 A CN109562944 A CN 109562944A
Authority
CN
China
Prior art keywords
hard mask
group
resin
mask compositions
fullerene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780032612.0A
Other languages
English (en)
Other versions
CN109562944B (zh
Inventor
亚历克斯·菲利普·格雷厄姆·罗宾逊
托马斯·拉达
约翰·L·罗斯
艾伦·布朗
安德烈亚斯·弗罗姆霍尔德
爱德华·A·杰克逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN109562944A publication Critical patent/CN109562944A/zh
Application granted granted Critical
Publication of CN109562944B publication Critical patent/CN109562944B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/61Additives non-macromolecular inorganic
    • C09D7/62Additives non-macromolecular inorganic modified by treatment with other compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/24Crosslinking, e.g. vulcanising, of macromolecules
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/28Treatment by wave energy or particle radiation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/02Elements
    • C08K3/04Carbon
    • C08K3/045Fullerenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K9/00Use of pretreated ingredients
    • C08K9/04Ingredients treated with organic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C1/00Treatment of specific inorganic materials other than fibrous fillers; Preparation of carbon black
    • C09C1/44Carbon
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C3/00Treatment in general of inorganic materials, other than fibrous fillers, to enhance their pigmenting or filling properties
    • C09C3/10Treatment with macromolecular organic compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D163/00Coating compositions based on epoxy resins; Coating compositions based on derivatives of epoxy resins
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D167/00Coating compositions based on polyesters obtained by reactions forming a carboxylic ester link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D167/08Polyesters modified with higher fatty oils or their acids, or with natural resins or resin acids
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D175/00Coating compositions based on polyureas or polyurethanes; Coating compositions based on derivatives of such polymers
    • C09D175/02Polyureas
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • C09D4/06Organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond in combination with a macromolecular compound other than an unsaturated polymer of groups C09D159/00 - C09D187/00
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/20Diluents or solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2363/00Characterised by the use of epoxy resins; Derivatives of epoxy resins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文公开且要求保护的是用于形成旋涂硬掩模的组合物,该组合物具有富勒烯衍生物和交联剂。还公开了用于形成硬掩模的工艺。

Description

硬掩模组合物
发明领域
本专利申请是在用于半导体制造的成像的领域中并且更具体地是在使用旋涂(spin-on)硬掩模制剂的蚀刻掩模(etch masking)的领域中。
背景
半导体装置的最小特征尺寸继续缩小以使得能够增加装置密度。实现这样的高密度图案化的一种方法是使用薄的光致抗蚀剂膜以减轻诸如在显影后高纵横比抗蚀剂(resist)特征的图案塌陷(pattern collapse)的问题。该问题的一种可能的解决方案涉及使用高分辨率、高灵敏度和高蚀刻耐久性的富勒烯抗蚀剂。然而,即使由这样的抗蚀剂产生的纵横比可以高达5:1,总蚀刻深度也显著地受到可用的抗蚀剂厚度的限制。
多层硬掩模堆叠(multilayer hard-mask stack)可以允许进一步增加蚀刻的图像的纵横比。这样的方法可以使用通过化学气相沉积在真空中沉积的厚的无定形碳,所述无定形碳然后涂覆有薄的富含硅的层。然后,薄的光致抗蚀剂膜足以使富含硅的层图案化;因此避免图案塌陷。富含硅的层继而被用作硬掩模,以使碳图案化,给出适合于提供用于蚀刻硅晶片的掩模的高纵横比碳图案。通过从富含硅的材料至富含碳的材料交替,并且反之亦然,可以实现各种基底的总蚀刻选择性的优化。
近年来,亚甲基富勒烯材料(methanofullerene material)已经被用于硬掩模制剂中。例如,Fromhmold等人,在国际专利申请第WO1013/117908 A1中,描述了包含亚甲基富勒烯和交联剂的硬掩模材料,然而,继续存在对硬掩模材料的需求,相对于先前的制剂,该硬掩模材料当与抗蚀剂溶剂接触时表现出减少的溶胀和混合(comingling)、增加的碳含量、较低的抗蚀刻性和较高的热稳定性。这些改进通过本文描述的材料表现出。
附图简述
图1图示出了在用氯苯处理之后实施例1的制剂的膜厚度保留。
图2图示出了在用氯苯处理之后实施例2的制剂的膜厚度保留。
图3图示出了在用氯苯处理之后实施例3的制剂的膜厚度保留。
图4图示出了在用氯苯处理之后实施例4的制剂的膜厚度保留。
图5图示出了用实施例1的制剂制成的膜相对于几种已知材料的抗蚀刻性。
图6图示出了用实施例1和实施例2的制剂制成的膜相对于已知材料的抗蚀刻性。
图7图示出了来自本文描述的硬掩模的膜连同先前已知的材料的热重分析的示例性结果。
详细描述
如本文使用的,连接词“和”意图是包含性的,并且连接词“或”不意图是排除性的,除非上下文另有指示或要求。例如,措辞“或、可选择地”意图是排除性的。当在分子上的可选择的化学取代基的上下文中使用时,连接词“或”意图是排除性的。如本文使用的,冠词“一(a)”被理解为意指一个或更多个。如本文使用的,“脂环族”化合物是为脂肪族的和环状的两者的有机化合物或取代基。脂环族化合物可以包含一个或更多个全碳环,所述全碳环可以是饱和的或不饱和的,并且部分可以具有或可以不具有芳香族特性。脂环族化合物可以具有或可以不具有被附接的脂肪族侧链。如本文使用的,术语“示例性的”被用于指示实例并且不一定被用于指示优选物。如本文使用的,术语“外接环(exohedral ring)”被理解为在两个相邻的碳原子处被稠合至富勒烯的外部的环结构(参见(IX)中的结构)。外接环中的成员的数目包括富勒烯中的两个碳原子。如本文使用的,非桥接的环不包含在两个非相邻原子之间具有一个或更多个原子的桥,诸如例如,可以在降莰烷(norbornane)环中看到的在两个非相邻原子之间具有一个或更多个原子的桥。
本文公开的是硬掩模组合物,所述硬掩模组合物包含:一种或更多种具有一个或更多个外接环的富勒烯衍生物,所述富勒烯衍生物由通式(I)表示:
其中n是从1至12的整数,Q是具有60个、70个、76个、78个、80个、82个、84个、86个、90个、92个、94个或96个碳原子的富勒烯,P包括具有4个至6个成员的单个非桥接的环,或各自具有4个至12个成员的两个或更多个非桥接的稠环;并且还包含交联剂,所述交联剂包含两个或更多个热反应性基团或催化反应性基团,并且其中外接第一环P和第二环两者可以任选地包含至少一个杂原子。
本文公开的是硬掩模组合物,所述硬掩模组合物包含:(a)一种或更多种由通式(II)表示的富勒烯衍生物,
其中n是从1至12的整数,Q,富勒烯中的碳原子数,是60、70、76、78、80、82、84、86、90、92、94或96,R1、R2、R3和R4独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R5和R6一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基;和(b)交联剂,所述交联剂包含两个或更多个热反应性基团或催化反应性基团。
本文还公开的是上文描述的组合物,其中一种或更多种富勒烯衍生物由式(III)表示,
其中R7和R8独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R9和R10一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基。与仅上文的组合物一样,n是从1至6的整数,Q,富勒烯中的碳原子数,是60个、70个、76个、78个、80个、82个、84个、86个、90个、92个、94个或96个碳原子。
本文仍还公开的是硬掩模组合物,所述硬掩模组合物包含:(a)一种或更多种富勒烯衍生物,所述富勒烯衍生物作为在富勒烯和二烯之间的环加成反应的产物形成,二烯可以由二烯前体生成,其特征在于
其中(IV)是富勒烯,V表示二烯或其前体,n是从1至20的整数,Q,富勒烯中的碳原子数,是60、70、76、78、80、82、84、86、90、92、94或96,R1、R2、R3、和R4独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R5和R6一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基;和(b)交联剂,所述交联剂包含两个或更多个热反应性基团或催化反应性基团。
本文还公开的是组合物,其中富勒烯和二烯或二烯前体之间的环加成反应的特征在于
其中(VI)是富勒烯,(VII)表示二烯或其前体,R7和R8独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R9和R10一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基。与上文的组合物一样,n是从1至20的整数,Q,富勒烯中的碳原子数,是60、70、76、78、80、82、84、86、90、92、94或96。
基于本文公开的主题,各种实施方案将变得明显。预期公开的组合物和工艺可以有利地以任何合理的组合使用。例如,具有环氧树脂的制剂还可以包含氨基塑料交联剂,例如甲醇甲基甘脲树脂或甲醇甲基三聚氰胺树脂。此外,给出的制剂可以包含富勒烯上的取代和取代模式的分布,而不偏离权利要求的意图范围。此外,给出的制剂可以包含具有不同碳原子数的富勒烯上的取代和取代模式的分布,而不偏离权利要求的意图范围。例如,制剂可以包含各种富勒烯同素异形体,例如C60、C70、C76、C78、C84、C86、C90、C92、C94、C96和C98,它们中的每个可以被0至12个外接环取代。作为另一个实例,制剂可以包含多种外接被取代的和未被取代的富勒烯同素异形体,其中在取代之前富勒烯是C60、C70、C76、C78、C84、C86、C90、C92、C94和C96,并且富勒烯同素异形体中的至少一些被0至6个外接环取代基取代。作为另一个实例,制剂可以包含多种外接被取代的和未被取代的富勒烯同素异形体,其中在取代之前富勒烯是C60和C70,并且富勒烯同素异形体中的至少一些被1个至20个外接环取代基取代,并且富勒烯同素异形体中的一些是未被取代的。
通式(I)、通式(II)、通式(III)、通式(IV)和通式(VI)是当被取代时具有1个-20个取代基基团的被取代的或未被取代的富勒烯衍生物的表示(视情况而定)。富勒烯可以具有不同的同素异形体,包括但不限于C20、C28、C36、C50、C60、C70、C76、C78、C80、C82、C84、C86、C90、C92、C94、C96和C98,其中一些在(VII)中示出,指示笼结构但不键合。在一些情况下,不同的同素异形体可以具有相同的碳原子数。对于封闭的结构,欧拉的多面体式适用,V-E+F=2,其中V、E、F是顶点(碳原子)、边和面的数目。如果在富勒烯中存在12个五边形,则由此可见存在V/2-10个六边形。应理解,富勒烯衍生物包含具有本文描述的外接取代基的所有富勒烯同素异形体。
环加成反应通常随着一个不饱和实体与另一个不饱和实体的加成而发生,以给出环状产物,其中在大多数情况下,所有原子被保持在最终产物中。两个(或更多个)实体可以位于单个分子或多个分子上。不意图受理论束缚,据信加成反应可以通过假定电子密度的流动从一个实体的最高占据分子轨道(HOMO)到其他实体的最低未占分子轨道(LUMO)发生来解释。
根据本文公开的主题,富勒烯可以经由跨越双键的环加成反应被二烯或类似基团取代,以形成利用富勒烯上的两个或更多个原子的环结构。例如,在一个实施方案中,二烯或其杂类似物可以经由环加成反应跨越富勒烯上的双键来加成,以形成跨越一对顶点的桥,其中两个6元环相遇以形成所谓的[6,6]桥,如(IX)中所示(富勒烯上的后方的碳原子未示出)。R和R’是例如上文描述的那些的取代基。在另一个实施方案中,通过二烯基团或二烯前体基团在6元环和5元环之间的顶点中的[6,5]取代可以发生。用于此种类的环加成的合成技术可以在Hirsch,等人,"Fullerenes:Chemistry and Reactions,"WILEY-VCH VerlagGmbH and Co.,Weinheim,第4章,(2005),Diederich等人,Science,271,317,(1996),Filippone等人,"Exohedral Fullerenes,"Encyclopedia of Polymeric Nanomaterials,Springer-Verlag,Berlin,Heidelberg,第1-16页,(2014),和Yang等人,J.Org.Chem.,78,1163,(2013)中找到。
产物例如由(IX)表示的那些可以通过与二烯的[4+2]环加成反应或经由与二烯前体的环加成反应直接获得。例如,Yang等人,Id.在第1163页报告了根据以下反应,取决于以下条件在胺、膦及类似物的存在下形成的产物的共混物:
C60是富勒烯,(X)是二烯前体,(XI)是[4+2]环加成反应的产物,并且(XII)是[3+2]环加成反应的产物。不意图受理论束缚,据信两种产物经由不同的中间体获得。例如,经由单消除反应产生二烯中间体可以导致[4+2]环加成,其中二烯前体可以包含羟基基团,如(X)中所示,其被认为通过单消除反应例如脱卤化氢、脱水及类似反应而失去;因此形成二烯反应物。除了羟基基团之外,其他基团可以提供足够的反应性。这些基团,在本文中被表示为离去基团,可以包括但不限于羟基基团的羧酸酯、磺酸酯、膦酸酯、烷基、叔烷基和烷芳基羧酸酯、卤素、氰化物、异氰化物、氰酸酯、异氰酸酯、硫氰酸酯、异硫氰酸酯、次硫氰酸酯(hypothiocyanite)、硒氰酸酯、叠氮化物、三硝基甲烷化物和三氰基甲烷化物及类似物。
除了单消除反应之外,双消除反应或多消除反应可以用于生成稳定的或瞬时的二烯。例如,多种1,2-双(卤代甲基)化合物,例如1,2-双(溴甲基)苯、被取代的1,2-双(溴甲基)苯及类似物,可以通过与KI和相转移催化剂反应,随后通过与富勒烯的单个或多个狄尔斯-阿尔德反应(Diels-Alder reaction)反应,通常在[6,6]侧,但可能在[6,5]侧,来原位形成二烯,给出化合物例如(IX)。不同碳原子数的富勒烯经历类似的反应。相转移催化剂例如冠醚,例如1,4,7,10,13,16-六氧杂环十八烷(18冠-6),或季铵盐例如(bu)4NI可以用于促进反应。这样的反应在Taylor,"Lecture Notes On Fullerene Chemistry:A HandbookFor Chemists,"Imperial College Press,London,第177页ff.,(1999)和Langa,等人,"Fullerenes:Principles and Applications,"RSCPublishing,Cambridge,第21页ff.,(2007)中被描述。除了被取代的卤素之外,使用上文描述的其他离去基团中的一个或更多个可以存在优点。使用这样的技术,可以形成m,m+1-二亚甲基-m,m+1-二氢-W芳香族和杂芳香族化合物,其中m表示亚甲基基团的位置。W的实例包括但不限于苯、萘、噻吩、吡咯(1H和2H)、吡唑、三唑、噻二唑、噁二唑、咪唑、吡啶及类似物。可以以这种方式形成的示例性二烯包括但不限于2,3-二亚甲基-2,3-二氢苯、2,3-二亚甲基-2,3-二氢萘、2,3-二亚甲基-2,3-二氢菲、2,3-二亚甲基-2,3-二氢吡啶及类似物。
其他反应方案在形成有用的二烯方面可以是有用的。例如,1,4-二氢酞嗪可以用于通过热消除N2来形成2,3-二亚甲基-2,3-二氢苯。二烯前体的其他实例包括但不限于1,3-二氢-2-苯并噻吩2,2-二氧化物、1,4-二氢-2,3-苯并噁嗪(benzoxathiine)3-氧化物。后两种前体通过消除作为气体或新生气体的SO2形成2,3-二亚甲基-2,3-二氢苯。可以以这种方式形成的示例性二烯包括但不限于2,3-二亚甲基-2,3-二氢苯、2,3-二亚甲基-2,3-二氢萘、2,3-二亚甲基-2,3-二氢菲、2,3-二亚甲基-2,3-二氢吡啶及类似物。这样的反应在Chung,等人,J.Chem.Soc,Chem Commun.,(1995),2537.,和Beer,等人,J.Mater.Chem.,(1997),7,1327中被描述。使用这样的技术,可以形成m,m+1-二亚甲基-m,m+1-二氢-W芳香族化合物和杂芳香族化合物,其中m表示亚甲基基团的位置。W的实例包括但不限于苯、萘、噻吩、吡咯(1H和2H)、吡唑、三唑、噻二唑、噁二唑、咪唑、吡啶及类似物。可以以这种方式形成的示例性二烯包括但不限于2,3-二亚甲基-2,3-二氢苯、2,3-二亚甲基-2,3-二氢萘、2,3-二亚甲基-2,3-二氢菲、2,3-二亚甲基-2,3-二氢吡啶及类似物。
除了与二烯的环加成反应,通常[4+2]环加成反应之外,[3+2]环加成反应可以经由叶立德中间体和偶极化合物使用磷族元素化合物,例如二叠氮化物、甲亚胺、硝酮、胺、膦、砷化氢、锑化氢,及其等电子或电子等效的类似物产生单取代的和多取代的热产物。这样的反应可以产生5元同环状环和杂环状环。用于形成叶立德的示例性化合物包括但不限于芳基膦、三正烷基膦、三异烷基膦、包含混合取代基例如芳基-二-异烷基膦的膦及类似物。这样的反应在本领域是已知的。细节可以在Prato等人,J.Am.Chem.Soc,(1993),115,1594,Yang,等人,Id.在1163,ff.,Coldham等人,Chem.Rev.,(2005),105,2765中找到。
与烯烃的光化学[2+2]环加成反应还可以进行,导致在富勒烯的[6,6]位置以及可能的[6,5]位置处的单取代的和多取代的四元环。各种各样的溶剂可以用于进行环加成反应,条件是它们被脱气以最小化与环境氧气的反应。溶剂或共溶剂例如丙酮作为三重态敏化剂可以是有用的。反应温度可以影响区域选择性和立体选择性。通常有益的是选择激发波长,使得产物不会显著吸收。合适的烯烃包括烯酮、乙烯、被取代的乙烯、丙烯酸酯、甲基丙烯酸酯及类似物。
应注意的是,当n>1时,环加成反应可以产生在富勒烯上具有多种取代的产物,具有各种n值,并且对于每个n值具有多种不同的取代模式。这样的材料的共混物不偏离本发明的范围,并且可以提供增强的溶解度和降低的结晶倾向的优点。
根据本文公开的主题,交联剂可以选自环氧酚酚醛清漆树脂、环氧甲酚酚醛清漆树脂、环氧双酚A树脂、环氧双酚酚醛清漆树脂、烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或异氰酸酯(醇酸)树脂。
合适的基于胺的交联剂包括由Cytec of West Paterson,N.J.制造的三聚氰胺,例如CYMELTM300、CYMELTM301、CYMELTM303、CYMELTM350、CYMELTM370、CYMELTM380、CYMELTM1116和CYMELTM1130;苯并胍胺树脂,例如CYMELTM1123和CYMELTM1125;甘脲树脂CYMELTM1170、CYMELTM1171和CYMELTM1172;以及还可购自Cytec,West Paterson,N.J.的基于脲的树脂,BEETLETM60、BEETLETM65和BEETLETM80。大量类似的基于胺的化合物或酰氨基塑料(amidoplast)化合物可商购自不同的供应商。环氧酚和甲酚酚醛清漆树脂在(IV)中被示出,其中X可以是H、CH3并且n可以是0-20。环氧双酚A树脂在理想化的结构(V)中被示出,其中n可以是0-20。环氧双酚Z树脂在理想化的结构(VI)中被示出,其中n可以是0-20。类似的“环氧双酚”交联剂被预期。例如,可以使用基于以下的二缩水甘油醚的树脂:1,1-双(4-羟基苯基)-1-苯基-乙烷、2,2-双(4-羟基苯基)六氟丙烷、2,2-双(4-羟基苯基)丁烷、双-(4-羟基苯基)二苯基甲烷、2,2-双(3-甲基-4-羟基苯基)丙烷、双-(4-羟基苯基)-2,2-二氯乙烯、1,1-双(4-羟基苯基)乙烷、双(4-羟基二苯基)甲烷、2,2-双(4-羟基-3-异丙基-苯基)丙烷、1,3-双(2-(4-羟基苯基)-2-丙基)苯、双(4-羟基苯基)砜、1,4-双(2-(4-羟基苯基)-2-丙基)苯、5,5’-(1-甲基亚乙基)-双[1,1’-(联苯基)-2-醇]丙烷、1,1-双(4-羟基苯基)-3,3,5-三甲基-环己烷、4,4'-(9H-芴-9,9-二基)二苯胺以及与前述中的任一种的组合。
根据本文公开的主题,合适的热致酸产生剂(thermal acid generator)可以包括有机磺酸的烷基酯、有机磺酸的脂环族酯、有机磺酸的胺盐、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的安息香酯、有机磺酸的β-羟基烷基酯、有机磺酸的β-羟基环烷基酯、有机磺酸的三芳基硫鎓盐(sulfonium salt)、有机磺酸的烷基二芳基硫鎓盐、有机磺酸的二烷基芳基硫鎓盐、有机磺酸的三烷基硫鎓盐、有机磺酸的二芳基碘鎓盐、有机磺酸的烷基芳基硫鎓盐、或三(有机磺酰基)甲基化物的铵盐。
鎓盐包含阳离子和阴离子。鎓盐的示例性阳离子包括三芳基硫鎓、烷基二芳基硫鎓(sulfonium)、二烷基芳基硫鎓、三烷基硫鎓、二芳基碘鎓、烷基芳基碘鎓、二烷基碘鎓、三芳基硒鎓(triaryl selenonium)、烷基二芳基硒鎓、二烷基芳基硒鎓、三烷基硒鎓。在没有限制的情况下,鎓盐中的阳离子的具体实例包括三苯基硫鎓、三(对甲苯基)硫鎓、1,4-亚苯基双(二苯基硫鎓)(具有+2的电荷)、二苯基碘鎓和双(4-叔丁基苯基)碘鎓。
此外,在没有限制的情况下,鎓盐中的示例性阴离子包括卤化物、PF6-、AsF6-、SbF6-、SbCl6-和BF4-。此外,在没有限制的情况下,可以使用基于氧代酸的阴离子。在这些阴离子中的是C1-C10全氟烷烃磺酸根(C1-C10perfluoroalkane sulfonate),例如三氟甲烷磺酸根、全氟丁烷磺酸根和全氟辛烷磺酸根;C1-C18线性的、支链的和脂环族烷烃磺酸根,例如十二烷磺酸根、甲烷磺酸根和樟脑磺酸根;C1-C18芳香族的且被取代的芳香族磺酸根,例如甲苯磺酸根和十二烷基苯磺酸根;C1-C18氟化的芳基磺酸根,例如三氟甲基苯磺酸根、五氟苯磺酸根及类似物;C1-C18羧酸根和卤代的羧酸根,例如苯甲酸根、乙酸根、氯乙酸根、二氯乙酸根、三氯乙酸根、三氟乙酸根、全氟戊酸根、五氟丙酸根、全氟辛酸根、全氟苯甲酸根及类似物。此外,在没有限制的情况下,合适的阴离子包括C1-C20三(烷烃磺酰基)甲烷化物、三(氟烷烃磺酰基)甲烷化物、(R3C-)、双(烷烃磺酰基)酰亚胺以及双(氟烷烃磺酰基)酰亚胺、(R2N-)、例如三(三氟甲基磺酰基)甲烷化物、双(三氟甲基磺酰基)酰亚胺及类似物。此外,在没有限制的情况下,氧代酸阴离子可以被结合至聚合物,使得从硬掩模材料出来的酸扩散可以被限制。在这些中的是聚合物酸,例如聚(乙烯基磺酸盐)、聚(苯乙烯-4-磺酸盐)、聚(四氟乙烯-共-1,1,2,2-四氟-2-(1,2,2-三氟乙烯基氧基)乙烷磺酸盐、聚((甲基)丙烯酸)及类似物。此外,磺化的和氟磺化的(甲基)丙烯酸单体可以被并入到多种聚合物中。将理解,氧代酸阴离子可以包含其他元素例如Se、P、As、Sb以形成硒酸盐、磷酸盐、砷酸盐、锑酸盐及类似物。酯型的热致酸产生剂可以包括例如前述氧代酸阴离子中的任一种以形成羧酸酯、磺酸酯、硒酸酯、磷酸酯、砷酸酯和锑酸酯。
此外,在没有限制的情况下,酯型和鎓型热致酸产生剂可以被用作在其中光酸产生剂(photoacid generator)吸收可以充当来自硬掩模组合物的其他组分的电子接受体的电磁辐射的波长下的光酸产生剂。此外,可以使用三嗪型光酸产生剂。合适的卤代三嗪包括卤代甲基-s-三嗪。合适的卤代三嗪包括,例如,2-[1-(3,4-苯并间二氧杂环戊烯基)]-4,6-双(三氯甲基)-1,2,5-三嗪、2-[1-(2,3-苯并间二氧杂环戊烯基)]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[1-(3,4-苯并间二氧杂环戊烯基)]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[1-(2,3-苯并间二氧杂环戊烯基)]-4,6-双(三溴甲基)-1,3,5-三嗪、2-(2-呋喃基亚乙基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(5-甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4-甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(3-甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4,5-二甲基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(5-甲氧基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4-甲氧基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(3-甲氧基呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-[2-(4,5-二甲氧基-呋喃基)亚乙基]-4,6-双(三氯甲基)-1,3,5-三嗪、2-(2-(2-呋喃基亚乙基)-4,6-双(三溴甲基)1,3,5-三嗪、2-[2-(5-甲基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4-甲基呋喃基)-亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(3-甲基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4,5-二甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(5-甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4-甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(3-甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2-[2-(4,5-二甲氧基呋喃基)亚乙基]-4,6-双(三溴甲基)-1,3,5-三嗪、2,4,6-三-(三氯甲基)-1,3,5-三嗪、2,4,6-三-(三溴甲基)-1,3,5-三嗪、2-苯基-4,6-双(三氯甲基)-1,3,5-三嗪、2-苯基-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-甲氧基苯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(4-甲氧基苯基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(2-(1-萘基)-4,6-双(三氯甲基)-1,3-5-三嗪、2-(1-萘基)-4,6-双(三溴甲基)1,3,5-三嗪、2-(4-甲氧基-1-萘基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(4-甲氧基-1-萘基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-氯苯基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-苯乙烯基-4,6-双(三氯甲基)-1,3,5-三嗪、2-苯乙烯基-4,6-双(三溴甲基)-1,3,5-三嗪、2-(4-甲氧基苯乙烯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(4-甲氧基苯乙烯基)-4,6-双(三溴甲基)-1,3,5-三嗪、2-(3,4,5-三甲氧基苯乙烯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2(3,4,5-三甲氧基苯乙烯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(3-氯-1-苯基)-4,6-双(三氯甲基)-1,3,5-三嗪、2-(3-氯苯基)-4,6-双(三溴甲基)-1,3,5-三嗪及类似物。在本发明中有用的其他三嗪型光酸产生剂在美国专利第5,366,846号中被公开,其通过引用并入本文。
s-三嗪化合物是某些甲基-卤代甲基-s-三嗪和某些醛或醛衍生物的缩合反应产物。这样的s-三嗪化合物可以根据在美国专利第3,954,475号和Wakabayashi等人,Bulletin of the Chemical Society of Japan,42,2924-30(1969)中公开的程序来制备。
根据本文公开的主题,在要求保护的组合物中的总固体可以合适地包含1g/l至800g/l。根据本文公开的主题,在要求保护的组合物中的总固体还可以合适地包含2.5g/l至500g/l。根据本文公开的主题,在要求保护的组合物中的总固体仍还可以合适地包含5g/l至100g/l。
根据本文公开的主题,富勒烯的负载量(fullerene loading)可以合适地占组合物中的总固体的10%至90%。根据本文公开的主题,交联剂的负载量可以合适地占组合物中的总固体的90%至10%。根据本文公开的主题,热致酸产生剂的负载可以合适地占组合物中的总固体的0%至40%。根据本文公开的主题,光酸产生剂可以合适地占组合物中的总固体的0%至40%。固体组合物的所有百分比按重量计。
其他材料可以存在于组合物中以增强膜形成特性。这些包括表面活性剂、湿润剂、流变学改性剂、消泡剂及类似物。
根据本文公开的主题,用描述的组合物中的任一种形成的膜可以在足以引起涂覆的膜的交联的温度被加热。热致酸产生剂的存在可以降低交联发生的温度。示例性的温度范围可以是从80℃至350℃。另一个示例性的温度范围可以是从100℃至250℃。仍另一个示例性的温度范围可以是从120℃至160℃。
根据本文公开的主题,用描述的组合物中的任一种形成的膜可以以足以在加热期间、在加热之前或在环境温度引起涂覆的膜的交联的暴露剂量(exposure dose)被暴露于电磁辐射。光酸产生剂的存在可以降低交联发生的温度。示例性的暴露波长可以是190nm至520nm,取决于光酸产生剂的灵敏度。另外的示例性暴露波长可以是225nm至400nm,取决于光酸产生剂的灵敏度。示例性的暴露剂量范围可以是从0.1mJ/cm2-1000mJ/cm2。另一种示例性的暴露剂量范围可以是1mJ/cm2至500mJ/cm2。仍另一种示例性的暴露剂量范围可以是10mJ/cm2至100mJ/cm2
在没有限制的情况下,涂覆可以通过喷涂、刮涂、旋涂或其组合来合适地完成。关于旋涂,例如,旋转速度可以合适地在从100rpm至8000rpm的范围内。作为另外的实例,旋转速度可以合适地在从200rpm至5000rpm的范围内。作为仍另外的实例,旋转速度可以在从800rpm至2000rpm的范围内。旋转时间可以合适地在从10秒至150秒的范围内。通过上文方法中的任一种涂覆的基底可以在交联之前合适地被软性烘烤(softbake)。合适的软性烘烤温度可以在从50℃至150℃的范围内。
以下实施例是说明性的并且不意图限制所附权利要求的范围。例如,可以合适地使用各种基底、基底制备的方法、蚀刻化学和条件或抗蚀剂类型和暴露条件。
实施例
基底制备:将硅(100)基底(Si-Mat Silicon Materials,n型)用于所有实验程序。晶片按供应的使用,无需另外的清洁或表面处理。
实施例1-实施例4:实施例1-实施例4的组合物的细节在表1中示出。双-邻-QDM C60(实施例1和实施例2)表示二取代的C60富勒烯,其具有两个经由[4+2]环加成在其上被取代的外接邻醌二甲烷(5,6-二亚甲基环己-1,3-二烯)基团。混合的富勒烯材料(实施例3和实施例4)采用富勒烯的共混物,该共混物包含C60、C70,以及可能的C76、C78、C80、C82、C84、C86、C90、C92、C94、C96或C98中的一种或更多种,其中一个或更多个邻-QDM单元已经通过[4+2]环加成被取代到其上。这样的制剂也被表示为“混合的多”系统。交联剂,聚[(邻甲酚基缩水甘油醚)-共-甲醛],可购自Huntsman International LLC of Derry,NH。在典型的制剂练习中,允许固体溶解在列出的溶剂中。在使用之前,可以将产生的溶液通过0.01μm-1.0μm过滤器过滤到洁净的玻璃或塑料容器中。此外,可以将产生的溶液通过0.02μm-0.2μm过滤器过滤到如上文的容器中。过滤器可以是绝对类型或标称类型或其组合。过滤器可以包括孔、通道、渗透路径、或其等效物或组合。容器可以是无金属离子材料或被设计成限制污染物离子扩散到溶液中的材料。
硬掩模的膜以1000rpm的旋转速度通过旋涂在上文描述的基底上持续60秒来制备。在旋涂之后,将膜在350℃的设定点烘烤持续5分钟,除非另外注明。产生的膜厚度如上文列出的。厚度使用Horiba Scientific UVISEL-1光谱椭偏仪来测量。
为了使得能够进一步加工,应当致使旋涂硬掩模不溶于典型的光致抗蚀剂溶剂,以避免抗蚀剂和硬掩模层的混合。图1-图4图示出了在用氯苯溶剂洗涤持续1min之后作为固化温度的函数的保留厚度。
图1图示出了在用氯苯处理之后实施例1的制剂的膜厚度保留。固化在235℃、250℃、265℃和280℃发生持续5min,如所示的。如可以看到的,对于在235℃烘烤的样品,膜实际上是溶解的。当在250℃及以上的设定点温度烘烤时,膜表现出低溶解度。
图2图示出了在用氯苯处理之后实施例2的制剂的膜厚度保留。固化在250℃、275℃、300℃和325℃发生持续5min,如所示的。如可以看到的,当在250℃及以上的设定点温度烘烤时,膜表现出低溶解度。
图3图示出了在用氯苯处理之后实施例3的制剂的膜厚度保留。固化在250℃、275℃、300℃、325℃、350℃和370℃发生持续5min,如所示的。如可以看到的,当在250℃及以上的设定点温度烘烤时,膜表现出低溶解度。
图4图示出了在用氯苯处理之后实施例4的制剂的膜厚度保留。固化在275℃、300℃、325℃和350℃发生持续5min,如所示的。如可以看到的,当在275℃及以上的设定点温度烘烤时,膜表现出低溶解度。
反应性离子蚀刻结果。然后未图案化的膜使用Oxford Instruments PlasmaProNGP80电感耦合等离子体(ICP)系统毯式蚀刻。将硅基底晶片机械地夹到装配有氦背压(backside pressure)的较低的电极,以确保在蚀刻工艺期间良好地热控制样品。膜使用典型的硅等离子体蚀刻条件蚀刻,(a)混合模式SF6/C4F8等离子体蚀刻条件如下:20sccm的SF6流速、30sccm的C4F8流速、20W的RF功率和220W的ICP功率;15毫托室压;10托氦背压;和阶段温度5℃;以及(b)混合模式SF6/CHF3等离子体条件如下:15sccm的SF6流速、50sccm的CHF3流速、20W的RF功率和200W的ICP功率;20毫托室压;10托氦背压和阶段温度5℃。
图5示出了用实施例1的制剂制成的膜相对于SAL 601TM的标准化蚀刻速率,SAL601TM是可购自Dow Electronic Materials公司的标准电子束抗蚀剂。为了比较,还分别示出的是基于具有聚[(邻甲酚基缩水甘油醚)-共-甲醛]和4,4,2-(9亚芴基)二苯胺的亚甲基富勒烯化学品的IM-HM-120和IM-HM-220,可购自Irresistible Materials公司。如可以看到的,实施例1的制剂在测试的四个样品中表现出最低的蚀刻速率。
图6图示出了使用采用实施例1和实施例2的制剂制成的膜的类似的蚀刻实验的结果,相对于EVI-HM140-350标准化,EVI-HM140-350是一种混合的富勒烯多取代的亚甲基富勒烯,具有作为交联剂的聚[(邻甲酚基缩水甘油醚)-共-甲醛],可购自IrresistibleMaterials公司。当前应用的材料示出了比由先前的材料表现出的抗蚀刻性显著更低的抗蚀刻性。此外,用实施例2制成的膜的较高的富勒烯含量还有助于抗蚀刻性。
图7图示出了用实施例1和实施例4的制剂以及可购自Irresistible Materials公司的EVI-HM140-350制成的膜的热重分析的示例性结果。测量在Navas Instruments TGA-1000热重分析仪上使用10℃/min的温度斜坡速率进行。在分析之前,将膜在300℃烘烤持续5min。如图7中所示,相比于IM-HM 140-350,用实施例1和实施例4的制剂制成的膜表现出改进的温度性能。
虽然已经参考特定实例示出和描述了本发明,但是对于本发明所属领域的技术人员来说明显的各种改变和修改被认为在所附权利要求中阐述的主题的精神、范围和预期之内。

Claims (20)

1.一种硬掩模组合物,所述硬掩模组合物包含:一种或更多种具有一个或更多个外接环的富勒烯衍生物,所述富勒烯衍生物由以下通式表示:
其中n是从1至12的整数,Q是具有60个、70个、76个、78个、80个、82个、84个、86个、90个、92个、94个或96个碳原子的富勒烯,P包括具有4个至6个成员的单个非桥接的环,或各自具有4个至12个成员的两个或更多个非桥接的稠环;并且还包含交联剂,所述交联剂包含两个或更多个热反应性基团或催化反应性基团,并且其中外接第一环P和第二环两者可以任选地包含至少一个杂原子。
2.如权利要求1所述的硬掩模组合物,其中具有一个或更多个外接环的所述富勒烯衍生物由以下通式表示:
其中R1、R2、R3和R4独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R5和R6一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基。
3.如权利要求2所述的硬掩模组合物,其中所述交联剂选自4,4'-(9H-芴-9,9-二基)二苯胺、环氧酚酚醛清漆树脂、环氧甲酚酚醛清漆树脂、环氧双酚A树脂、环氧双酚A酚醛清漆树脂、环氧双酚C树脂、烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或醇酸树脂。
4.如权利要求2所述硬掩模组合物,还包含一种或更多种热致酸产生剂,其中所述一种或更多种热致酸产生剂选自有机磺酸的烷基酯、有机磺酸的脂环族酯、有机磺酸的胺盐、有机磺酸的2-硝基苄酯、有机磺酸的4-硝基苄酯、有机磺酸的安息香酯、有机磺酸的β-羟基烷基酯、有机磺酸的β-羟基环烷基酯、有机磺酸的三芳基硫鎓盐、有机磺酸的烷基二芳基硫鎓盐、有机磺酸的二烷基芳基硫鎓盐、有机磺酸的三烷基硫鎓盐、有机磺酸的二芳基碘鎓盐、有机磺酸的烷基芳基硫鎓盐、或三(有机磺酰基)甲基化物的铵盐。
5.如权利要求2所述的硬掩模组合物,其中所述一种或更多种具有一个或更多个外接环的富勒烯衍生物由下式表示
其中R7和R8独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R9和R10一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基。
6.如权利要求5所述的硬掩模组合物,其中R1、R2、R3、R4、R7、R8、R9和R10各自表示氢原子。
7.如权利要求6所述的硬掩模组合物,其中所述一种或更多种富勒烯衍生物包括共混物,所述共混物包含其中Q=60和Q=70并且n=2至8的物质。
8.如权利要求2所述的硬掩模组合物,其中所述一种或更多种富勒烯衍生物包括共混物,所述共混物包含其中Q=60和Q=70并且n=2至8的物质。
9.如权利要求6所述的硬掩模组合物,其中所述交联剂包括聚[(邻甲酚基缩水甘油醚)-共-甲醛]。
10.如权利要求2所述的硬掩模组合物,还包含一种或更多种选自以下的溶剂:聚乙二醇单甲醚乙酸酯、乳酸乙酯、茴香醚、环己酮、甲苯、氯仿、氯苯、邻二氯苯、间二氯苯、对二氯苯、邻二甲苯、间二甲苯、对二甲苯、二硫化碳、1-氯萘、1-甲基萘、1,2,4-三甲基苯、四氢化萘、1,2,3-三溴丙烷、溴仿、枯烯、苯、四氯化碳、氯仿、正己烷、环己烷、四氢呋喃、乙腈、甲醇、水、戊烷、庚烷、辛烷、异辛烷、癸烷、十二烷、十四烷、丙酮、异丙醇、二噁烷、均三甲苯、二氯甲烷、或包含前述中的任一种的混合物。
11.一种硬掩模组合物,所述硬掩模组合物包含:
a.一种或更多种富勒烯衍生物,所述一种或更多种富勒烯衍生物作为在富勒烯和二烯或二烯前体之间的环加成反应的产物形成,
其特征在于
其中V是富勒烯,VI表示二烯或其前体,n是从1至12的整数,Q,所述富勒烯中的碳原子数,是60、70、76、78、80、82或84,R1、R2、R3和R4独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R5和R6一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基;和
b.交联剂,所述交联剂包含两个或更多个热反应性基团或催化反应性基团。
12.如权利要求11所述的硬掩模组合物,其中所述环加成反应是[4+2]环加成反应。
13.如权利要求11所述的硬掩模组合物,其中在所述富勒烯和二烯或二烯前体之间的所述环加成反应的特征在于
其中R7和R8独立地表示包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基,R9和R10一起包含非桥接的环结构,或者可选择地,独立地包含包括酯、醇、酚、胺、酰胺、酰亚胺、或羧酸、氢、卤素、C6-C20芳基基团、C1-C20烷基基团或C1-C20碱性基团的取代基。
14.如权利要求13所述的硬掩模组合物,其中R1、R2、R3、R4、R7、R8、R9和R10各自表示氢原子。
15.如权利要求14所述的硬掩模组合物,其中所述一种或更多种富勒烯衍生物包括共混物,所述共混物包含其中Q=60和Q=70并且n=2至8的物质。
16.如权利要求13所述的硬掩模组合物,其中所述一种或更多种富勒烯衍生物包括共混物,所述共混物包含其中Q=60和Q=70并且n=2至8的物质。
17.如权利要求13所述的硬掩模组合物,其中所述交联剂选自4,4'-(9H-芴-9,9-二基)二苯胺、环氧酚酚醛清漆树脂、环氧甲酚酚醛清漆树脂、环氧双酚A树脂、环氧双酚A酚醛清漆树脂、环氧双酚C树脂、烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或醇酸树脂。
18.如权利要求11所述的硬掩模组合物,其中所述交联剂选自4,4'-(9H-芴-9,9-二基)二苯胺、环氧酚酚醛清漆树脂、环氧甲酚酚醛清漆树脂、环氧双酚A树脂、环氧双酚A酚醛清漆树脂、环氧双酚C树脂、烷醇甲基三聚氰胺树脂、烷醇甲基甘脲树脂、烷醇甲基胍胺树脂、烷醇甲基苯并胍胺树脂、糖基脲树脂或醇酸树脂。
19.如权利要求17所述的硬掩模组合物,其中所述交联剂包括聚[(邻甲酚基缩水甘油醚)-共-甲醛]。
20.如权利要求18所述的硬掩模组合物,其中所述交联剂包括聚[(邻甲酚基缩水甘油醚)-共-甲醛]。
CN201780032612.0A 2016-05-25 2017-05-22 硬掩模组合物 Active CN109562944B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/164,801 US10438808B2 (en) 2016-05-25 2016-05-25 Hard-mask composition
US15/164,801 2016-05-25
PCT/US2017/033802 WO2017205272A1 (en) 2016-05-25 2017-05-22 Hard-mask composition

Publications (2)

Publication Number Publication Date
CN109562944A true CN109562944A (zh) 2019-04-02
CN109562944B CN109562944B (zh) 2022-09-09

Family

ID=60411595

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780032612.0A Active CN109562944B (zh) 2016-05-25 2017-05-22 硬掩模组合物

Country Status (6)

Country Link
US (1) US10438808B2 (zh)
JP (1) JP7055106B2 (zh)
KR (1) KR102485417B1 (zh)
CN (1) CN109562944B (zh)
TW (1) TWI759303B (zh)
WO (1) WO2017205272A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112015049A (zh) * 2019-05-31 2020-12-01 罗门哈斯电子材料有限责任公司 抗蚀剂底层组合物及使用此类组合物的图案形成方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019173074A2 (en) * 2018-02-25 2019-09-12 Robinson Alex P G Hard mask composition

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763719A (en) * 1993-01-20 1998-06-09 Hoechst Ag Thermally stable fullerene derivatives and process for producing the same
WO2003029137A2 (en) * 2001-10-01 2003-04-10 Tda Research, Inc. Derivatization and solubilization of insoluble classes of fullerenes
CN101529610A (zh) * 2006-06-13 2009-09-09 普雷克托尼克斯公司 包含富勒烯及其衍生物的有机光伏器件
US20090240042A1 (en) * 2002-09-17 2009-09-24 Dorn Harry C Endohedral metallofullerene derivatives
CN101563652A (zh) * 2006-11-20 2009-10-21 Jsr株式会社 抗蚀剂下层膜形成用组合物及图案形成方法
CN101641644A (zh) * 2007-04-06 2010-02-03 日产化学工业株式会社 形成抗蚀剂下层膜的组合物
JP2011238847A (ja) * 2010-05-12 2011-11-24 Mitsubishi Chemicals Corp 光電変換素子
EP2392555A2 (en) * 2009-01-29 2011-12-07 Korea Research Institute of Chemical Technology (KRICT) Fullerene derivatives and organic electronic device comprising the same
TWI422979B (zh) * 2010-08-23 2014-01-11 Shinetsu Chemical Co 光阻下層膜材料、光阻下層膜形成方法、圖案形成方法及富勒烯衍生物
CN104159956A (zh) * 2012-02-10 2014-11-19 伯明翰大学 旋涂硬掩模材料
CN105121393A (zh) * 2013-04-22 2015-12-02 默克专利有限公司 改进的富勒烯衍生物及相关材料、方法和器件

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100764375B1 (ko) * 2005-12-15 2007-10-08 주식회사 하이닉스반도체 반도체 소자의 하드마스크용 고분자 및 이를 함유하는조성물
JP4659678B2 (ja) * 2005-12-27 2011-03-30 信越化学工業株式会社 フォトレジスト下層膜形成材料及びパターン形成方法
US20090325106A1 (en) * 2008-06-27 2009-12-31 Conley Willard E Method for Implant Imaging with Spin-on Hard Masks
US9502658B2 (en) * 2013-04-22 2016-11-22 Nano-C, Inc. Fullerene derivatives and related materials, methods, and devices
WO2015036075A1 (en) * 2013-09-11 2015-03-19 Merck Patent Gmbh Cyclohexadiene fullerene derivatives

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763719A (en) * 1993-01-20 1998-06-09 Hoechst Ag Thermally stable fullerene derivatives and process for producing the same
WO2003029137A2 (en) * 2001-10-01 2003-04-10 Tda Research, Inc. Derivatization and solubilization of insoluble classes of fullerenes
US20090240042A1 (en) * 2002-09-17 2009-09-24 Dorn Harry C Endohedral metallofullerene derivatives
CN101529610A (zh) * 2006-06-13 2009-09-09 普雷克托尼克斯公司 包含富勒烯及其衍生物的有机光伏器件
CN101563652A (zh) * 2006-11-20 2009-10-21 Jsr株式会社 抗蚀剂下层膜形成用组合物及图案形成方法
CN101641644A (zh) * 2007-04-06 2010-02-03 日产化学工业株式会社 形成抗蚀剂下层膜的组合物
EP2392555A2 (en) * 2009-01-29 2011-12-07 Korea Research Institute of Chemical Technology (KRICT) Fullerene derivatives and organic electronic device comprising the same
JP2011238847A (ja) * 2010-05-12 2011-11-24 Mitsubishi Chemicals Corp 光電変換素子
TWI422979B (zh) * 2010-08-23 2014-01-11 Shinetsu Chemical Co 光阻下層膜材料、光阻下層膜形成方法、圖案形成方法及富勒烯衍生物
CN104159956A (zh) * 2012-02-10 2014-11-19 伯明翰大学 旋涂硬掩模材料
CN105121393A (zh) * 2013-04-22 2015-12-02 默克专利有限公司 改进的富勒烯衍生物及相关材料、方法和器件

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112015049A (zh) * 2019-05-31 2020-12-01 罗门哈斯电子材料有限责任公司 抗蚀剂底层组合物及使用此类组合物的图案形成方法

Also Published As

Publication number Publication date
WO2017205272A1 (en) 2017-11-30
TWI759303B (zh) 2022-04-01
CN109562944B (zh) 2022-09-09
TW201815907A (zh) 2018-05-01
KR20190035622A (ko) 2019-04-03
US20170345669A1 (en) 2017-11-30
JP2019523985A (ja) 2019-08-29
KR102485417B1 (ko) 2023-01-05
JP7055106B2 (ja) 2022-04-15
US10438808B2 (en) 2019-10-08

Similar Documents

Publication Publication Date Title
TWI675880B (zh) 有機膜形成用組成物、半導體裝置製造用基板、有機膜之形成方法、圖案形成方法及聚合物
TW201920326A (zh) 有機膜形成用組成物、半導體裝置製造用基板、有機膜之形成方法、圖案形成方法及聚合物
TWI813946B (zh) 有機膜形成用材料、圖案形成方法、以及聚合物
CN105175689B (zh) 用于底层的芳香族树脂
JP6967967B2 (ja) スピンオンハードマスク材料
TW202104171A (zh) 有機膜形成用材料、半導體裝置製造用基板、有機膜之形成方法、圖案形成方法、以及有機膜形成用化合物
CN109562944A (zh) 硬掩模组合物
CN1983026B (zh) 半导体装置的硬质掩模用聚合物以及含有该聚合物的组合物
JP7252244B2 (ja) ハードマスク組成物
WO2021054337A1 (ja) 組成物、レジスト下層膜、レジスト下層膜の形成方法、パターニングされた基板の製造方法及び化合物
US11746255B2 (en) Hard-mask composition
TWI797266B (zh) 硬遮罩組合物
JP5690043B2 (ja) フラーレン誘導体溶液、フラーレン誘導体膜及びフラーレン誘導体
WO2024070728A1 (ja) 半導体基板の製造方法、組成物及び重合体
WO2024085030A1 (ja) 半導体基板の製造方法及び組成物
WO2022191037A1 (ja) 半導体基板の製造方法、組成物、重合体及び重合体の製造方法
JP2023174082A (ja) 感光性組成物及び半導体基板の製造方法
TWI838580B (zh) 組成物、抗蝕劑底層膜、抗蝕劑底層膜的形成方法、經圖案化的基板的製造方法及化合物
TW202406886A (zh) 半導體基板的製造方法、組成物及化合物
CN115963695A (zh) 有机膜形成材料、图案形成方法以及化合物
JP2022122557A (ja) シアン酸エステル及びその用途
TW202030228A (zh) 微影用膜形成材料、微影用膜形成用組成物、微影用下層膜及圖型形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant