CN103999191A - 用于单次曝光-自对准的双重、三重以及四重图案化的方法 - Google Patents

用于单次曝光-自对准的双重、三重以及四重图案化的方法 Download PDF

Info

Publication number
CN103999191A
CN103999191A CN201180075504.4A CN201180075504A CN103999191A CN 103999191 A CN103999191 A CN 103999191A CN 201180075504 A CN201180075504 A CN 201180075504A CN 103999191 A CN103999191 A CN 103999191A
Authority
CN
China
Prior art keywords
pattern
layer
substrate
spacing
circuit feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180075504.4A
Other languages
English (en)
Other versions
CN103999191B (zh
Inventor
F·M·奇诺尔
C·H·华莱士
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN103999191A publication Critical patent/CN103999191A/zh
Application granted granted Critical
Publication of CN103999191B publication Critical patent/CN103999191B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Micromachines (AREA)

Abstract

一种方法,包括:在衬底的表面上形成图案,所述图案包括具有至少一个侧壁的分离的结构和与其间的材料层相补充的分离的结构中的一种分离的结构,所述至少一个侧壁限定相对于所述表面的倾斜角,所述材料层包括被改型为不同区域的体积,所述不同区域由相对于所述表面的至少一个倾斜角分隔开;以及使用所述图案来在所述衬底上限定电路特征,所述特征的间距小于所述图案的间距。

Description

用于单次曝光-自对准的双重、三重以及四重图案化的方法
背景技术
多重图案化涉及为光刻而开发的以增强特征密度的技术。在双重图案化中,增强光刻工艺以产生双倍的预期的特征数量。实现双重图案化的技术包含光刻-蚀刻,如名称暗示的,光刻-蚀刻的方法需要两次曝光和两次蚀刻操作。第二种技术是光刻-冻结技术,该光刻-冻结技术仅仅需要一次蚀刻操作并且使用化学改型工艺(chemical modification process)来在经历第二次光刻胶涂覆和曝光步骤前“冻结”曝光/显影的光刻胶。因为冻结使用了第一次曝光/显影的光刻胶的化学改型,所以其受到随后的光刻工艺的不利影响。尽管仅仅需要单次蚀刻操作,但类似于光刻-蚀刻、光刻-蚀刻技术,光刻-冻结技术需要两次曝光。另一种方式是使用基于隔层的图案化(spacer-based patterning),尽管其使用单次曝光,但涉及多个工艺步骤。
附图说明
图1示出了包含基底衬底、硬掩膜层、第一和第二衬底层以及光刻胶层的集成电路结构的一部分的实施例的侧视图。
图2示出了在将光刻胶层图案化为具有相对的侧壁的分离(discreet)的结构之后的图1的结构,每一对侧壁限定了与下面的衬底层的表面的小于90°的倾斜角。
图3示出了集成电路结构的一部分的另一个实施例的侧视图,其中分离的结构由光刻胶层构成并且每一个分离的结构包含相对的侧壁,每一对侧壁限定了与下面的衬底层的表面的大于90°的倾斜角。
图4示出了在结构的表面上以补充的方式引入保护层之后的图3的结构。
图5示出了在形成保护层材料的分离的结构和去除光刻胶材料的分离的结构之后的图4的结构。
图6示出了包含基底衬底、硬掩膜、第一和第二衬底层以及被图案化为分离的结构的光刻胶材料的集成电路结构的一部分的另一个实施例的侧视图,每一个分离的结构具有与下面的衬底层的表面垂直的彼此平行的相对的侧壁。
图7示出了在将光刻胶材料的分离的结构图案化为具有相对的侧壁的结构之后的图6的结构,每一对侧壁限定了与下面的衬底层的表面的大于90°的倾斜角。
图8示出了在各向异性地蚀刻通过衬底层至硬掩膜层之后的图2或图5的结构。
图9示出了在使用光刻胶材料的分离的结构作为图案的各向同性地蚀刻之后,随后去除分离的结构的图8的结构。
图10示出了在与第一和第二衬底层相补充的结构上沉积保护层之后的图9的结构。
图11示出了在进行蚀刻以去除第二衬底层并将保护层图案化为分离的结构之后的图10的结构。
图12示出了在去除了保护层之后的图11的结构。
图13示出了在利用图案化的第一衬底层对硬掩膜层进行图案化并去除第一衬底层之后的图12的结构。
图14示出了代表性的实施例,其中由图13中的图案化的硬掩膜层限定的图案用于在衬底上形成晶体管电极作为集成电路的一部分。
图15示出了包含基底衬底、硬掩膜、两个衬底层以及光刻胶材料的图案化的分离的结构的集成电路结构的一部分的实施例的侧视图,其中,在沉积光刻胶层和形成分离的结构之前,在分离的结构的基底位置处对第二衬底层的暴露的表面进行改型。
图16示出了在使用分离的结构作为图案对第二衬底层的表面进行改型之后的图15的结构。
图17示出了在去除分离的结构和将经图案化的层形成于之前在第二衬底层上所限定的改型的区域上(经图案化的分离的结构)之后的图16的结构。
图18示出了包含硬掩膜层、两个衬底层以及光刻胶材料的经图案化的分离的结构的集成电路结构的一部分的另一个实施例的侧视图,其中每一个分离的结构具有相对的侧壁,每一对侧壁限定了与衬底层的表面的大于90°的倾斜角。
图19示出了在分离的结构之上对牺牲层进行保形图案化并以补充的方式引入保护层之后的图18的结构。
图20示出了在对结构的上表面进行平坦化以暴露出光刻胶材料的分离的结构之后的图19的结构。
图21示出了在对分离的结构和衬底层的一部分进行各向异性地蚀刻之后的图20的结构。
图22示出了在去除保护层之后的图21的结构。
图23示出了对牺牲层和在仅由牺牲层保护的区域中的第二衬底层进行各向异性地蚀刻之后的图22的结构。
图24示出了以补充的方式在结构之上沉积保护层之后的图22的结构;
图25示出了在去除剩余的牺牲层之后的图24的结构。
图26示出了在去除由之前被去除的牺牲层所限定的区域中的第二衬底层和第一衬底层的材料之后的图25的结构。
图27示出了在去除保护层和光刻胶材料的分离的结构的剩余部分之后的图26的结构。
图28示出了在使用由第一衬底层和第二衬底层构成的经图案化的结构来对硬掩膜层进行图案化之后的图27的结构。
图29示出了包含基底衬底、硬掩膜层、衬底层以及光刻胶材料的图案化的分离的结构的集成电路结构的一部分的实施例的侧视图。
图30示出了在保形引入牺牲层进行之后的图29的结构。
图31示出了在结构上相补充地沉积第二改型材料之后的图30的结构;
图32示出了经历了倾斜角改型的图31的结构。
图33示出了在将改型物种(modifying species)引入至第二光刻胶材料中之后的图32的结构。
图34示出了在去除第二光刻胶材料的未改型部分之后的图33的结构;
图35示出了在通过各向异性的蚀刻来选择性地去除牺牲层的一部分之后的图34的结构。
图36示出了在对第二衬底层进行图案化并去除图案之后的图35的结构。
图37示出了在使用第二衬底层中的图案对硬掩膜层进行图案化并去除图案之后的图36的结构。
图38示出了包含基底衬底、和硬掩膜层、第一衬底层、第一光刻胶材料的经图案化的且分离的结构以及位于上表面上的保形牺牲层的集成结构的一部分的另一个实施例的侧视图。
图39示出了在结构的表面上以补充的方式引入第二可改型的材料之后的图38的结构。
图40示出了在对牺牲层进行各向异性地蚀刻并去除第一光刻胶材料的部分之后的图39的结构。
图41示出了经历了倾斜角的改型的图40的结构;
图42示出了在将改型物种引入至第一和第二光刻胶材料中之后的图41的结构。
图43示出了在去除第一和第二光刻胶材料的未改型部分之后的图42的结构。
图44示出了去除牺牲层的一部分之后的图43的结构。
图45示出了在对第一衬底层进行图案化并去除图案之后的图44的结构。
图46示出了使用在衬底层中被限定的图案来对硬掩膜层进行图案化并去除图案之后的图45的结构。
具体实施方式
公开了限定总体上涉及单次曝光以限定主图案的集成电路特征的方法。在继续进行随后的工艺之前,要么保持主图案,要么将主图案转移至另一种材料中。通过利用有意引入的角形表面和体积改型方法以创建由改型的和未改型的区域构成的差异化的构件,此图案可以用于直接限定自对准的双重、三重或四重图案。引入的角形表面(angular surface)或体积改型方法用于主图案间距的分割。
图1示出了集成电路结构的一部分的实施例。该结构可以是被指定用于数百个分离的集成电路芯片的晶圆的部分。图1示出了包含了例如诸如硅之类的半导体材料的衬底110的结构。在衬底110的表面(如看到的顶部表面)上面的是硬掩膜层120。在一个实施例中,硬掩膜120是例如氮化硅(SixNy)或类似的材料。在硬掩膜120的表面上面的是例如第一抗反射材料的第一衬底层130。在第一衬底层130的表面上面的是例如在一个实施例中具有不同于用于衬底层130的材料的蚀刻特性的第二抗反射材料的第二衬底140。第一衬底层130和第二衬底层140两者均选择为具有关于彼此并且关于硬掩膜层120的有选择性的材料。应当意识到的是,包含抗反射材料的已知的用于集成电路工艺中的许多材料的蚀刻属性是已知为其沉积的方法。在第二衬底层140上面的是光刻胶层150。光刻胶层150可以是正性光刻胶或负性光刻胶。
图2示出了在对光刻胶层150进行图案化后的图1的结构。在图2中,将光刻胶层150图案化为分离的结构1500A、1500B以及1500C。应当意识到的是,一些分离的结构的表示仅仅是为了示例的目的。将分离的结构中的每一个分离的结构图案化为具有相对的侧壁,每一对相对的侧壁限定了相对于第二衬底层140的表面的α的倾斜角。在图2中,每一对侧壁的倾斜角具有相对于表面的小于90度的角度。代表性的角度α为大约45°。如示出的,光刻胶材料的分离的结构具有在此表示中进入页面中的长度尺寸。相对的侧壁降低了相对于结构的顶部或峰部的宽度的分离的结构的宽度尺度的基底。以此方式,分离的结构1500A、1500B以及1500C像倒置的等腰梯形棱柱。倾斜角可以由诸如通过将感光层150暴露至通过创建倾斜角图案的光罩的光源之类的光刻技术形成。图2也示出了分离的结构1500A、1500B以及1500C以在图案的顶部边缘(例如,分离的结构的最宽的部分)之间所测得的间距P1被图案化。
应当理解的是,可以以若干方式来实现形成诸如分离的结构1500A、1500B以及1500C之类的分离的结构。图3-5示出了最初其中具有相对的侧壁的一种替代的技术,每一对相对的侧壁具有由光刻胶150形成的倾斜角结构1500AA、1500BB、以及1050CC,每一个倾斜角结构具有相对于第二衬底层140的表面的倾斜角β。在此实施例中,相对的侧壁的倾斜角是用于结构1500A、1500B以及1500C的图2中描述的相反的倾斜角α。结构1500AA、1500BB以及1500CC的相对的侧壁均限定了关于表面的大于90度的角度β。代表性的角度β大约为135°。
在形成分离的结构1500AA、1500BB以及1500CC之后,可以在结构的表面上沉积保护层(例如氮化硅层)。在图4中,示出了具有以补充的形式沉积的保护层160的结构;就补充的意义而言保护层160在某种意义上对位于具有分离的光刻胶结构1500AA、1500BB以及1500CC的结构的表面上的层进行补充或使其完整。一旦在结构上形成保护层160,通过例如抛光可以使层平坦化。然后去除光刻胶结构1500AA、1500BB以及1500CC,留下保护层作为衬底的表面上的材料。图5示出了具有在衬底的表面上的保护层材料的分离的结构1600A、1600B以及1600C的结构。图5示出了包含相对的侧壁的分离的结构,每一对相对的侧壁限定了小于90°(例如45°)的相对于结构的表面的角度α。分离的结构也具有间距P1,所述间距P1与由图3中的光刻胶材料的分离的结构1500AA、1500BB、以及1500CC形成的间距相同。
在参照图3的以上描述中,图案化光刻胶的成角度的侧壁被描述为产生具有相对的倾斜的侧壁的分离的结构,所述倾斜的侧壁具有大于90度的角度β。形成具有倾斜的成角度的侧壁的该分离的结构的一种方法是通过各向同性的蚀刻技术(例如,湿法蚀刻)。在另一个实施例中,能够以两步操作工艺来形成该分离的侧壁结构。参照图6,最初可以使用例如各向异性的蚀刻技术来将光刻胶图案化为限定具有平行的相对侧壁的结构。图6示出了分离的结构1500AAA、1500BBB以及1500CCC。每一个结构具有相对于第二衬底层140的表面的相对的垂直的侧壁。通过90度的角度α来表示该垂直的侧壁。通过P1来表示从每一个分离的结构的顶部边缘至相邻的分离的结构的类似的边缘的间距。在形成分离的结构1500AAA、1500BBB以及1500CCC之后,可以对每一个结构进行各向同性地蚀刻以形成相对于如图7中示出的衬底的表面的期望的倾斜的成角度的相对的侧壁表面。可以以该方式进行各向同性地蚀刻以保持间距P1,所述间距P1是在一个分离的结构的顶部边缘和相邻的分离的结构的相同的边缘之间的距离。
接着,在具有倾斜的相对的侧壁角度的衬底上形成分离的结构(光刻胶材料的图2的分离的结构1500A、1500B以及1500C或者保护层材料的图5的分离的结构1600A、1600B以及1600C)。图案可以用于限定复合结构(复合结构在此意义上构成衬底110、硬掩膜层120、衬底层130以及衬底层140的组合)中的图案。在最初的蚀刻中,使用分离的结构作为图案利用例如等离子蚀刻可以对结构进行各向异性地蚀刻。图8示出了通过第二衬底层140和第一衬底层130至硬掩膜层120(例如,硬掩膜层120用作(serve)蚀刻终止)的各向异性的蚀刻。从而,在一个实施例中,用于各向异性的蚀刻的蚀刻化学选择为具有对于相对于用于硬掩膜层的材料的第一衬底层130和第二衬底层140有选择性的蚀刻化学。对于第二衬底层140相对第一衬底层130的有选择性的各向同性的蚀刻可以在各向异性的蚀刻之后。图9示出了在使用分离的结构作为图案的各向同性的蚀刻之后的结构。
图10示出了在结构的表面上沉积保护层之后的结构。以补充的方式在衬底的表面之上将保护层170沉积至第一衬底层130和第二衬底层140中的开口(就补充的意义而言,保护层170对具有第一衬底层130和第二衬底层140的图案化的结构上的层进行补充或使其完整)。保护层170例如是氧化硅。保护层170形成为使得图案化的衬底层140的部分暴露。这可以通过沉积衬底层来实现,使得图案化的第二衬底层140的上部部分(如看到的)保持暴露的或者可以在沉积保护层170之后对衬底进行抛光或蚀刻以暴露图案化的第二衬底层140。如图11中示出的那样,在对保护层170进行沉积和任何图案化之后,执行蚀刻以去除经图案化的第二衬底层140和经图案化的第一衬底层130的位于经图案化的第二衬底层140下面的部分。
图12示出了在去除保护层170之后的结构,图13示出了在利用经图案化的第一衬底层130对硬掩膜层120进行图案化之后的结构。在图13中,去除了经图案化的第一衬底层130。
如图13中示出的,经图案化的硬掩膜层120的分离的结构的间距是图案掩膜的最初的间距P1的两倍。硬掩膜层120可以用于作为图案以在衬底110上形成分离的结构。图14示出了其中由图案化的硬掩膜层120限定的图案用于在衬底110上形成作为集成电路的部分的晶体管电极195的代表性的实施例。应当意识到的是,使用图案可以替代地或附加地形成其它的器件(例如,电阻、电容)。或者,例如通过限定衬底110中的隔离区或扩散区,可以使用图案来限定衬底的有源区。
使用经图案化的分离的结构作为用于蚀刻衬底层130和衬底层140的掩膜的一个替代方案是使用具有分离的结构的衬底层的表面改型来创建掩膜,可以针对所述掩膜对衬底层进行改型。参照图15-17描述了该技术。参照图15,在此实施例中,在形成分离的结构1500A/1600A、1500B/1600B以及1500C/1600C之前,在用于分离的结构的基底位置处可以对第二衬底层140的暴露的表面进行改型。就可以对该区域180A、180B以及180C进行改型的意义而言,可以促进在该改型的区域处的未来的生长超过(over)在未改型的区域处的材料的生长。通过在衬底之上的掩膜并且图案化至从第一结构的边缘的基底至相邻的第二结构的边缘的基底测量的间距P1可以限定该区域。代表性的改型技术包含但不限于容许指定的区域中的物种的生长(外延生长)的催化改型或通过例如施加至表面的材料的相的取消隔离来促进指定的区域中的定向自组装的化学改型。改型衬底层140中的区域之后,可以根据参照图2-7描述的技术形成分离的结构1500A/1600A、1500B/1600B以及1500C/1600C。
在衬底层140的经改型的区域上形成分离的结构1500A/1600A、1500B/1600B以及1500C/1600C之后,分离的结构可以用作对第二衬底层140中的另外的表面改型区域进行图案化的掩膜。图16示出了衬底层140中形成的表面改型区域190A、190B以及190C。在衬底层140中形成的表面改型区域190A、190B以及190C之后,可以去除分离的结构1500A/1600A、1500B/1600B以及1500C/1600C,留下与其表面中限定的表面改型区域一起暴露的第二衬底层140。图17示出了在去除分离的结构1500A/1600A、1500B/1600B以及1500C/1600C并且在先前在衬底层140上限定的改型的区域180A、180B、180C、190A、190B以及190C上生长材料185之后的结构。材料185例如是在催化改型的表面上外延生长的材料或来自化学改型的表面的取消隔离的相,并且在第二衬底层140的表面上形成图案。图17示出了材料185的经图案化的结构的间距为最初的图案的间距P1的两倍。
图18-28描述了限定结构的特征的另一个实施例。描述的方法是基于自对准的成角度的侧壁的三重图案化之一。参照图18,示出了结构的部分的侧视图。在此实施例中,结构包含例如诸如硅之类的半导体材料的基底衬底210。在基底衬底210上面的是例如氮化硅的硬掩膜层220。在硬掩膜层220上面的是例如第一抗反射材料的第一衬底层230,并且在第一衬底层230上面的是例如第二抗反射材料的第二衬底层240。在结构的表面上,在第二衬底层240上面的是例如光刻胶材料的分离的结构2500A、2500B以及2500C。示出的结构具有相对的侧壁,每一对相对的侧壁具有相对于第二衬底层240的表面的大于90度的倾斜角。如参照图3和图3以及7描述的,通过例如各向同性的蚀刻图案化(图3)或者被各向同性的蚀刻图案化跟随的一系列的涉及到的各向异性的蚀刻图案化(图6和图7)可以直接形成成角度的侧壁。一旦被形成,分离的结构2500A、2500B以及2500C具有从一个结构的顶部边缘至相邻的结构的相同的边缘测量的间距P1。
图19示出了在分离的结构之上保形引入牺牲层进行之后的图18的结构。在一个实施例中,牺牲层260是例如通过化学气相沉积(CVD)沉积至大约P1/6的厚度的氧化硅。牺牲层260被描述为保形的,因为牺牲层260覆盖结构的表面,并与暴露的第二衬底层240的表面共形且还与分离的结构2500A、2500B以及2500C的暴露的表面和侧壁共形。在形成牺牲层260之后,在结构的表面上补充地引入(例如沉积)保护层270。图19示出了以补充的方式(与分离的结构2500A、2500B以及2500C相补充)形成的保护层270。用于保护层270的一种适合的保护层材料是氮化硅。
图20示出了对复合结构的上表面进行平坦化之后的图19的结构。平坦化去除了如看到的分离的结构2500A、2500B以及2500C的上表面上的牺牲层,以便暴露分离的结构2500A、2500B以及2500C。可以通过化学机械抛光或蚀刻来实现平坦化。
图21示出了在对分离的结构2500A、2500B以及2500C的部分进行各向异性地蚀刻之后的图20的结构。在一个实施例中,将用于各向异性的蚀刻的蚀刻化学选择为对于分离的结构的材料相对于牺牲层260的材料和保护层270的材料是有选择性的。除了去除分离的结构的部分以外,各向异性的继续蚀刻通过衬底层230和衬底层240以暴露出硬掩膜220。
图22示出了在去除保护层270之后的图21的结构。可以以针对保护层材料相对于牺牲层260的材料和硬掩膜层200的材料而选择的蚀刻来实现该去除。
图23示出了在对与分离的结构2500A、2500B、以及2500C相邻的区域中的牺牲层260和第二衬底层240进行各向异性地蚀刻之后的图22的结构。
图24示出了沉积保护层280之后的图22的结构。在一个实施例中,通过例如CVD在衬底之上沉积保护层280,以填充由蚀刻至结构中所创建的开口。用于保护层280的一种适合的材料例如是碳化硅。
图25示出了去除剩余的牺牲层260之后的图24的结构。各向同性的蚀刻适合于去除材料。
图26示出了在通过例如蚀刻由之前被去除的牺牲层260所限定的区域中的第二衬底层240和第一衬底层230的去除之后的图25的结构。在此情况下,蚀刻针对衬底层相对于保护层280是有选择性的。
图27示出了通过例如蚀刻保护层280和分离的结构2500A、2500B以及2500C的剩余的部分的去除之后的图26的结构。图27示出了第一衬底层230和在硬掩膜层220上面的第二衬底层240的图案化的部分。应当理解的是,分离结构2500A、2500B以及2500C的剩余的部分也可以存在但不会影响图案。
图28示出了在使用由第一衬底层230和第二衬底层240形成的图案化的结构来对硬掩膜层220进行图案化之后的结构。根据此实施例,硬掩膜层220的间距P3为原始的间距P1的三分之一。
图29-37描述了使用自对准的表面/体积改型以实现三重间距图案化的方法。参照图29,图29示出了例如硅材料的衬底310的复合结构。在衬底310上面的是例如氮化硅的硬掩膜层320。在硬掩膜层320上面的是例如抗反射材料的衬底层330。在衬底层330上面的是光刻胶层340。在图29中示出的示例中,将光刻胶层340图案化为具有分离的光刻胶结构的侧壁的一个边缘和相邻的结构的相同的侧壁边缘之间的间距P1的分离的光刻胶结构。每一个结构的相对的侧壁彼此平行且垂直于衬底层330的表面。
图30示出了保形引入对牺牲层350之后的图29的结构。在一个实施例中,牺牲层350是即通过CVD沉积至大约P1/6的厚度的氧化硅。通过保形沉积意指牺牲层350与暴露的衬底层330的表面共形且与由光刻胶层340形成的分离的光刻胶结构的侧壁和上表面(如看到的)共形。
图31示出了在补充引入第二光刻胶360或任何其它改型材料之后的图30的结构。在此实施例中,在由光刻胶层340限定的分离的光刻胶结构之间沉积光刻胶材料360。可以完成此的一种方法是例如通过在包含了光刻胶层340的分离的结构和牺牲层350之上的结构之上的旋涂沉积,跟随着的是通过去除(例如,平坦化抛光或氧灰化)以从光刻胶层340的分离的结构的上表面去除光刻胶材料360(如看到的从顶部表面的去除)。在一个实施例中,光刻胶层340的分离的结构上的牺牲层350将用于遮蔽将改型物种有角度地引入至第二光刻胶材料360中。相应地,在一个实施例中,具有牺牲层360的分离的结构的高度(例如从衬底层330测量的)大于第二光刻胶材料360的高度(厚度)。
图32示出了经过了倾斜角改型的图31的结构。以此方式,引入了改型物种的植入,以使第二光刻胶360的材料的属性发生变化。代表性地,可以将诸如高能量离子、电子、或光子之类的改型物种引入至正性光刻胶材料中,以使材料的属性发生变化。参照图32,以角度ω引入植入的材料。图32示出了如看到的从左边(左至右)的改型物种至第二光刻胶层360中的第一次植入和从右边(右至左)的相同的物种的第二次植入。参照图32,图32示出了从结构的相反的侧以倾斜角ω引入改型物种365。在一个实施例中,相对于结构的上表面的角度ω选择为使得其小于90度。代表性的角度大约是45°。选择光刻胶材料360的深度或厚度,以使得由光刻胶层340和牺牲层350限定的侧壁结构遮蔽、干预或阻挡物种365的引入的一部分。
图33示出了在将改型物种365引入至第二光刻胶层360中之后的图32的结构。如示例的,改型物种365改型第二光刻胶层360的属性。在一个实施例中,改型物种365改型第二光刻胶层360,使得在改型之后,第二光刻胶材料360的改型的部分包含改型的区域3600A和未改型的区域3600B。改型的和未改型的区域之间的界线形成相对于复合结构(如看到的牺牲层350的水平表面)的小于90度的角度θ。代表性的角度θ大约是45°。
图34示出了在去除第二光刻胶材料360的未改型的部分3600B之后的图33的结构。去除未改型的部分3600B的一种方法是通过例如氧灰化。图34示出了在去除未改型的部分3600B之后的结构。
图35示出在通过各向异性的蚀刻选择性地去除牺牲层350的部分之后的图34的结构。以此方式,未去除由改型的光刻胶区域3600A保护的牺牲层350的部分。经改型的光刻胶部分3600A和光刻胶层340的分离的结构在结构上限定了图案。
图36示出了在使用来自图35的光刻胶层340和改型的光刻胶区域3600A的图案来蚀刻第二衬底层330之后的图35的结构。图36也示出在去除图案之后的结构。最终,图37示出在对硬掩膜层320进行图案化以限定分离的结构之后的结构。如示出的,结构的间距三倍于最初的间距P1。
图38-45示出了基于自对准的成角度的表面/体改型的四重图案化技术。参照图38,在一个实施例中,复合结构包含半导体衬底或其它衬底410(硬掩膜层420添加至半导体衬底或其它衬底410的表面上)和衬底层430。硬掩膜层420是例如氮化硅的。衬底层420是例如抗反射材料的。在第二衬底层430上面的是限定了具有在相邻的分离的光刻胶结构的类似的侧壁之间的间距P1的主图案的图案化的光刻胶层440。尽管被描述为光刻胶结构的图案,但是应当意识到的是能够将主图案限定至光刻胶中或转移至另一种材料中。在第一光刻胶层440的分离的结构上面的是例如氧化硅的牺牲层450。牺牲层450是保形的意指,其覆盖光刻胶层440的分离的结构的侧壁和表面以及复合结构的复合结构(在第二衬底层430的表面之上)的表面。
图39示出了在以补充的方式沉积第二光刻胶层460或其它改型材料之后的图38的结构。在一个实施例中,第二光刻胶层460可以是类似于第一光刻胶层440的材料(例如,都是正性光刻胶)。在此实施例中,将第二光刻胶层460沉积至小于第一光刻胶层440的厚度的厚度,以暴露出牺牲层450的侧壁部分。
图40示出了在对牺牲层450进行各向异性地蚀刻之后的图39的结构。各向异性的蚀刻继续直至去除了在由第一光刻胶层440限定的分离的结构的表面上面的牺牲层450的该部分,并且部分地继续进行至光刻胶层440的分离的结构中直至层的厚度类似于第二光刻胶层460的厚度。以此方式,牺牲层450的分离的侧壁部分将从复合结构的表面突出并充当随后的成角度的植入的屏(screen)。
图41示出了经历了倾斜角的改型的图40的结构。以此方式,引入改型物种的植入,以使第一光刻胶层440的材料和第二光刻胶层460的材料的属性发生变化。如示出的,如看到的从结构的左侧和右侧以角度Φ来引入例如离子、光子、或电子的改型的植入。代表性的倾斜角Φ是45°。牺牲层450的突出的部分充当屏,以阻挡的改型物种470到达第一光刻胶层440和第二光刻胶层460的部分。
图42示出了在对第一光刻胶层440和第二光刻胶层460进行改型之后的图41的结构。如看到的,将第一光刻胶层440改型为改型的部分4400A和未改型的部分4400B,并且将第二光刻胶层460改型为改型的部分4600A和未改型的部分4600B,其中改型的和未改型的部分之间的差异化(differentiation)限定了相对于复合结构的表面(如看到的,相对于牺牲层450的水平表面)的倾斜角Ω。图42的插图示出了小于90°的角度Ω(例如,45°)。
图43示出了在通过例如氧灰化来去除未改型的部分4400B和4600B之后的图42的结构。图44示出在进行各向异性的蚀刻以去除牺牲层450的暴露的部分之后的图43的结果。用于各向异性的蚀刻的蚀刻化学对于牺牲层450的材料相对于用于第一光刻胶层440和第二光刻胶层460的改型的部分的材料以及相对于衬底层430是有选择性的。图45示出了在使用剩余的图案来蚀刻至衬底层430中并且去除改型的光刻胶部分4400A和4600A以及去除牺牲层450的剩余的部分之后的结构。图46示出了使用衬底层430中限定的图案来对第一衬底层420进行图案化。如示出的,第一衬底层420中形成的分离的结构具有为最初的间距P1的四分之一的间距。图41示出具有为了使第一光刻胶层440的材料和第二光刻胶层460的材料的属性发生变化而从结构的左侧和右侧(如看到的)引入的改型物种的双向倾斜角的改型。应当意识到的是,可以使用相同的方法但是使用具有使第一光刻胶层440的材料和第二光刻胶层460的材料的属性发生变化的改型物种的单向的倾斜角改型(例如,左或右)来实现更大的间距,例如为至少最初的间距P1的一半。
在以上的描述中,为了解释的目的,已经阐述了许多具体的细节以提供对实施例的透彻理解。然而,对于本领域技术人员而言,一个或多个其它实施例可以在没有某些这些具体细节中的情况下实施是显而易见的。描述的特定实施例并不是限制本发明,而是对本发明进行示例。本发明的范围不由以上提供的具体范例来确定,而是仅由以下的权利要求书来确定。在其它实例中,已经以框图的形式或在没有细节的情况下示出了公知的结构、器件、以及操作,以便避免混淆对描述的理解。在被认为适当时,附图标记或附图标记的端子部分已经在图中被重复以指示可以可选地具有类似特性的相应的元素或相似的元素。
还应当理解的是,贯穿此说明书的对例如“一个实施例”、“实施例”、“一个或多个实施例”、或“不同的实施例”的提及意指特定的特征可以包含于本发明的实施中。类似地,应当理解的是,在描述中,为了使本公开内容流畅以及辅助理解各个发明方面,各种特征有时被一起分组在单个实施例、附图、或对其的描述中。此公开内容的方法不应被解释为反映本发明需要比每一项权利要求中所明确记载的更多特征。相反,如下面的权利要求反映的,创造性的方面可以在于少于单个的公开的实施例的所有特征。从而,在具体实施方式之后的权利要求在此明确地并入至此具体实施方式中,其中,每一项权利要求自身作为本发明的单独实施例。

Claims (20)

1.一种方法,包括:
在衬底的表面上形成图案,所述图案包括具有至少一个侧壁的分离的结构和与其间的材料层相补充的分离的结构中的一种分离的结构,所述至少一个侧壁限定相对于所述表面的倾斜角,所述材料层包括被改型为不同区域的体积,所述不同区域由相对于所述表面的至少一个倾斜角分隔开;以及
使用所述图案来在所述衬底上限定电路特征,所述特征的间距小于所述图案的间距。
2.根据权利要求1所述的方法,其中,所述图案包括与所改型的材料层相补充的所述分离的结构,并且限定所述电路特征包括:选择性地蚀刻所述分离的结构和所述改型的材料层。
3.根据权利要求1所述的方法,其中,所述电路特征的所述间距是所述图案的所述间距的一半。
4.根据权利要求1所述的方法,其中,所述电路特征的所述间距是所述图案的所述间距的三分之一。
5.根据权利要求1所述的方法,其中,所述电路特征的所述间距是所述图案的所述间距的四分之一。
6.根据权利要求1所述的方法,其中,所述衬底的所述表面包括特征层,并且在所述特征层上面的是第一牺牲衬底层和第二牺牲衬底层,并且所述图案包括分离的结构,所述分离的结构包括限定倾斜角且形成于所述第二衬底层上的至少一个侧壁,并且在所述衬底上限定特征包括在所述第一牺牲衬底层和所述第二牺牲衬底层中形成差异化的区域,以及在所述第一牺牲衬底层和所述第二牺牲衬底层中形成所述差异化的区域之后,通过一系列的选择性的蚀刻来在所述特征层中限定电路特征,所述电路特征的间距小于所述图案的间距。
7.根据权利要求1所述的方法,其中,所述图案包括位于所述衬底的所述表面上的独立的结构,所述独立的结构包括一对相对的侧壁,每一对所述相对的侧壁都限定相对于所述表面的小于90度的倾斜角。
8.根据权利要求7所述的方法,其中,所述衬底的所述表面包括特征层,并且所述图案形成在多个牺牲层之上,所述多个牺牲层在所述特征层上面,所述方法进一步包括通过对所述多个牺牲层进行一系列选择性的蚀刻来在所述特征层中限定电路特征,所述特征层的间距小于所述图案的间距。
9.根据权利要求1所述的方法,其中,所述图案包括所述分离的结构,所述分离的结构包括至少一个限定倾斜角的侧壁,并且在形成所述图案之后,在所述衬底的所述表面上沉积与所述图案相补充的牺牲材料,并且在所述衬底上限定电路特征包括通过对所述图案和所述第二牺牲材料进行选择性地蚀刻来限定电路特征,所述电路特征的间距是所述图案的间距的三分之一。
10.根据权利要求1所述的方法,其中,所述图案是第二图案,并且所述方法包括在形成所述第二图案之前,形成第一图案,所述第一图案包括位于所述衬底的所述表面上的分离的结构,并且形成所述第二图案包括沉积与所述第一图案相补充的第二图案材料以及改型所述第二图案材料的所述体积的一部分,并且在所述衬底上限定电路特征包括通过对所述第一图案和所述第二图案材料进行选择性地蚀刻来限定电路特征,所述电路特征的间距为所述第一图案的间距的三分之一或四分之一。
11.一种方法,包括:
在衬底的表面上沉积牺牲材料;
在所述牺牲材料中形成图案,所述图案具有至少一个相对于所述表面的倾斜侧壁;以及
利用所述图案在所述衬底上限定电路特征,所述特征的间距小于所述图案的间距。
12.根据权利要求11所述的方法,其中,所述方法包括,在形成图案之前,对所述牺牲材料的在所述衬底上的部分进行改型,并且形成所述图案包括对所改型的层进行选择性地蚀刻。
13.根据权利要求12所述的方法,其中,所述牺牲材料的部分包括所述牺牲材料的体积。
14.根据权利要求12所述的方法,其中,部分包括所述牺牲材料的表面。
15.根据权利要求11所述的方法,其中,限定电路特征包括:通过由所述图案限定的可选的各向同性蚀刻和各向异性的蚀刻来限定电路特征,所述电路特征的间距为所述图案的所述间距的一半。
16.根据权利要求11所述的方法,其中,在形成所述图案之后,在所述衬底的所述表面上沉积与所述图案相补充的第二牺牲材料,并且在所述衬底上限定电路特征包括通过对所述图案和所述牺牲材料进行选择性地蚀刻来限定电路特征,所述电路特征的间距为所述图案的间距的三分之一。
17.根据权利要求11所述的方法,其中,所述牺牲材料包括第二牺牲材料,且所述图案包括第二图案,并且所述方法包括在沉积所述第二牺牲材料之前,在所述衬底的所述表面上形成第一牺牲材料的第一图案,并且沉积所述第二牺牲材料包括沉积与所述第一图案相补充的所述第二牺牲材料并对所述第二牺牲材料的体积的一部分进行改型,并且在所述衬底上限定电路特征包括通过对所述第一图案和所述第二牺牲材料进行选择性地蚀刻来限定电路特征,所述电路特征的间距为所述第一图案的间距的三分之一或四分之一。
18.一种方法,包括:
在衬底的表面上形成至少一个牺牲层;
在所述至少一个牺牲层上形成图案,所述图案包括具有至少一个侧壁的分离的结构和与其间的材料层相补充的分离的结构,所述至少一个侧壁限定相对于所述表面的倾斜角,所述材料层包括被改型为不同区域的部分,所述不同区域由相对于所述表面的至少一个倾斜角分隔开;以及
使用所述图案来在所述衬底上限定电路特征,所述特征的间距大于所述图案的间距。
19.根据权利要求16所述的方法,其中,所述图案包括分离的结构,所述分离的结构包括限定倾斜角的至少一个侧壁,限定电路特征包括:
通过由所述图案限定的可选的各向同性蚀刻和各向异性的蚀刻来对所述至少一个牺牲层进行蚀刻,以创建所述至少一个牺牲层的分离的牺牲结构,所述分离的牺牲结构的图案的间距为所述图案的间距的至少一半;以及
使用所述牺牲结构作为图案来蚀刻特征层。
20.根据权利要求16所述的方法,其中,所述图案包括与所改型的材料层相补充的所述分离的结构,并且限定所述电路特征包括对所述分离的结构和所改型的材料层进行选择性地蚀刻。
CN201180075504.4A 2011-12-15 2011-12-15 用于单次曝光-自对准的双重、三重以及四重图案化的方法 Expired - Fee Related CN103999191B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/065079 WO2013089727A1 (en) 2011-12-15 2011-12-15 Methods for single exposure - self-aligned double, triple, and quadruple patterning

Publications (2)

Publication Number Publication Date
CN103999191A true CN103999191A (zh) 2014-08-20
CN103999191B CN103999191B (zh) 2016-10-19

Family

ID=48613011

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180075504.4A Expired - Fee Related CN103999191B (zh) 2011-12-15 2011-12-15 用于单次曝光-自对准的双重、三重以及四重图案化的方法

Country Status (5)

Country Link
US (2) US8980757B2 (zh)
KR (1) KR101624814B1 (zh)
CN (1) CN103999191B (zh)
TW (1) TWI502626B (zh)
WO (1) WO2013089727A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107703722A (zh) * 2016-08-08 2018-02-16 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
CN109979818A (zh) * 2017-12-22 2019-07-05 旺宏电子股份有限公司 具有具不同特征尺寸的图案的半导体装置及其制造方法
CN111490152A (zh) * 2019-01-28 2020-08-04 上海磁宇信息科技有限公司 一种制作超小型磁性随机存储器阵列的方法
WO2021169813A1 (zh) * 2020-02-27 2021-09-02 长鑫存储技术有限公司 半导体器件及其制造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9136162B2 (en) * 2013-12-05 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using horn shaped spacer
WO2015109036A1 (en) 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
US10685846B2 (en) * 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
KR102323251B1 (ko) 2015-01-21 2021-11-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조방법
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9825116B1 (en) * 2015-03-11 2017-11-21 Hrl Laboratories, Llc Formation of high-resolution patterns inside deep cavities and applications to RF SI-embedded inductors
KR20160120964A (ko) 2015-04-09 2016-10-19 삼성전자주식회사 반도체 소자
CN105428245B (zh) * 2016-01-26 2019-03-01 京东方科技集团股份有限公司 像素结构及其制备方法、阵列基板和显示装置
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
KR101900181B1 (ko) 2017-01-25 2018-09-18 인천대학교 산학협력단 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
US10903329B2 (en) * 2018-02-13 2021-01-26 Wisconsin Alumni Research Foundation Contact photolithography-based nanopatterning using photoresist features having re-entrant profiles
WO2020140234A1 (zh) * 2019-01-03 2020-07-09 京东方科技集团股份有限公司 模板制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129702A (ja) * 2003-10-23 2005-05-19 Toshiba Corp 微細パターンの形成方法
CN1885492A (zh) * 2005-06-21 2006-12-27 东京毅力科创株式会社 等离子体蚀刻方法、控制程序、计算机存储介质和装置
CN101026086A (zh) * 2006-02-24 2007-08-29 海力士半导体有限公司 形成半导体器件的精细图案的方法
US20090104786A1 (en) * 2007-10-17 2009-04-23 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4092914B2 (ja) * 2001-01-26 2008-05-28 セイコーエプソン株式会社 マスクの製造方法、有機エレクトロルミネッセンス装置の製造方法
US20060292876A1 (en) * 2005-06-21 2006-12-28 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium
US7745339B2 (en) * 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US8024676B2 (en) * 2009-02-13 2011-09-20 Tokyo Electron Limited Multi-pitch scatterometry targets
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
FR2971652A1 (fr) * 2011-02-11 2012-08-17 Commissariat Energie Atomique Dispositif acoustique comprenant une structure de cristal phononique a inclusions de type conique et procede de realisation dudit dispositif
EP2701181A2 (de) * 2011-08-26 2014-02-26 Espros Photonics AG Verfahren zur Herstellung von Halbleiterbauelementen auf einem Substrat sowie Substrat mit Halbleiterbauelementen
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
JP5898587B2 (ja) * 2012-08-09 2016-04-06 株式会社東芝 パターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005129702A (ja) * 2003-10-23 2005-05-19 Toshiba Corp 微細パターンの形成方法
CN1885492A (zh) * 2005-06-21 2006-12-27 东京毅力科创株式会社 等离子体蚀刻方法、控制程序、计算机存储介质和装置
CN101026086A (zh) * 2006-02-24 2007-08-29 海力士半导体有限公司 形成半导体器件的精细图案的方法
US20090104786A1 (en) * 2007-10-17 2009-04-23 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107703722A (zh) * 2016-08-08 2018-02-16 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
CN107703722B (zh) * 2016-08-08 2020-12-15 中芯国际集成电路制造(上海)有限公司 图案化光阻的形成方法
CN109979818A (zh) * 2017-12-22 2019-07-05 旺宏电子股份有限公司 具有具不同特征尺寸的图案的半导体装置及其制造方法
CN109979818B (zh) * 2017-12-22 2021-03-05 旺宏电子股份有限公司 具有具不同特征尺寸的图案的半导体装置及其制造方法
CN111490152A (zh) * 2019-01-28 2020-08-04 上海磁宇信息科技有限公司 一种制作超小型磁性随机存储器阵列的方法
CN111490152B (zh) * 2019-01-28 2023-12-22 上海磁宇信息科技有限公司 一种制作超小型磁性随机存储器阵列的方法
WO2021169813A1 (zh) * 2020-02-27 2021-09-02 长鑫存储技术有限公司 半导体器件及其制造方法
US11848188B2 (en) 2020-02-27 2023-12-19 Changxin Memory Technologies, Inc. Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
KR101624814B1 (ko) 2016-05-26
US8980757B2 (en) 2015-03-17
US20140073137A1 (en) 2014-03-13
WO2013089727A1 (en) 2013-06-20
TW201338016A (zh) 2013-09-16
CN103999191B (zh) 2016-10-19
US20150187592A1 (en) 2015-07-02
KR20140100960A (ko) 2014-08-18
TWI502626B (zh) 2015-10-01
US9666442B2 (en) 2017-05-30

Similar Documents

Publication Publication Date Title
CN103999191A (zh) 用于单次曝光-自对准的双重、三重以及四重图案化的方法
US8101497B2 (en) Self-aligned trench formation
US7923345B2 (en) Methods relating to trench-based support structures for semiconductor devices
CN101064249A (zh) 改进浅沟槽隔离间隙填充工艺的方法
KR0178823B1 (ko) 반도체장치 및 그 제조방법
KR101881594B1 (ko) 측벽 이미지 트랜스퍼로부터 패턴을 제조하기 위한 향상된 방법
CN110911345A (zh) 一种浅沟槽隔离结构及其制作方法
US8088664B2 (en) Method of manufacturing integrated deep and shallow trench isolation structures
US20100144116A1 (en) Method of forming high lateral voltage isolation structure involving two separate trench fills
JP2000031262A (ja) 半導体装置及びシャロ―・トレンチ・アイソレ―ションの形成方法
CN104425350B (zh) 一种半导体器件及其制造方法
CN103187353A (zh) 浅沟槽隔离区的形成方法
KR100319622B1 (ko) 반도체 장치의 분리구조 형성방법
CN113921384A (zh) 自对准双重图形的形成方法及半导体结构
EP4181172A1 (en) Method for forming self-aligned double pattern, and semiconductor structure
CN115841941B (zh) 一种半导体结构的形成方法
WO2008064124A1 (en) Susbstrate contact for a mems device
WO2008048985A2 (en) Method of manufacturing integrated deep and shallow trench isolation structures
CN111106003A (zh) 沟槽顶部圆角化的方法
JPH05175324A (ja) 半導体装置の製造方法
KR20040017877A (ko) 미세 패턴을 갖는 반도체소자의 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20161019

Termination date: 20211215