CN103854982B - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN103854982B
CN103854982B CN201210505744.XA CN201210505744A CN103854982B CN 103854982 B CN103854982 B CN 103854982B CN 201210505744 A CN201210505744 A CN 201210505744A CN 103854982 B CN103854982 B CN 103854982B
Authority
CN
China
Prior art keywords
layer
gate
gate layer
metal gate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210505744.XA
Other languages
English (en)
Other versions
CN103854982A (zh
Inventor
徐秋霞
朱慧珑
许高博
周华杰
陈大鹏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210505744.XA priority Critical patent/CN103854982B/zh
Priority to US14/355,919 priority patent/US9136181B2/en
Priority to PCT/CN2012/086126 priority patent/WO2014082334A1/zh
Publication of CN103854982A publication Critical patent/CN103854982A/zh
Application granted granted Critical
Publication of CN103854982B publication Critical patent/CN103854982B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

公开了一种半导体器件的制造方法,该方法包括:在半导体衬底上限定有源区;在半导体衬底的表面上形成界面氧化物层;在界面氧化物层上形成高K栅介质;在高K栅介质上形成第一金属栅层;在第一金属栅层上形成假栅层;将假栅层、第一金属栅层、高K栅介质和界面氧化物层图案化为栅叠层;形成围绕栅叠层的栅极侧墙;形成源/漏区,去除假栅层以形成栅极开口;在第一金属栅层中注入掺杂离子;在第一金属栅层上形成第二金属栅层以填充栅极开口;以及进行退火以使掺杂离子扩散并聚积在高K栅介质与第一金属栅层之间的上界面和高K栅介质与界面氧化物之间的下界面处,并且在高K栅介质与界面氧化物之间的下界面处通过界面反应产生电偶极子。

Description

半导体器件的制造方法
技术领域
本发明涉及半导体技术领域,具体地涉及包括金属栅和高K栅介质的半导体器件的制造方法。
背景技术
随着半导体技术的发展,金属氧化物半导体场效应晶体管(MOSFET)的特征尺寸不断减小。MOSFET的尺寸缩小导致栅电流泄漏的严重问题。高K栅介质的使用使得可以在保持等效氧化物厚度(EOT)不变的情形下增加栅介质的物理厚度,因而可以降低栅隧穿漏电流。然而,传统的多晶硅栅与高K栅介质不兼容。金属栅与高K栅介质一起使用不仅可以避免多晶硅栅的耗尽效应,减小栅电阻,还可以避免硼穿透,提高器件的可靠性。因此,金属栅和高K栅介质的组合在MOSFET中得到了广泛的应用。金属栅和高K栅介质的集成仍然面临许多挑战,如热稳定性问题、界面态问题。特别是由于费米钉扎效应,采用金属栅和高K栅介质的MOSFET难以获得适当低的阈值电压。
在集成N型和P型MOSFET的CMOS应用中,为了获得合适的阈值电压,N型MOSFET的有效功函数应当在Si的导带底附近(4.1eV左右),P型MOSFET的有效功函数应当在Si的价带顶附近(5.2eV左右)。可以针对N型MOSFET和P型MOSFET分别选择不同的金属栅和高K栅介质的组合以实现所需的阈值电压。结果,需要在一个芯片上形成双金属栅和双高K栅介质。在半导体器件的制造期间,分别针对N型和P型MOSFET的金属栅和高K栅介质执行各自的光刻和蚀刻步骤。因此,用于制造包括双金属栅和双栅介质的半导体器件的方法工艺复杂,不适合批量生产,这进一步导致成本高昂。
发明内容
本发明的目的是提供一种改进的制造半导体器件的方法,其中可以在制造过程调节半导体器件的有效功函数。
根据本发明,提供一种半导体器件的制造方法,所述方法包括:在半导体衬底上限定有源区;在半导体衬底的表面上形成界面氧化物层;在界面氧化物层上形成高K栅介质;在高K栅介质上形成第一金属栅层;在第一金属栅层上形成假栅层;将假栅层、第一金属栅层、高K栅介质和界面氧化物层图案化为栅叠层;形成围绕栅叠层的栅极侧墙;形成源/漏区,去除假栅层以形成栅极开口;在第一金属栅层中注入掺杂离子;在第一金属栅层上形成第二金属栅层以填充栅极开口;以及进行退火以使掺杂离子扩散并聚积在高K栅介质与第一金属栅层之间的上界面和高K栅介质与界面氧化物之间的下界面处,并且在高K栅介质与界面氧化物之间的下界面处通过界面反应产生电偶极子。在优选的实施例中,所述半导体器件包括在一个半导体衬底上形成的N型MOSFET和P型MOSFET,并且在N型MOSFET的第一金属栅层注入用于减小有效功函数的掺杂剂,在P型MOSFET的第一金属栅层中注入于增加有效功函数的掺杂剂。
在该方法中,一方面,在高K栅介质与第一金属栅层之间的上界面处聚积的掺杂离子改变了金属栅的性质,从而可以有利地调节相应的MOSFET的有效功函数。另一方面,在高K栅介质的与界面氧化物之间的下界面处聚积的掺杂离子通过界面反应还形成合适极性的电偶极子,从而可以进一步有利地调节相应的MOSFET的有效功函数。该方法获得的半导体器件的性能表现出良好的稳定性和显著的调节金属栅的有效功函数的作用。针对两种类型的MOSFET选择不同的掺杂剂,可以减小或增加有效功函数。在CMOS器件中,仅仅通过改变掺杂剂,就可以分别调节两种类型的MOSFET的阈值电压,而不需要分别使用金属栅和栅介质的不同组合。因此,该方法可以省去相应的沉积步骤和掩模及刻蚀步骤,从而实现了简化工艺且易于大量生产。
附图说明
为了更好的理解本发明,将根据以下附图对本发明进行详细描述:
图1至11示意性地示出根据本发明的方法的一个实施例在制造半导体器件的各个阶段的半导体结构的截面图。
具体实施方式
以下将参照附图更详细地描述本发明。在下文的描述中,无论是否显示在不同实施例中,类似的部件采用相同或类似的附图标记表示。在各个附图中,为了清楚起见,附图中的各个部分没有按比例绘制。
在下文中描述了本发明的许多特定的细节,例如器件的结构、材料、尺寸、处理工艺和技术,以便更清楚地理解本发明。但正如本领域的技术人员能够理解的那样,可以不按照这些特定的细节来实现本发明。除非在下文中特别指出,半导体器件中的各个部分可以由本领域的技术人员公知的材料构成,或者可以采用将来开发的具有类似功能的材料。
在本申请中,术语“半导体结构”指在经历制造半导体器件的各个步骤后形成的半导体衬底和在半导体衬底上已经形成的所有层或区域。术语“源/漏区”指一个MOSFET的源区和漏区二者,并且采用相同的一个附图标记标示。术语“N型掺杂剂”是指用于N型MOSFET的可以减小有效功函数的掺杂剂。术语“P型掺杂剂”是指用于P型MOSFET的可以增加有效功函数的掺杂剂。
根据本发明的一个实施例,参照图1至11说明制造半导体器件的方法。该半导体器件是包括在一个半导体衬底上形成的NMOSFET和PMOSFET的CMOS器件。
在图1中所示的半导体结构已经完成了一部分CMOS工艺。在半导体衬底101(例如,硅衬底)上包括由浅沟槽隔离102分隔开的分别用于N型MOSFET和P型MOSFET的有源区。
通过化学氧化或附加的热氧化,在半导体衬底101的暴露表面上形成界面氧化物层103(例如,氧化硅)。在一个实例中,通过在约600-900℃的温度下进行20-120s的快速热氧化形成界面氧化物层103。在另一个实例中,通过含臭氧(O3)的水溶液中进行化学氧化形成界面氧化物层103。
优选地,在形成界面氧化物层103之前,对半导体衬底101的表面进行清洗。该清洗包括首先进行常规的清洗,然后浸入包括氢氟酸、异丙醇和水的混合溶液中,然后采用去离子水冲洗,最后甩干。在一个实例中,该混合溶液的成分为氢氟酸∶异丙醇∶水的体积比约为0.2-1.5%∶0.01-0.10%∶1,并且浸入时间约为1-10分钟。该清洗可以获得半导体衬底101的洁净的表面,抑制硅表面自然氧化物的生成和颗粒污染,从而有利于形成高质量的界面氧化物层103。
然后,通过已知的沉积工艺,如ALD(原子层沉积)、CVD(化学气相沉积)、MOCVD(金属有机化学气相沉积)、PVD(物理气相沉积)、溅射等,在半导体结构的表面上依次形成高K栅介质104、第一金属栅层105、阻挡层106和假栅层107,如图2所示。
高K栅介质104由介电常数大于SiO2的合适材料构成,例如可以是选自ZrO2、ZrON、ZrSiON、HfZrO、HfZrON、HfON、HfO2、HfAlO、HfAlON、HfSiO、HfSiON、HfLaO、HfLaON及其任意组合的一种。第一金属栅层105由可以用于形成金属栅的合适材料构成,例如可以是选自TiN、TaN、MoN、WN、TaC和TaCN的一种。阻挡层106由可以阻挡假栅层107和第一金属栅层105之间的反应和互扩散的材料组成,例如可以是选自TaN、A1N和TiN的一种。假栅层107可以由多晶硅层或非晶硅层(α-Si)组成。应当注意,阻挡层106是可选的,如果不会发生假栅层107和第一金属栅层105之间的反应和互扩散,则不需要包括该层。在一个实例中,高K栅介质104例如是厚度约1.5-5nm的HfO2层,第一金属栅层105例如是厚度约2-30nm的TiN层,阻挡层106例如是厚度约为3-8nm的TaN层,假栅层107例如是厚度约为30-120nm的多晶硅层。
优选地,在形成高K栅介质104和形成第一金属栅层105之间还可以包括高K栅介质沉积后退火(post deposition annealing),以改善高K栅介质的质量,这有利于随后形成的第一金属栅层105获得均匀的厚度。在一个实例中,通过在500-1000℃的温度进行5-100s的快速热退火作为沉积后退火。
然后,采用光致抗蚀剂掩模(未示出)或硬掩模(未示出)进行图案化以形成栅叠层。在图案化中,通过干法蚀刻,如离子铣蚀刻、等离子蚀刻、反应离子蚀刻、激光烧蚀,或者通过其中使用蚀刻剂溶液的湿法蚀刻,选择性地去除假栅层107、阻挡层106、第一金属栅层105、高K栅介质104和界面氧化物层103的暴露部分,分别形成N型MOSFET和P型MOSFET的栅叠层,如图3所示。在图中示出N型MOSFET的栅叠层包括假栅层107a、阻挡层106a、第一金属栅层105a、高K栅介质104a和界面氧化物层103a,P型MOSFET的栅叠层包括假栅层107b、阻挡层106b、第一金属栅层105b、高K栅介质104b和界面氧化物层103b。
在用于形成栅叠层的图案化步骤中,可以针对不同的层采用不同的蚀刻剂。在一个实例中,在干法蚀刻假栅层107时采用基于F的蚀刻气体、基于Cl的蚀刻气体或者基于HBr/Cl2的蚀刻气体,在干法蚀刻第一金属栅层105/高K栅介质104时采用基于BCL3/Cl2的蚀刻气体。优选地,在前述蚀刻气体中还可以添加Ar和/或O2以改善蚀刻效果。要求栅叠层的刻蚀具有陡直和连续的剖面,高的各向异性,对硅衬底有高的刻蚀选择比,不损伤硅衬底。
然后,通过上述已知的沉积工艺,在半导体结构的表面上形成例如10-50nm的氮化硅层,然后对氮化硅层进行各向异性蚀刻,从而在N型MOSFET的有源区中形成围绕栅叠层的侧墙108a,在P型MOSFET的有源区中形成围绕栅叠层的侧墙108b,如图4所示。
然后,采用栅叠层及其侧墙作为硬掩模进行源/漏离子注入,并进行激活退火,从而在半导体衬底101中形成N型MOSFET的源/漏区109a以及P型MOSFET的源/漏区109b,如图5所示。N型MOSFET的源/漏区109a位于栅叠层的两侧,并且可以包括至少部分地延伸至高K栅介质104a下方的延伸区。P型MOSFET的源/漏区109b位于栅叠层的两侧,并且可以包括至少部分地延伸至高K栅介质104b下方的延伸区。由于假栅层107a和107b的保护,源/漏离子注入的掺杂剂没有进入第一金属栅层105a和105b中,这有利于在随后的金属栅注入中调节有效功函数。
可以采用快速热退火(RTA)、瞬态退火(spike anneal)、激光退火(laser anneal)、微波退火(microwave anneal)进行源/漏激活退火。退火的温度约为950-1100℃,时间约为2ms-30s。
然后,在源/漏区109a的表面形成硅化区110a(例如,硅化镍,硅化镍铂),在源/漏区109b的表面形成硅化区110b(例如,硅化镍,硅化镍铂),如图6所示。硅化区可以减小源/漏区的串联电阻和接触电阻。
然后,通过上述已知的沉积工艺,在半导体结构的表面上形成覆盖有源区的层间介质层111(例如,氮化硅、氧化硅)。通过化学机械抛光(CMP),平整层间介质层111的表面并暴露假栅层107a和107b的顶部,如图7所示。
然后,通过干法蚀刻,如离子铣蚀刻、等离子蚀刻、反应离子蚀刻、激光烧蚀,或者通过其中使用蚀刻剂溶液的湿法蚀刻,相对于层间介质层111选择性地去除假栅层107a和107b,以形成栅极开口,如图8所示。
然后,通过包含曝光和显影的光刻工艺,形成含有图案的光致抗蚀剂掩模PR1,以遮挡P型MOSFET的有源区并暴露N型MOSFET的有源区。采用该光致抗蚀剂掩模PR1穿过栅极开口进行离子注入,在N型MOSFET的有源区的第一金属栅层105a中注入N型掺杂剂,如图8所示。用于金属栅的N型掺杂剂可以是选自P、As、Sb、La、Er、Dy、Gd、Sc、Yb、Er和Tb的一种。控制离子注入的能量和剂量,使得注入的掺杂离子仅仅分布在第一金属栅层105a中,而没有进入高K栅介质104a,并且控制离子注入的能量和剂量,使得第一金属栅层105a具有合适的掺杂深度和浓度以获得期望的阈值电压。在一个实施例中,离子注入的能量约为0.2KeV-30KeV,剂量约为1E13-1E15cm-2。在该注入之后,通过灰化或溶解去除光抗蚀剂掩模PR1。
然后,通过包含曝光和显影的光刻工艺,形成含有图案的光致抗蚀剂掩模PR2,以遮挡N型MOSFET的有源区并暴露P型MOSFET的有源区。采用该光致抗蚀剂掩模PR2穿过栅极开口进行离子注入,在P型MOSFET的有源区的第一金属栅层105b中注入P型掺杂剂,如图10所示。用于金属栅的P型掺杂剂可以是选自In、B、BF2、Ru、W、Mo、Al、Ga、Pt的一种。控制离子注入的能量和剂量,使得注入的掺杂离子仅仅分布在第一金属栅层105b中,而没有进入高K栅介质104b。并且使得第一金属栅层105b具有合适的掺杂深度和浓度,以获得期望的阈值电压。在一个实施例中,离子注入的能量约为0.2KeV-30KeV,剂量约为1E13-1E15cm-2。在该注入之后,通过灰化或溶解去除光抗蚀剂掩模PR2。
然后通过上述已知的沉积工艺,在半导体结构的表面上形成第二金属栅层。以层间介质层111作为停止层进行化学机械抛光(CMP),以去除第二金属栅层位于栅极开口外的部分,而仅仅保留位于栅极开口内的部分,如图11所示。第二金属栅层可以由与第一金属栅层相同或不同的材料组成,例如可以是选自W、Ti、TiAl、Al、Mo、Ta、TiN、TaN、WN及其任意组合的一种构成。在一个实例中,第二金属栅层例如是厚度约30-80nm的W层。在图中示出N型MOSFET的栅叠层包括第二金属栅层112a、阻挡层106a、第一金属栅层105a、高K栅介质104a和界面氧化物层103a,P型MOSFET的栅叠层包括第二金属栅层112b、阻挡层106b、第一金属栅层105b、高K栅介质104b和界面氧化物层103b。尽管N型MOSFET和P型MOSFET的栅叠层由相同的层形成,但两者的金属栅中包含相反类型的掺杂离子对有效功函数起到相反的调节作用。
在完成公知的接触和互联后,上述半导体结构在惰性气氛(例如N2)或弱还原性气氛(例如N2和H2的混合气氛)中进行退火。在一个实例中,在炉中进行退火,退火温度约为350℃-450℃,退火时间约为20-90分钟。退火驱使注入的掺杂离子扩散并聚积在高K栅介质104a和104b的上界面和下界面处,并且进一步在高K栅介质104a和104b的下界面处通过界面反应形成电偶极子。这里,高K栅介质104a和104b的上界面是指其与上方的第一金属栅层105a和105b之间的界面,高K栅介质104a和104b的下界面是指其与下方的界面氧化物层103a和103b之间的界面。
该退火改变了掺杂离子的分布。一方面,在高K栅介质104a和104b的上界面处聚积的掺杂离子改变了金属栅的性质,从而可以有利地调节相应的MOSFET的有效功函数。另一方面,在高K栅介质104a和104b的下界面处聚积的掺杂离子通过界面反应还形成合适极性的电偶极子,从而可以进一步有利地调节相应的MOSFET的有效功函数。
在上文中并未描述MOSFET的所有细节,例如源/漏接触、附加的层间电介质层和导电通道的形成。本领域的技术人员熟知形成上述部分的标准CMOS工艺以及如何应用于上述实施例的MOSFET中,因此对此不再详述。
以上描述只是为了示例说明和描述本发明,而非意图穷举和限制本发明。因此,本发明不局限于所描述的实施例。对于本领域的技术人员明显可知的变型或更改,均在本发明的保护范围之内。

Claims (20)

1.一种半导体器件的制造方法,所述方法包括:
在半导体衬底上限定有源区;
在半导体衬底的表面上形成界面氧化物层;
在界面氧化物层上形成高K栅介质;
在高K栅介质上形成第一金属栅层;
在第一金属栅层上形成假栅层;
将假栅层、第一金属栅层、高K栅介质和界面氧化物层图案化为栅叠层;
形成围绕栅叠层的栅极侧墙;
形成源/漏区,
去除假栅层以形成栅极开口;
在第一金属栅层中注入掺杂离子;
在第一金属栅层上形成第二金属栅层以填充栅极开口;以及
进行退火以使掺杂离子扩散并聚积在高K栅介质与第一金属栅层之间的上界面和高K栅介质与界面氧化物之间的下界面处,并且在高K栅介质与界面氧化物之间的下界面处通过界面反应产生电偶极子。
2.根据权利要求1所述的方法,其中高K栅介质由选自ZrO2、ZrON、ZrSiON、HfZrO、HfZrON、HfON、HfO2、HfAlO、HfAlON、HfSiO、HfSiON、HfLaO、HfLaON及其任意组合的一种构成。
3.根据权利要求1所述的方法,其中高K栅介质的厚度为1.5-5nm。
4.根据权利要求1所述的方法,其中采用原子层沉积、物理汽相沉积或金属有机化学汽相沉积形成高K栅介质。
5.根据权利要求4所述的方法,其中在形成高K栅介质之后,还包括附加的退火以改善高K栅介质的质量。
6.根据权利要求1所述的方法,其中第一金属栅层由选自TiN、TaN、MoN、WN、TaC、TaCN及其任意组合的一种构成。
7.根据权利要求1所述的方法,其中第一金属栅层的厚度为2-30nm。
8.根据权利要求1所述的方法,其中第二金属栅层由选自W、Ti、TiAl、Al、Mo、Ta、TiN、TaN、WN及其任意组合的一种构成。
9.根据权利要求1所述的方法,其中在第一金属栅层中注入掺杂离子的步骤中,根据期望的阈值电压控制离子注入的能量和剂量,并且使得掺杂离子仅仅分布在第一金属栅层中。
10.根据权利要求9所述的方法,其中离子注入的能量为0.2KeV-30KeV。
11.根据权利要求9所述的方法,其中离子注入的剂量为1E13-1E15cm-2
12.根据权利要求1所述的方法,其中所述半导体器件包括在一个半导体衬底上形成的N型MOSFET和P型MOSFET,并且在第一金属栅层中注入掺杂离子的步骤包括:
在遮挡P型MOSFET的情形下,采用第一掺杂剂注入对N型MOSFET的第一金属栅层进行离子注入;以及
在遮挡N型MOSFET的情形下,采用第二掺杂剂注入对P型MOSFET的第一金属栅层进行离子注入。
13.根据权利要求12所述的方法,其中第一掺杂剂是可以减小有效功函数的掺杂剂。
14.根据权利要求13所述的方法,其中第一掺杂剂是选自P、As、Sb、La、Er、Dy、Gd、Sc、Yb、Er和Tb的一种。
15.根据权利要求12所述的方法,其中第二掺杂剂是可以增加有效功函数的掺杂剂。
16.根据权利要求15所述的方法,其中第二掺杂剂是选自In、B、BF2、Ru、W、Mo、Al、Ga、Pt的一种。
17.根据权利要求1所述的方法,其中在惰性气氛或弱还原性气氛中执行退火,退火温度为350℃-450℃,退火时间为20-90分钟。
18.根据权利要求1所述的方法,其中假栅层由多晶硅或非晶硅组成。
19.根据权利要求1所述的方法,其中在第一金属栅层注入掺杂剂的步骤和形成假栅层的步骤之间还包括形成阻挡层,使得栅叠层还包括该阻挡层。
20.根据权利要求19所述的方法,其中阻挡层由选自TaN、AlN、TiN及其任意组合的一种构成。
CN201210505744.XA 2012-11-30 2012-11-30 半导体器件的制造方法 Active CN103854982B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201210505744.XA CN103854982B (zh) 2012-11-30 2012-11-30 半导体器件的制造方法
US14/355,919 US9136181B2 (en) 2012-11-30 2012-12-07 Method for manufacturing semiconductor device
PCT/CN2012/086126 WO2014082334A1 (zh) 2012-11-30 2012-12-07 半导体器件的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210505744.XA CN103854982B (zh) 2012-11-30 2012-11-30 半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN103854982A CN103854982A (zh) 2014-06-11
CN103854982B true CN103854982B (zh) 2016-09-28

Family

ID=50827103

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210505744.XA Active CN103854982B (zh) 2012-11-30 2012-11-30 半导体器件的制造方法

Country Status (3)

Country Link
US (1) US9136181B2 (zh)
CN (1) CN103854982B (zh)
WO (1) WO2014082334A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681274B (zh) * 2012-09-12 2016-12-28 中国科学院微电子研究所 半导体器件制造方法
US10068982B2 (en) * 2014-05-29 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with metal gate
US9812366B2 (en) * 2014-08-15 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of tuning work function for a semiconductor device
CN104795315A (zh) * 2015-04-15 2015-07-22 上海华力微电子有限公司 一种非晶硅薄膜及一种半导体器件的制造方法
US10147799B2 (en) * 2016-01-15 2018-12-04 Taiwan Semiconductor Manufacturing Company Limited Method of fabricating tantalum nitride barrier layer and semiconductor device thereof
CN108389835B (zh) * 2017-02-03 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102328279B1 (ko) 2017-08-11 2021-11-17 삼성전자주식회사 반도체 소자
CN109950205B (zh) * 2017-12-20 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109817585B (zh) * 2019-03-18 2021-10-15 上海新微技术研发中心有限公司 金属氧化物半导体器件及其制造方法
CN114420751A (zh) * 2021-12-06 2022-04-29 北京超弦存储器研究院 一种垂直mosfet器件及其制造方法、应用
US20230223275A1 (en) * 2022-01-12 2023-07-13 Changxin Memory Technologies, Inc. Semiconductor device and method for manufacturing same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102280376A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
CN102339858A (zh) * 2010-07-16 2012-02-01 中国科学院微电子研究所 p型半导体器件及其制造方法
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US7326631B2 (en) * 2003-02-03 2008-02-05 Nxp B.V. Method of manufacturing MOS transistors with gate electrodes formed in a packet of metal layers deposited upon one another
US7186599B2 (en) 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
CN101447454B (zh) * 2007-11-28 2010-09-29 中国科学院微电子研究所 一种调节全硅化金属栅的栅功函数的方法
CN101800196B (zh) * 2009-02-09 2012-01-25 中国科学院微电子研究所 一种双金属栅功函数的调节方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8173499B2 (en) * 2009-06-12 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
CN102280376A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种用于cmos器件的双金属栅双高介质的集成方法
CN102339858A (zh) * 2010-07-16 2012-02-01 中国科学院微电子研究所 p型半导体器件及其制造方法

Also Published As

Publication number Publication date
CN103854982A (zh) 2014-06-11
US9136181B2 (en) 2015-09-15
WO2014082334A1 (zh) 2014-06-05
US20150170974A1 (en) 2015-06-18

Similar Documents

Publication Publication Date Title
CN103854982B (zh) 半导体器件的制造方法
CN103855093B (zh) 半导体器件及其制造方法
US9899270B2 (en) Methods for manufacturing semiconductor devices
US8415254B2 (en) Method for removing dummy poly in a gate last process
CN107958872A (zh) 半导体器件及其形成方法
CN103854983B (zh) P型mosfet的制造方法
CN109728090A (zh) 一种半导体器件及其形成方法
CN103021862A (zh) 具有低温除氧的金属栅极器件
CN103855016A (zh) 半导体器件的制造方法
CN103855012A (zh) N型mosfet的制造方法
CN106653605A (zh) 半导体结构的形成方法
CN103855094A (zh) 半导体器件及其制造方法
CN103855014B (zh) P型mosfet及其制造方法
CN103855008A (zh) N型mosfet及其制造方法
CN103094214B (zh) 制作半导体器件的方法
CN103855007A (zh) P型mosfet的制造方法
US20140154853A1 (en) Method for manufacturing n-type mosfet
CN104517842B (zh) 一种制作半导体器件的方法
CN103855013A (zh) N型mosfet的制造方法
CN104752349B (zh) 一种制作半导体器件的方法
CN104752316B (zh) 一种制作半导体器件的方法
CN104979289B (zh) 一种半导体器件及其制作方法
CN105990436A (zh) 半导体器件及其制造方法
CN107749398A (zh) P型mosfet的制作方法
CN108039368A (zh) N型mosfet的制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant