CN103777475B - 清洁制剂 - Google Patents

清洁制剂 Download PDF

Info

Publication number
CN103777475B
CN103777475B CN201310504991.2A CN201310504991A CN103777475B CN 103777475 B CN103777475 B CN 103777475B CN 201310504991 A CN201310504991 A CN 201310504991A CN 103777475 B CN103777475 B CN 103777475B
Authority
CN
China
Prior art keywords
weight
composition
acid
water
fluoride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310504991.2A
Other languages
English (en)
Other versions
CN103777475A (zh
Inventor
李翊嘉
M·B·拉奥
G·巴纳杰
刘文达
吴爱萍
稻冈诚二
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN103777475A publication Critical patent/CN103777475A/zh
Application granted granted Critical
Publication of CN103777475B publication Critical patent/CN103777475B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

描述了一种用于从具有图案的微电子器件除去含铜的蚀刻后和/或灰化后残留物的组合物和方法。所述除去组合物包括水、水可混溶的有机溶剂、胺化合物、有机酸和氟离子源。所述组合物从所述微电子器件有效地除去含铜的蚀刻后残留物而不会损害暴露的低‑k介电材料和金属互连材料。

Description

清洁制剂
相关申请的交叉引用
本非临时申请要求2012年10月23日提交的美国申请No.61/717152和2013年4月29日提交的美国申请No.61/817134的权益,这两个临时申请都具有与本申请相同的标题。在此,将美国申请No.61/717152和61/817134以其全部通过引用并入。
技术领域
本发明涉及可用于多种应用的清洁组合物,所述应用包括例如除去半导体衬底上的不需要的抗蚀膜、蚀刻后和灰化后的残留物。特别地,本发明涉及可用于从衬底(优选微电子器件)的表面上除去残留物(优选含铜的蚀刻后和/或灰化后残留物)的清洁组合物,及使用所述组合物除去所述残留物的方法。
发明背景
本发明的背景将结合其在涉及集成电路制造的清洁应用中的用途进行描述。然而,应当理解,本发明的用途具有如以下描述的更宽的适用性。
在集成电路的制造中,有时需要在薄膜中蚀刻开口或其它几何形状,所述薄膜沉积或生长在硅、砷化镓、玻璃或位于工艺中的集成电路晶片上的其它衬底的表面上。用于蚀刻这样的膜的现有方法需要使所述膜暴露于化学蚀刻剂以除去膜的部分。用于除去所述膜的部分的特定蚀刻剂取决于膜的性质。例如,在氧化物膜的情况下,蚀刻剂可以是氢氟酸。在多晶硅膜的情况下,其通常是氢氟酸或者是硝酸和乙酸的混合物。
为了确保仅除去膜的所需部分,使用光刻方法(photolithography process),通过这种方法将在计算机打样的光掩模中的图案转印到膜的表面。掩模的作用是确定膜上将被选择性除去的区域。该图案由光致抗蚀剂材料形成,所述光致抗蚀剂材料是以薄膜形式旋涂到加工中的集成电路晶片上的光敏材料,并且其被暴露于透过光掩模投射的高强度辐射。根据其组成,通常使用显影剂溶解曝光或未曝光的光致抗蚀剂材料,留下可使蚀刻在选择的区域进行而防止在其它区域中蚀刻的图案。例如正型抗蚀剂已经被广泛用作掩模材料以在衬底上描绘图案,当蚀刻发生时,其将会变为通路、沟槽、接触孔等。
干式蚀刻方法,例如等离子体蚀刻、反应离子蚀刻或离子铣削(ion milling)越来越多地被用于侵蚀衬底的未被光致抗蚀剂保护的区域,以形成通路、沟槽和接触孔等。作为等离子体蚀刻方法的结果,光致抗蚀剂、蚀刻气体及蚀刻材料副产物作为残留物沉积在衬底上的蚀刻开口周围或侧壁上。
这种干式蚀刻方法通常也使得抗蚀剂掩模非常难以除去。例如,在复杂的半导体器件例如高级DRAMS和具有多层互连布线的后端线路(back end lines)的逻辑器件中,反应离子蚀刻(RIE)用于产生穿过层间电介质的通路,以提供一层硅、硅化物或金属布线与下一层布线之间的接触。这些通路通常暴露Al、AlCu、Cu、Ti、TiN、Ta、TaN、硅或硅化物例如钨、钛或钴的硅化物。例如,RIE方法在所涉及的衬底上留下包含复杂混合物的残留物,所述复杂混合物可能包括,例如,再溅射的氧化物材料、源自蚀刻气体的聚合材料以及来自用来描绘所述通路的抗蚀剂的有机材料。
此外,在蚀刻步骤结束之后,光致抗蚀剂和蚀刻残留物必须从晶片的被保护区域除去,以使得最后的精整操作能够进行。这可以通过使用合适的等离子体灰化气体在等离子体“灰化”步骤中完成。这通常发生在高温下,例如,高于200℃。灰化将大部分有机残留物转化为挥发性物质,但是把大部分的无机残留物留在衬底上。这些残留物通常不仅残留在衬底的表面上,而且也残留在可能存在的通路的内壁上。结果,经灰化处理的衬底通常使用清洁组合物(通常称为“液体剥离组合物”)处理以从衬底除去高度粘附性的残留物。寻找一种合适的清洁组合物来去除这些残留物而不会不利地影响(例如腐蚀、溶解或钝化)金属电路也已被证实是困难的。未完全地除去或中和(neutralize)这些残留物将导致电路布线的中断和不期望的电阻升高。
蚀刻后残留物的清洁仍然是成功获得任何低-k介电材料的关键工艺步骤。由于低k材料的介电常数低于2.4,因此化学和机械灵敏度增加(例如,化学强度降低等),从而需要更短的处理时间和/或更少的侵袭性化学作用。令人遗憾地,较短的处理时间通常转变为更高侵袭性的化学作用,其可能对于低-k介电材料以及其它堆叠材料(例如,铜、蚀刻中止层(etch stop)等)具有有害的影响。因此,期望的是具有非常高选择性的改善的清洁化学作用。
现有技术的剥离组合物包括例如∶美国专利No.7,399,356(Aoyama)、美国专利No.6,755,989(Wojtczak)、美国专利No.7,250,391(Kanno)、美国专利No.7,723,280(Brainard)、美国专利申请公布No.2006/0016785(Egbe);美国专利申请公布No.2006/0178282(Suyama)、美国专利申请公布No.2006/0237392(Auger)、美国专利申请公布No.2006/0270573(Ikemoto)、美国专利申请公布No.2007/0078073(Auger)和美国专利申请公布No.2009/0301996(Visintin)。然而,用于除去蚀刻残留物的这类现有技术剥离组合物存在显著的缺点。例如,这类剥离组合物的使用易于腐蚀暴露在通孔底部上的铜线。而且,当考虑多孔间层低-k电介质时,现有技术的剥离组合物蚀刻该多孔层间介电材料,或者包括吸附到孔中的组分从而增加介电材料的介电常数k,这可能潜在地对最终器件的性能造成不利影响。
对于美国专利No.7,723,280,溶剂混合物包括醚。然而,醚溶剂具有损坏低-k层的可能性。特别地,醚溶剂可以穿透多孔低-k介质层,从而增加该层的介电常数。因此,醚溶剂可以污染多孔低-k层且不利地影响其绝缘能力。此外,醚溶剂可不利地影响和提高铜蚀刻速率。
因此,本领域需要一种用于有效地清洁包括多孔层间介电层的衬底的后端工艺清洁操作的清洁组合物该衬底,但是不会显著地蚀刻金属(例如Cu、Al)或多孔低-k电介质,而且不会显著不利地影响该多孔低-k膜的介电常数。
发明简述
本发明通过提供一种可用于从半导体衬底除去残留物的组合物而满足该需要,所述组合物包含∶a)约15重量%至约50重量%的水;b)约10重量%至约65重量%的水可混溶的有机溶剂;c)约1重量%至约50重量%的选自至少一种烷醇胺和氨基丙基吗啉的胺化合物;d)约1重量%至约25重量%的有机酸;和e)约0.01重量%至约8重量%的氟离子源,其中所述水可混溶的有机溶剂不是醚。
在另一个方面,本发明提供一种从半导体衬底除去残留物的方法,所述方法包括以下步骤∶将所述半导体衬底与权利要求1的清洁组合物接触,其中所述半导体衬底包括具有一定介电常数的多孔介电材料;从所述半导体衬底漂洗掉所述清洁组合物;和干燥所述半导体衬底,其中所述多孔介电材料的介电常数增加不超过0.50。
在再另一个方面,本发明提供一种加入到清洁组合物中的补充组合物(replenishment composition),所述补充组合物包含:a)约20重量%至约84重量%的水;b)约15重量%至约40重量%的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂不是醚;c)约1重量%至约5重量%的选自至少一种烷醇胺和至少一种氨基丙基吗啉的胺化合物。
在再另一个方面,本发明提供一种从半导体衬底除去不需要的残留物的方法,其包括以下步骤:将所述半导体衬底与清洁组合物接触,所述清洁组合物包含∶a)约15重量%至约50重量%的水;b)约10重量%至约65重量%的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂不是醚;c)约1重量%至约20重量%的选自至少一种烷醇胺和至少一种氨基丙基吗啉的胺化合物;d)约1重量%至约25重量%的至少一种有机酸;和e)约0.01重量%至约8重量%的氟离子源,其中所述接触步骤导致一部分所述清洁组合物的损失;和向所述清洁组合物中加入补充组合物,所述补充组合物包含∶a)约20重量%至约84重量%的水;b)约15重量%至约40重量%的水可混溶的有机溶剂,其中所述水可混溶的溶剂不是醚;c)约1重量%至约5重量%的选自至少一种烷醇胺和至少一种氨基丙基吗啉的胺化合物。
在再另一个方面,本发明提供一种用于从半导体衬底除去残留物的组合物,所述组合物基本上由以下组成:a)约15重量%至约50重量%的水;b)约10重量%至约65重量%的水可混溶的有机溶剂,其选自丙二醇、甘油、二甲基乙酰胺、四氢糠醇、乙二醇、己二醇及其混合物;c)约1%至约50%重量的选自至少一种烷醇胺和氨基丙基吗啉的胺化合物;d)约1重量%至约25重量%的有机酸;e)约0.01重量%至约8重量%的氟离子源;和f)任选地,约0.1重量%至约15重量%的Cu腐蚀抑制剂,其选自苯并三唑、氨基苯并三唑、L-抗坏血酸、没食子酸、香草醛、二乙基羟胺及其混合物。
在仍再另一个方面,本发明提供一种用于从半导体衬底除去残留物的组合物,所述组合物基本上由以下组成:a)约15重量%至约50重量%的水;b)约10重量%至约65重量%的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂不是醚;c)约1重量%至约50重量%的选自至少一种烷醇胺和氨基丙基吗啉的胺化合物;d)约1重量%至约25重量%重量的有机酸;e)约0.01重量%至约8重量%的氟离子源;和f)任选地约0.1重量%至约15重量%的Cu腐蚀抑制剂,其选自苯并三唑、氨基苯并三唑、L-抗坏血酸、没食子酸、香草醛、二乙基羟胺及其混合物。
附图简要说明
图1显示包括在清洁之前存在于这些表面上的残留物的(a)侧壁视图和(b)顶面视图,和在用实施例中描述的清洁组合物95O清洁之后的(c)侧壁视图和(d)顶面视图。
发明详述
本发明提供一种组合物,其组分的存在量有效地从衬底(例如半导体衬底)除去残留物。在有关半导体衬底的应用中,这些残留物包括,例如光致抗蚀剂残留物、灰分残留物以及蚀刻残留物,例如由反应离子蚀刻产生的残留物。而且,半导体衬底还包括也会与清洁组合物接触的金属、硅、硅酸盐和/或层间介电材料例如沉积的氧化硅。典型的金属包括铜、铜合金、钛、氮化钛、钽、氮化钽、铝和/或铝合金。本发明的清洁组合物与这些材料是相容的,因为它们表现出低金属和/或介电质蚀刻速率。特别地,提供为4/分钟或更小、3/分钟或更小或者2/分钟或更小的铜蚀刻速率的组合物可能是优选的。
本发明的清洁组合物包含水。在本发明中,水以各种方式起作用,例如,溶解组合物的一种或多种固体组分、作为组分的载体、作为除去残留物的助剂、作为组合物的粘度调节剂以及作为稀释剂。优选地,在所述清洁组合物中使用的水为去离子(DI)水。
据信,对于大多数应用,例如,水的含量为约15wt.%至约50wt.%的水。本发明的其它实施方式可包含约35wt.%至约50wt.%的水。本发明的其它优选的实施方式可以包含约20wt.%至约40wt.%的水。本发明的再其它的优选实施方式可以包含约30至约35%wt.的水。本发明的仍然其它的优选实施方式可包含约32重量%至约35重量%的量或有效获得其它成分的期望重量百分数的量的水。
水可混溶的有机溶剂
本发明的清洁组合物包含一种或多种水可混溶的有机溶剂,其中所述水可混溶的有机溶剂不是醚。在本发明的某些实施方式中,衬底上的金属线通常决定是否使用水可混溶的有机溶剂。例如,当在衬底上存在铝线时,水和氟离子的组合通常倾向于蚀刻铝。在这样的实施方式中,水可混溶的有机溶剂的使用可以显著地减少(即使不会消除)铝的蚀刻。
可以使用的水可混溶的有机溶剂的实例为乙二醇、丙二醇、1,4-丁二醇、己二醇、二甲亚砜、二甲基乙酰胺、四氢糠醇、甘油、醇类、亚砜类或其混合物。
优选的水可混溶的溶剂包括丙二醇、甘油、二甲基乙酰胺、四氢糠醇、乙二醇、己二醇及其混合物。二甲基乙酰胺、丙二醇、甘油或其组合是最优选的。
据信,对于大多数应用,水可混溶的有机溶剂的量将占组合物的约10至65重量%或约20到65重量%。在一些实施方式中,所述溶剂包含以组合物的重量计约35至约55重量%,并且最特别地约45%至约55%或约48至约52重量%的水可混溶的有机溶剂。在其它优选的实施方式中,所述溶剂占约10至约40重量%,特别地约10至约35重量%,并且最特别地,约10至约30重量%。
在本发明的组合物中,水可混溶的有机溶剂主要起溶解有机残留物的作用。
本发明的组合物的水可混溶的溶剂组分不包括醚溶剂,换言之醚不用作本发明组合物的水可混溶的溶剂组分。不希望受到理论的束缚,据信醚溶剂可以损坏低-k层。特别地,据信醚溶剂可以穿透多孔低-k介电层,使得其难于从低-k层除去并增加介电常数。因此,醚溶剂可以污染多孔低-k层,并且不利地影响其绝缘能力。此外,醚溶剂可不利地影响并增加铜蚀刻速率。因此,当使用时,本发明的组合物优选地提高低-k介电层的介电常数不超过0.50,并且Cu蚀刻速率不超过4/min。
氟离子
本发明的清洁组合物也包含一种或多种氟离子源。氟离子主要起帮助从衬底除去无机残留物的作用。根据本发明的提供氟离子源的优选化合物为氟化铵和季铵氟化物,例如四甲基氟化铵和四丁基氟化铵。可以使用脂肪族伯胺、仲胺或叔胺的氟化物盐。这种胺的实例为具有下式的那些∶
R1NR2R3R4F
其中R1、R2、R3和R4独立地代表H或(C1-C4)烷基。通常,R1、R2、R3和R4基团的碳原子总数为12个碳原子或更少。
在选择氟离子源时,应当考虑所述源是否释放不利地影响待清洁表面的离子。例如,在清洁半导体元件中,清洁组合物中存在的钠或钙离子可以对元件表面具有不利影响,在优选的实施方式中,所述氟离子源是氟化铵。
据信对于大多数应用,用作氟离子源的化合物在清洁组合物中的量包含约0.01至约8重量%或约0.01至约7重量%的40%氟化铵溶液,或其化学计量当量。优选地,所述化合物包含约0.02至约8重量%,更优选约0.02至约6重量%,还更优选约1至约8重量%和最优选约0.025重量%到约5重量%的约40%氟化铵溶液。在一些实施方式中,所述组合物将包含约0.01至约8重量%或约0.01至约7重量%的氟离子源,其可以由40%氟化铵溶液提供。优选地,所述化合物包含约0.02至约6重量%氟离子源,且最优选约0.025重量%至约5重量%或约0.04至约2.5重量%的氟离子源,或者约0.05至约15重量%的40%氟化铵溶液,最优选约0.0625重量%至约12.5重量%或约0.1至约6.25重量%的40%氟化铵溶液。然而,应当理解使用的氟离子的量通常取决于待清洁的特定衬底。例如,在某些清洁应用中,当清洁包括对氟化物蚀刻具有高抗性的介电材料的衬底时,氟离子的量可以相对高。相反地,在其它应用中,例如当清洁包含对氟化物蚀刻具有低抗性的介电材料的衬底时,氟离子的量应当相对低。
pH/缓冲剂
本发明的清洁组合物优选地包括缓冲剂以控制组合物的pH,通常控制为约7至约10或约7至约9的范围之内,优选的pH范围为约8至约9或约8.1至约10。存在着其中使用缓冲剂是有利的、实际上甚至相当重要的各种应用,因为一些应用显示出可以在清洁和衬底蚀刻中引起显著和不期望的变化的pH漂移。
本发明中使用的缓冲剂通常包含弱酸和含有该弱酸的共轭碱的可溶性盐。例如,缓冲剂可以包含弱有机单酸及其共轭碱,例如乙酸和乙酸铵。在其它实施方式中,缓冲剂可以包含有机碱或无机碱与有机二酸的组合。合适的碱的实例包括∶氢氧化铵、胺和氢氧化季铵。在半导体应用中,优选地所述碱不包括金属离子,例如钠和钾,因为它们倾向于污染衬底。优选的碱为本文描述的胺化合物,优选的酸为本文描述的有机酸。当存在量足够形成缓冲剂(即,当酸与碱的摩尔比为1:1到1:10)时,所述胺化合物和有机酸化合物一起起到缓冲剂的作用。
胺化合物
本发明的清洁组合物包含胺化合物,其可以包括(1)至少一种烷醇胺;(2)至少一种氨基丙基吗啉;或(3)至少一种烷醇胺和至少一种氨基丙基吗啉二者。
在本发明的某些优选的实施方式中,所述胺化合物为至少一种烷醇胺。优选的烷醇胺包括作为具有1至5个碳原子的伯、仲和叔胺的低级烷醇胺。这样的烷醇胺的实例包括N-甲基乙醇胺(NMEA)、单乙醇胺(MEA)、二乙醇胺、单-、二-和三-异丙醇胺、2-(2-氨基乙氨基)乙醇、2-(2-氨基乙氧基)乙醇、三乙醇胺、N-乙基乙醇胺、N,N-二甲基乙醇胺、N,N-二乙基乙醇胺、N-甲基二乙醇胺、N-乙基二乙醇胺、环己基胺二乙醇及其混合物。
在优选的实施方式中,所述胺化合物为烷醇胺,其选自三乙醇胺(TEA)、二乙醇胺、N-甲基二乙醇胺、二异丙醇胺、单乙醇胺、氨基(乙氧基)乙醇(AEE)、N-甲基乙醇胺、单异丙醇胺、环己基胺二乙醇及其混合物。
在本发明的其它优选的实施方式中,所述胺化合物为氨基丙基吗啉。在这样的实施方式中,烷醇胺通常是不需要的。
据信对于大多数应用,胺化合物在组合物中的量占组合物的约1重量%至约50重量%,特别地为组合物的约8重量%至约50重量%,或者更特别地为组合物的约20重量%至约50重量%。在一些实施方式中,胺化合物占组合物的约2重量%至约15重量%,且更特别地,约3至约12重量%或约3至约7重量%。
除了起到缓冲剂的碱组分的作用之外,不与酸反应的任何胺化合物在清洁操作期间也可以起到与有机残留物反应和螯合金属的功能。
有机酸
本发明的清洁组合物也包含一种或多种有机酸,其起到pH调节剂的作用,并且在一些实施方式中,充当缓冲剂组分。
有机酸的实例可以是脂肪族羧酸/芳族羧酸、氨基羧酸、磺酸和氨基磺酸。示例性的羧酸包括,但不限于乙酸、丙酸、丁酸、戊酸、3-甲基丁酸、己酸、庚酸、辛酸、壬酸、癸酸、十二烷酸、十三烷酸、十四烷酸、十五烷酸、十六烷酸、十七烷酸、十八烷酸、十二烷二酸、2-甲基庚酸、2-己基癸酸、草酸、丙二酸、马来酸、富马酸、琥珀酸、衣康酸、戊二酸、己二酸、苹果酸、酒石酸、丙烯酸、甲基丙烯酸、柠檬酸、乳酸、乙醇酸、抗坏血酸、邻氨基苯甲酸、没食子酸、苯甲酸、间苯二甲酸、邻苯二甲酸、偏苯三酸、均苯四酸、水杨酸、2,4-二羟基苯甲酸等。示例性的氨基羧酸包括,但不限于甘氨酸、二羟基乙基甘氨酸、丙氨酸、缬氨酸、亮氨酸、天门冬酰胺、谷氨酰胺、天冬氨酸、戊二酸、赖氨酸、精氨酸、亚氨基二乙酸、次氮基三乙酸、乙二胺四乙酸、1,2-环己二胺四乙酸、二亚乙基三胺五乙酸等。示例性的磺酸/氨基磺酸包括,但不限于苄基磺酸、对-甲苯磺酸、2-(N-吗琳基)乙磺酸、N-(2-羟乙基)哌嗪-N'-(乙磺酸)、3-[N,N-双(2-羟乙基)氨基]-2-羟基丙磺酸、4-(N-吗琳基)丁磺酸、N-(2-羟乙基)哌嗪-N'-(2-羟基丙磺酸)、N-(2-羟乙基)哌嗪-N'-(3-丙磺酸)、2-(N-环己基氨基)乙磺酸及其混合物。
在优选的实施方式中,有机酸选自草酸、丙二酸、柠檬酸、乙酸、亚氨基二乙酸(imiodiacetic acid)、乳酸、对-甲苯磺酸、没食子酸、抗坏血酸及其混合物。
据信,对于大多数应用,有机酸在组合物中的量占该组合物的约1重量%至约25重量%或约1重量%至约15重量%。优选地,有机酸占组合物的约2重量%至约12重量%,优选约6至约10重量%,并且更优选约3至约10重量%或约2至约5重量%。
腐蚀抑制剂
本发明的组合物任选地包含至少一种腐蚀抑制剂。腐蚀抑制剂起到与待清洁的衬底表面反应以钝化该表面和防止在清洁期间过度蚀刻的作用,所述表面可以是金属(特别是铜)或非金属。特别地且不受任何特定理论的束缚,据信腐蚀抑制剂在铜表面上形成不溶性螯合化合物的涂层,从而抑制光致抗蚀剂残留物去除组分和金属之间的接触,由此防止腐蚀。
可以使用本领域已知用于类似应用的任何腐蚀抑制剂,例如在美国专利No.5,417,877中公开的那些,将该专利通过引用并入本文。当使用该组合物清洁金属衬底时,使用腐蚀抑制剂是特别优选的。腐蚀抑制剂的实例包括芳族羟基化合物、炔醇、含羧基的有机化合物及其酸酐、及三唑化合物。
示例性的芳族羟基化合物包括苯酚、甲酚、二甲酚、邻苯二酚、间二苯酚、氢醌、连苯三酚、1.2.4-苯三醇、水杨醇、对-羟基苯甲醇、邻-羟基苯甲醇、对-羟基苯乙醇、对-氨基苯酚、间-氨基苯酚、二氨基苯酚、氨基间二苯酚、对-羟基苯甲酸、邻-羟基苯甲酸、2,4-二羟基苯甲酸、2,5-二羟基苯甲酸、3,4-二羟基苯甲酸和3,5-二羟基苯甲酸。
示例性的炔醇包括2-丁炔-1,4-二醇、3,5-二甲基-1-己炔-3-醇、2-甲基-3-丁炔-2-醇、3-甲基-1-戊炔-3-醇、3,6-二甲基-4-辛炔-3,6-二醇、2,4,7,9-四甲基-5-癸炔-4,7-二醇和2,5-二甲基-3-己炔-2,5-二醇。
示例性的含羧基有机化合物及其酸酐包括甲酸、乙酸、丙酸、丁酸、异丁酸、草酸、丙二酸、琥珀酸、戊二酸、马来酸、富马酸、苯甲酸、邻苯二甲酸、1,2,3-苯三羧酸、乙醇酸、乳酸、马来酸、乙酸酐和水杨酸。
示例性的三唑化合物包括苯并三唑、邻-甲苯基三唑、间-甲苯基三唑、对-甲苯基三唑、羧基苯并三唑、1-羟基苯并三唑、硝基苯并三唑和二羟丙基苯并三唑。
在示例性的实施方式中,腐蚀抑制剂包括苯并三唑、羧基苯并三唑、氨基苯并三唑、D-果糖、儿茶酚、叔丁基儿茶酚、L-抗坏血酸、没食子酸、香草醛、水杨酸、二乙基羟胺和聚(乙烯亚胺)中的一种或多种。
优选的铜腐蚀抑制剂选自苯并三唑、氨基苯并三唑、L-抗坏血酸、没食子酸、香草醛、二乙基羟胺及其混合物。
据信对于大多数应用,腐蚀抑制剂将占组合物的约0.1wt.%至约15wt.%;优选地,其占组合物的约0.1wt.%至约10wt.%,优选约0.5wt.%至约5wt.%,最优选约0.1wt.%至约1wt.%或约0.5wt.%至约5wt.%。
其它任选的成分
本发明的清洁组合物也可包含一种或多种如下添加剂∶表面活性剂、螯合剂、化学改性剂、染料、杀生物剂及其它添加剂。添加剂可以添加达到其不会不利地影响组合物的pH范围的程度。
可以在清洁组合物中使用的另一种任选的成分为金属螯合剂;其可以起到提高组合物保持金属在溶液中和增强金属残留物溶解的能力的作用。可用于该目的的螯合剂的典型实例为下面的有机酸及其异构体和盐∶乙二胺四乙酸(EDTA)、丁二胺四乙酸、(1,2-环己二胺)四乙酸(CyDTA)、二亚乙基三胺五乙酸(DETPA)、乙二胺四丙酸、(羟乙基)乙二胺三乙酸(HEDTA)、N,N,N',N'-乙二胺四(亚甲基膦酸)(EDTMP)、三亚乙基四胺六乙酸(TTHA)、1,3-二氨基-2-羟基丙烷-N,N,N',N'-四乙酸(DHPTA)、甲基亚氨基二乙酸、丙二胺四乙酸、氮川三乙酸(NTA)、柠檬酸、酒石酸、葡糖酸、糖二酸、甘油酸、草酸、邻苯二甲酸、马来酸、扁桃酸、丙二酸、乳酸、水杨酸、儿茶酚、没食子酸、没食子酸丙酯、邻苯三酚、8-羟基喹啉和半胱氨酸。优选的螯合剂为氨基羧酸,例如EDTA、CyDTA,和氨基磷酸,例如EDTMP。
据信,对于大多数应用,螯合剂在组合物中的存在量为组合物的约0.1wt.%至约10wt.%,优选地存在量为组合物的约0.5wt.%至约5wt.%。
其它通常已知的组分例如染料、杀生物剂等可以以常规量包含在清洁组合物中,例如加入量至多达到总共为组合物的约5重量%。
当接触包括多孔介电材料的衬底时,本发明的清洁组合物不会显著地改变该多孔介电材料的介电常数。在这点上,当接触包括多孔介电材料的衬底时,本发明的清洁组合物优选地增加该材料的介电常数不超过0.35,且优选地不超过0.25。
本发明的清洁组合物通常是在室温下,通过在容器将组分混合在一起直到所有固体都溶于水基介质中而制备的。
本发明的清洁组合物可以用于从衬底除去不期望的残留物。据信,该组合物可以特别有利地用于清洁半导体衬底,在其上沉积或形成有在半导体器件的制备过程中的残留物;这样的残留物的实例包括薄膜形式的抗蚀剂组合物(正型和负型)和在干蚀刻期间形成蚀刻沉积物,以及化学降解的抗蚀膜。当待去除的残留物是具有金属膜暴露表面的半导体衬底上的抗蚀剂膜和/或蚀刻沉积物时,该组合物的使用是特别有效的。可以通过使用本发明的组合物清洁而不会侵蚀衬底自身的衬底的实例包括金属衬底,例如铝钛/钨、铝/硅、铝/硅/铜、氧化硅、氮化硅和镓/砷化物。这样的衬底通常包括含光致抗蚀剂和/或蚀刻后沉积物的残留物。
除了在用于除去具有金属膜的暴露表面的半导体晶片上的抗蚀剂膜和/或蚀刻残留物时有效之外,当金属膜是由铜或含铜作为主要成分的铜合金制成时以及当使用低介电膜作为层间绝缘膜时,所述清洁组合物是特别有效的。含铜作为主要成分的铜合金的实例是含90重量%或更多的铜及其它元素例如Sn、Ag、Mg、Ni、Co、Ti、Si和Al的铜合金。因为这些金属具有低电阻并改状况元件的高速操作(high-speed operation),但其容易被化学品溶解或腐蚀,因此本发明的组合物的“非腐蚀性”性质就很重要。
所述清洁组合物可用于在相对低的温度下以很小的侵蚀效应从半导体衬底上除去蚀刻后和灰化后的残留物、其它有机和无机残留物以及聚合物残留物。该清洁组合物应当施用于表面足够获得期望的清洁效应的一段时间。该时间将根据多种因素而变化,包括例如残留物的性质、清洁组合物的温度和使用的具体清洁组合物。通常,所述清洁组合物可以例如通过在约25℃至约85℃的温度下接触衬底约1分钟至约1小时的时间,接着从衬底漂洗掉清洁组合物并干燥衬底而使用。
接触步骤可以通过任何合适的方式进行,例如浸渍、喷射或经由单晶片工艺进行;可以采用利用液体去除光致抗蚀剂、灰分或蚀刻沉积物和/或污染物的任何方法。
漂洗步骤可通过任何合适的方式进行,例如通过浸渍或喷射技术用去离子水漂洗衬底。在某些实施方式中,漂洗步骤是使用去离子水和水可混溶的有机溶剂(例如异丙醇)的混合物进行的。
干燥步骤是通过任何合适的方式进行,例如异丙醇(IPA)蒸汽干燥或通过向心力。
本领域技术人员应当理解,可以改变本发明的清洁组合物以获得最佳的清洁而不损害衬底,以便在制造过程中可以保持高通量清洁。例如,在本领域技术人员应当理解,例如根据所清洁的衬底的组成、待清除的残留物的性质和使用的特定工艺参数,可以对一些或所有组分的量进行改变。
在本发明的另一个实施方式中,提供一种用于本发明的清洁组合物的补充组合物。当使用清洁组合物清洁半导体衬底时,清洁组合物随着各个衬底(其与清洁组合物接触)从容纳清洁组合物的容器或浴槽中部分地移出。所述清洁组合物通常用于顺序地和/或同时地和/或连续和同时地(在成批衬底与所述清洁组合物接触后跟着一个或一批衬底的情况下)清洁多个衬底,然后,用一定量的新清洁组合物替换。通过例如向一个或多个衬底喷射清洁组合物和/或将一个或多个衬底浸渍到包含清洁组合物的浴槽中来使衬底与清洁组合物接触。用于清洁衬底的工具可以是例如喷射溶剂的工具、湿式工具台工具(wetbench tool)或单晶片工具。另外,通常所述方法进一步包括在与一个或多个衬底接触之前和/或当与其接触时,将清洁组合物由环境温度加热至例如约25至85℃或从约25到约45℃之间的温度的步骤。加热清洁组合物引起清洁组合物的组分蒸发。各组分的蒸发速率通常是各种组分沸点的函数,由此引起清洁组合物中组分的比例随时间而变化。对于本发明的清洁组合物已经确定,在其清洁设定数量的衬底之后或者在一段时间之后或者在测量与清洁组合物中组分的比例变化有关的清洁组合物变量特征时,向该清洁组合物中加入补充组合物可能是有益的。可以测量和用于确定向清洁组合物中加入补充组合物的时间的变量的实例包括∶组合物的pH或清洁效率或衬底蚀刻速率或者浴槽中清洁组合物的水平。
对于本发明的清洁组合物,其包含:a)约15%至约50%重量的水;b)约10%至约65%重量或约20%至约65%重量的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂不是醚;c)约1%至约50%重量或约1%至约20%重量的胺化合物,其选自至少一种烷醇胺和至少一种氨基丙基吗啉;d)约1%至约25%重量或约1%至约15%重量的至少一种有机酸;和e)约0.01%至约8%重量或约0.01%至约7%重量的氟离子源,所述补充组合物可以包含∶a)约20%至约84%重量的水;b)约15%到约40%重量的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂不是醚;和c)约1%至约5%重量的选自至少一种烷醇胺和至少一种氨基丙基吗啉的胺化合物。在某些实施方式中,如果所述清洁组合物进一步包含约1%至约15%重量的二乙基羟胺,则该补充组合物可以进一步包含d)约4%至约35%重量的二乙基羟胺,并且所述水在所述补充组合物中的存在量为约20%到约80%重量。
所述方法可以进一步包括从半导体衬底漂洗清洁组合物并干燥该半导体衬底的步骤,其中所述半导体衬底包括具有一定介电常数的多孔介电材料;并且其中在所述接触、漂洗和干燥步骤之后,所述多孔介电材料的介电常数增加不超过0.50或者增加不超过0.25。
用于形成清洁组合物的优选组分与如上对于清洁组合物所述的用于补充组合物中的优选组分相同。所述补充组合物将包含与清洁组合物相同的组分,尽管通常向其中加入比清洁组合物更少的组分,并且通常清洁组合物中各组分的比例与补充组合物中各组分的比例不同。补充组合物中准确的优选组分和组分的比例随各特定组分的损失率而变化,所述损失是在完成接触步骤时各特定组分随衬底除去(例如从浴槽中)或由于其相对于其它组分的蒸发而从组合物的蒸发引起的。
在一个实施方式中,通过例如经由喷嘴定期向浴槽中添加而将补充组合物加入到清洁组合物中。可以使用液位传感器来测定将多少补充组合物加入到浴槽中。可以在通过用清洁组合物接触各个衬底或各批的多个衬底来清洁衬底之后加入补充组合物。然而,在用清洁组合物处理设定数量的衬底之后,可能要求弃去整个浴槽的清洁组合物并用新鲜的清洁组合物替换,并且可以重复接触和添加的过程。向清洁组合物中加入补充组合物和替换全部清洁组合物的时间间隔将根据清洁组合物的温度、待清洁的衬底和残留物而变化,并且可以由本领域技术人员确定。尽管本发明已经主要地结合清洁半导体衬底进行了描述,但是本发明的清洁组合物可以用于清洁包括有机和无机残留物的任何衬底。
实施例
提供下述实施例用于进一步阐述本发明的目的,但是其不以任何方式限制本发明。
用于制备清洁组合物的一般方法
作为本发明实施例主题的所有组合物都是通过在具有1"Teflon-涂层的搅拌棒的600ml烧杯中混合500g的材料制备的。
衬底的组成
在本发明实施例中使用的各衬底包括有机硅酸盐玻璃(OSG)介电材料,其具有沉积在氮化硅衬底上的氮化钛覆盖层。通过反应离子蚀刻(RIE)来蚀刻OSG以留下氮化钛覆盖的OSG线。在RIE之后,在等离子体中处理所述衬底以灰化光致抗蚀剂。
处理条件
在具有设定在600rpm的1/2''圆形Teflon搅拌棒的400mL烧杯中使用305mL清洁组合物实施清洁测试。如有必要,将所述清洁组合物在热板上加热到下面所示的期望温度。尺寸大约为1/2''×1/2''的晶片片段以下述条件组合下浸入所述组合物中。
10分钟@25℃
20分钟@25℃
10分钟@35℃
20分钟@35℃
然后,将所述片段在DI水溢流浴中漂洗3分钟,并且接着使用过滤的氮气干燥。然后使用SEM显微镜分析清洁度。
蚀刻速率测定过程
通过使用Creative Design Engineering,Inc的ResMapTM273型电阻率仪测量金属层的电阻率以确定Al覆盖层或Cu覆盖层晶片试样的金属层厚度。然后,将试样在期望的温度下浸入组合物中最多一小时。定期从组合物中移出试样,用去离子水漂洗并干燥,并且再次测量金属层的厚度。将厚度变化作为浸泡时间的函数作图,通过曲线的斜率确定以埃/分钟计的蚀刻速率。
下表给出了如下的测试组合物和参比组合物的组分。
比较实施例A wt%
二甲基乙酰胺 39.15
D/W 49.75
乙酸铵 2.60
乙酸 2.00
氟化铵 0.5
丙二醇 6.00
92A wt%
DIW 34.66
甘油 22.80
丙二醇 22.90
N,N-二乙基羟胺 8.08
乳酸(88%溶液(sol)) 7.20
氟化铵 0.56
单乙醇胺 3.80
94W wt%
DIW 27.15
丙二醇(PG) 34.00
N,N-二乙基羟胺(DEHA) 4.25
乙醇胺(MEA) 17.00
乙酸 16.00
氟化铵(NH4F) 1.60
95O wt%
DIW 30.86
PG 45.70
N,N-二乙基羟胺 8.08
单乙醇胺 3.80
对甲苯磺酸 11.00
氟化铵 0.56
94V wt%
DIW 26.40
丙二醇(PG) 39.00
乙醇胺(MEA) 17.00
乙酸 16.00
氟化铵(NH4F) 1.60
95V wt%
DIW 31.30
丙二醇 45.70
N,N-二乙基羟胺 8.08
单乙醇胺 3.80
对甲苯磺酸 11.00
氟化铵 0.12
95W wt%
DIW 31.30
丙二醇 23.00
二甲基乙酰胺 22.70
N,N-二乙基羟胺 8.08
单乙醇胺 3.80
对甲苯磺酸 11.00
氟化铵 0.12
96A wt%
DIW 34.3
丙二醇(PG) 49.3
乙醇胺(MEA) 8.5
丙二酸 6.7
氟化铵(NH4F) 1.2
96R wt%
DIW 34.3
丙二醇(PG) 46.3
乙醇胺(MEA) 8.5
丙二酸 6.7
氟化铵(NH4F) 1.2
甘氨酸 3
96W wt%
DIW 33.8
丙二醇 47.3
单乙醇胺 8.3
丙二酸 6.7
氟化铵 0.9
甘氨酸 3.0
97L wt%
DIW 34.66
丙二醇 47.30
单乙醇胺 8.30
丙二酸 6.70
甘氨酸 3.00
氟化铵 0.04
99U wt%
DIW 30.86
丙二醇 42.70
甘油 3.00
N,N-二乙基羟胺 8.08
单乙醇胺 3.80
对甲苯磺酸 11.00
氟化铵 0.56
99V wt%
DIW 34.66
丙二醇 45.70
N,N-二乙基羟胺 8.08
单乙醇胺 3.80
乳酸(88%溶液) 7.20
氟化铵 0.56
99P wt%
DIW 41.32
甘油 44.75
氨基乙氧基乙醇 8.3
柠檬酸 4.75
氟化铵 0.88
100A wt%
DIW 34.26
丙二醇(PG) 50.80
N,N-二乙基羟胺(DEHA) 8.08
乙醇胺(MEA) 3.50
丙二酸 2.80
氟化铵(NH4F) 0.56
100B wt%
DIW 34.26
丙二醇(PG) 50.30
N,N-二乙基羟胺(DEHA) 8.08
乙醇胺(MEA) 3.40
柠檬酸 3.40
氟化铵(NH4F) 0.56
100C wt%
DIW 34.26
丙二醇(PG) 50.60
N,N-二乙基羟胺(DEHA) 8.08
乙醇胺(MEA) 3.40
乙酸 3.10
氟化铵(NH4F) 0.56
100D wt%
DIW 34.26
丙二醇(PG) 53.95
N,N-二乙基羟胺(DEHA) 8.08
乙醇胺(MEA) 1.75
丙二酸 1.40
氟化铵(NH4F) 0.56
100E wl%
DIW 34.26
丙二醇(PG) 53.70
N,N-二乙基羟胺(DEHA) 8.08
乙醇胺(MEA) 1.70
柠檬酸 1.70
氟化铵(NH4F) 0.56
100F wt%
DIW 34.26
丙二醇(PG) 53.85
N,N-二乙基羟胺(DEHA) 8.08
乙醇胺(MEA) 1.70
乙酸 1.55
氟化铵(NH4F) 0.56
100J wt%
DIW 34.26
丙二醇(PG) 42.80
N,N-二乙基羟胺(DEHA) 8.08
环己基二乙醇胺 11.50
丙二酸 2.80
氟化铵(NH4F) 0.56
100K wt%
DIW 42.26
N,N-二乙基羟胺(DEHA) 8.08
环己基二乙醇胺 39.1
丙二酸 10
氟化铵(NH4F) 0.56
100L wt%
DIW 32.26
N,N-二乙基羟胺(DEHA) 8.08
环己基二乙醇胺 47.10
丙二酸 12.00
氟化铵(NH4F) 0.56
103A wt%
DIW 34.26
丙二醇(PG) 14.10
N,N-二乙基羟胺 8.08
二乙醇胺 30.00
乙酸 13.00
氟化铵 0.56
103B wt%
DIW 32.43
丙二醇(PG) 9.87
二乙醇胺(DEA) 42.08
乙酸 15.05
氟化铵 0.57
103C wt%
DIW 34.26
丙二醇(PG) 14.10
N,N-二乙基羟胺 8.08
二乙醇胺 30.00
丙二酸 13.00
氟化铵 0.56
106A wt%
DIW 34.26
丙二醇(PG) 10
N,N-二乙基羟胺 8.08
二乙醇胺(DEA) 30
柠檬酸 17.1
氟化铵 0.56
106B wt%
DIW 34.26
丙二醇(PG) 11.35
N,N-二乙基羟胺 8.08
二乙醇胺(DEA) 30
柠檬酸 15.75
氟化铵 0.56
112A wt%
DIW 34.26
丙二醇(PG) 47.8
N,N-二乙基羟胺(DEHA) 8.08
二乙醇胺(DEA) 6.5
丙二酸 2.8
氟化铵 0.56
112B wt%
DIW 34.26
丙二醇(PG) 52.40
N,N-二乙基羟胺(DEHA) 8.08
二乙醇胺(DEA) 3.20
乙酸 1.50
氟化铵 0.56
12C wt%
IW 34.26
丙二醇(PG) 47.30
,N-二乙基羟胺(DEHA) 8.08
二乙醇胺(DEA) 6.40
柠檬酸 3.40
氟化铵 0.56
101A wt%
DIW 40.71
丙二醇(PG) 44.75
氨基乙氧基乙醇 8.90
柠檬酸 4.76
氟化铵 0.88
101C wt%
DIW 41.32
丙二醇(PG) 42.80
二乙醇胺(DEA) 10.00
柠檬酸(无水) 5.00
氟化铵 0.88
115A wt%
DIW 41.32
丙二醇(PG) 27.80
二乙醇胺(DEA) 20.00
柠檬酸 10.00
氟化铵 0.88
115B wt%
DIW 41.32
丙二醇(PG) 12.80
二乙醇胺(DEA) 30.00
柠檬酸 15.00
氟化铵 0.88
110A wt%
DIW 33.94
丙二醇(PG) 10.00
N,N-二乙基羟胺(DEHA) 8.08
二乙醇胺(DEA) 32.10
乙酸 15.00
氟化铵 0.88
112E wt%
DIW 33.94
丙二醇(PG) 45.30
N,N-二乙基羟胺(DEHA) 8.08
N-甲基二乙醇胺(MDEA) 8.40
柠檬酸 3.40
氟化铵 0.88
112F wt%
DIW 33.94
丙二醇(PG) 45.9
N,N-二乙基羟胺(DEHA) 8.08
N-甲基二乙醇胺(MDEA) 8.40
丙二酸 2.80
氟化铵 0.88
113 wt%
DIW 32.86
丙二醇(PG) 34.9
三乙醇胺(TEA) 10
乙醇胺(MEA) 11
丙二酸 10.68
氟化铵 0.56
114 wt%
DIW 29.84
丙二醇(PG) 38
三乙醇胺(TEA) 10
乙醇胺(MEA) 10
柠檬酸 9.63
丙二酸 1.65
氟化铵 0.88
115 wt%
DIW 29.72
丙二醇(PG) 36.8
三乙醇胺(TEA) 10
乙醇胺(MEA) 11
柠檬酸 11.6
氟化铵 0.88
比较实施例B wt%
41.76
五甲基二亚乙基胺 13.88
三乙醇胺 33.62
亚氨基二乙酸 3.07
氟化氢铵 7.67
52J wt%
DIW 40.52
甘油 15
三乙醇胺(TEA) 32.5
柠檬酸 7.98
氟化铵 4
52L wt%
DIW 41.52
甘油 10
三乙醇胺(TEA) 32.5
柠檬酸 7.98
氟化铵 8
56D wt%
DIW 45.09
甘油 27.3
三乙醇胺(TEA) 10
氨基乙氧基乙醇(AEE) 10
柠檬酸 6.73
氟化铵 0.88
56S wt%
DIW 46.01
甘油 27.3
三乙醇胺(TEA) 8.5
氨基乙氧基乙醇(AEE) 10.2
柠檬酸 7.11
氟化铵 0.88
56U wt%
DIW 46.79
甘油 23.4
三乙醇胺(TEA) 8.5
氨基乙氧基乙醇(AEE) 10.2
柠檬酸 7.11
氟化铵 4
56O wt%
DIW 45.87
甘油 23.4
三乙醇胺(TEA) 10
氨基乙氧基乙醇(AEE) 10
柠檬酸 6.73
氟化铵 4
1A wt%
DIW 40.55
甘油 32.76
三乙醇胺(TEA) 8.5
氨基乙氧基乙醇(AEE) 10.2
柠檬酸 7.11
氟化铵 0.88
2A wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
苯并三唑 0.5
2C wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
羧基苯并三唑 0.5
2N wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
氨基苯并三唑 0.5
2E wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
D-果糖 0.5
2F wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
儿茶酚 0.5
2G wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0,88
叔丁基儿茶酚 0.5
2H wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
L-抗坏血酸 0.5
2I wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
没食子酸 0.5
2J wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
香草醛 0.5
2K wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
水杨酸 0.5
2L wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
二乙基羟胺 0.5
2M wt%
DIW 45.78
甘油 27.16
三乙醇胺(TEA) 8.46
氨基乙氧基乙醇(AEE) 10.15
柠檬酸 7.07
氟化铵 0.88
聚(乙烯亚胺)(P3143) 0.5
7A wt%
DIW 40.96
甘油 10
三乙醇胺(TEA) 32.5
柠檬酸 7.54
氟化铵 8
L-抗坏血酸 1
7C wt%
DIW 40.96
甘油 10
三乙醇胺(TEA) 32.5
柠檬酸 7.54
氟化铵 8
没食子酸 1
7D wt%
DIW 39.68
甘油 10
三乙醇胺(TEA) 32.5
柠檬酸 6.82
氟化铵 8
没食子酸 3
下表概述了铜蚀刻速率(E/R)数据;
很显然,多种测试的制剂显示出优良的铜蚀刻速率。Cu E/R值较低证实铜蚀刻速率较好。特别地,提供4/分钟或更低,3/分钟或更低或者2/分钟或更低的铜蚀刻速率的组合物是优选的。
比较实施例-使用醚溶剂显示铜蚀刻速率增加
作为比较实施例,通过用醚—二丙二醇一甲基醚(DPM)—替代一部分或所有的甘油来评价以上提供的两个实施例52J和56S。其它组成保持相同。
这些结果证实当用DPM(醚溶剂)替代一部分或所有的甘油时,Cu E/R显著地增加。因此,这些结果表明当应用在本文公开的组合物中时醚溶剂可以对铜蚀刻速率产生不利影响。
程序∶膜损失测量
1.在化学浸渍之前测量厚度。
2.在35C下,在具有磁力搅拌(500rpm)的烧杯中进行浸渍测试15分钟。
3.化学浸渍之后,用DI漂洗3分钟并N2吹干。
4.测量化学浸渍后的厚度。
5.膜损失=步骤4-步骤1
使用用于SiN和TEOS厚度测量的椭率计和用于Cu的4点探针进行测量,其概括在下表中。
膜损失的结果
100A 100B 100C 100D 100E 100F
Cu 23.6 79.4 77.4 28.9 113.4 88.2
SIN 2.7 2.06 0.95 1 7.02 4.87
TEOS 0.3 1 0.3 0.3 1.3 1
注:在35℃下,在各清洁组合物中15分钟之后测量以计的膜损失。
pH稳定性
pH是在制剂的5%水性溶液中测量的。
第1天 第2天 第3天 第4天 第5天 第8天
100A 8.22 8.19 8.25 8.22 8.23 8.29
100B 8.24 8.32 8.35 8.31 8.3 8.31
100C 8.21 8.28 8.26 8.24 8.24 8.31
100D 8.28 8.32 8.34 8.33 8.34 8.32
100E 8.16 8.25 8.22 8.27 8.28 8.26
100F 8.44 8.48 8.41 8.45 8.45 8.45
与常规低pH氟化物剥离剂比较实施例A相比,实施例95O显示的电介质覆盖层上的膜损失低得多。
电介质膜损失试验
比较实施例A(@25C) 53.5 57.1 39 59
实施例95O(@35C) 3.7 2.7 4.4 7.6
单位:A,15分钟时程
根据ASTM标准D150-98测定各个样品膜的介电常数。采用Solartron ModelSI1260Frequency Analyzer和MSI Electronics Model Hg401单接触汞探针,以1MHz获得各个膜的电容-电压。电容测量和汞电极面积(A)的误差小于1%。衬底(晶片)电容(Csi)、背景电容(Cb)和总电容(CT)在+20和-20伏之间测定,并且通过方程(1)计算薄膜样品电容(Cs)∶
Cs=Csi(CT–Cb)/[Csi-(CT-Cb)] 方程(1)
通过方程(2)计算各个膜的介电常数,其中d是膜厚度,A是汞电极面积,和ε0是在真空中的介电常数∶
膜的介电常数的总误差预期小于6%。
在40/45nm电介质膜上,95O的K偏移低于比较实施例B。结果在下表中。
比较实施例B和实施例95O的K偏移
显示在图1中的实施例95O也可以应用于铝后端工艺(Al BEOL)清洁。图1证实在25℃下1分钟可以有效地除去Al图案晶片上的残留物。
补充制剂的实施例包括下述组合物43A和93B
43A wt%
DIW 55.45
丙二醇(PG) 29.83
N,N-二乙基羟胺(DEHA) 12.27
乙醇胺(MEA) 2.45
93B wt%
DIW 33.15
乙醇胺(MEA) 4.5
N,N-二乙基羟胺(DEHA) 35
丙二醇(PG) 27.35
上述实施例及优选实施方式的描述都应当作为对本发明的说明,而不是限制如权利要求所定义的本发明。很容易理解,可以利用上述特征的多种变化和组合而不会偏离权利要求中给出的本发明。这样的变化并不认为背离本发明的精神和范围,并且所有这些变化都意图包括在下述权利要求的范围之内。

Claims (24)

1.一种用于从半导体衬底除去残留物的组合物,所述组合物由以下组成:
a)35重量%至50重量%的水;
b)10重量%至30重量%的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂选自丙二醇、甘油、二甲基乙酰胺、四氢糠醇、乙二醇、己二醇及其混合物;
c)8重量%至50重量%的氨基丙基吗啉或选自如下的烷醇胺:N-甲基乙醇胺(NMEA)、单乙醇胺(MEA)、二乙醇胺、单-、二-和三-异丙醇胺、2-(2-氨基乙氨基)乙醇、2-(2-氨基乙氧基)乙醇、三乙醇胺、N-乙基乙醇胺、N,N-二甲基乙醇胺、N,N-二乙基乙醇胺、N-甲基二乙醇胺、N-乙基二乙醇胺、环己基胺二乙醇及其混合物;
d)6重量%至10重量%的有机酸;
e)1重量%至8重量%的氟离子源;和
f)任选地,0.1重量%至15重量%的腐蚀抑制剂,选自苯并三唑、氨基苯并三唑、L-抗坏血酸、没食子酸、香草醛、二乙基羟胺及其混合物;
其中所述组合物能够提供或更小的铜蚀刻速率。
2.权利要求1的组合物,其中所述水可混溶的有机溶剂是二甲基乙酰胺。
3.权利要求1的组合物,其中所述水可混溶的有机溶剂是丙二醇。
4.权利要求1的组合物,其中所述水可混溶的有机溶剂是甘油。
5.权利要求1的组合物,其中所述氟离子源选自氟化铵和季铵化合物。
6.权利要求5的组合物,其中所述氟离子源是氟化铵。
7.权利要求5的组合物,其中所述氟离子源是选自四甲基氟化铵和四丁基氟化铵的季铵化合物。
8.权利要求1的组合物,其中所述烷醇胺是单乙醇胺。
9.权利要求1的组合物,其中组分c)是8重量%至50重量%的氨基丙基吗啉。
10.权利要求1的组合物,其中所述烷醇胺是三乙醇胺。
11.权利要求1的组合物,其中所述烷醇胺是三乙醇胺和2-(2-氨基乙氧基)乙醇的混合物。
12.一种用于从半导体衬底除去残留物的方法,所述方法包括以下步骤:
将所述半导体衬底与权利要求1-11中任一项的清洁组合物接触,其中所述半导体衬底包括具有一定介电常数的多孔介电材料;
从所述半导体衬底漂洗掉所述清洁组合物;和
干燥所述半导体衬底,
其中所述多孔介电材料的介电常数增加不超过0.50。
13.权利要求12的方法,其中所述多孔介电材料的介电常数增加不超过0.25。
14.权利要求12的方法,其中所述清洁组合物提供的铜蚀刻速率为或更小。
15.一种用于从半导体衬底除去残留物的组合物,所述组合物由以下组成:
a)15重量%至50重量%的水;
b)10重量%至65重量%的水可混溶的有机溶剂,其中所述水可混溶的有机溶剂选自丙二醇、甘油、二甲基乙酰胺、四氢糠醇、乙二醇、己二醇及其混合物;
c)1重量%至50重量%的氨基丙基吗啉或选自以下的烷醇胺:N-甲基乙醇胺(NMEA)、单乙醇胺(MEA)、二乙醇胺、单-、二-和三-异丙醇胺、2-(2-氨基乙氨基)乙醇、2-(2-氨基乙氧基)乙醇、三乙醇胺、N-乙基乙醇胺、N,N-二甲基乙醇胺、N,N-二乙基乙醇胺、N-甲基二乙醇胺、N-乙基二乙醇胺、环己基胺二乙醇及其混合物;
d)1重量%至25重量%的有机酸;
e)0.01重量%到8重量%的氟离子源,和
f)任选地,0.1重量%到15重量%的腐蚀抑制剂,其选自苯并三唑、氨基苯并三唑、L-抗坏血酸、没食子酸、香草醛、二乙基羟胺及其混合物,
其中所述组合物能够提供或更小的铜蚀刻速率。
16.权利要求15的组合物,其中所述水可混溶的有机溶剂是二甲基乙酰胺。
17.权利要求15的组合物,其中所述水可混溶的有机溶剂是丙二醇。
18.权利要求15的组合物,其中所述水可混溶的有机溶剂是甘油。
19.权利要求15的组合物,其中所述氟离子源选自氟化铵和季铵化合物。
20.权利要求19的组合物,其中所述氟离子源是氟化铵。
21.权利要求19的组合物,其中所述氟离子源是选自四甲基氟化铵和四丁基氟化铵的季铵化合物。
22.权利要求15的组合物,其中所述烷醇胺是单乙醇胺。
23.权利要求15的组合物,其中所述烷醇胺是三乙醇胺。
24.权利要求15的组合物,其中所述烷醇胺是三乙醇胺和2-(2-氨基乙氧基)乙醇的混合物。
CN201310504991.2A 2012-10-23 2013-10-23 清洁制剂 Active CN103777475B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261717152P 2012-10-23 2012-10-23
US61/717,152 2012-10-23
US201361817134P 2013-04-29 2013-04-29
US61/817,134 2013-04-29
US14/010,748 US9536730B2 (en) 2012-10-23 2013-08-27 Cleaning formulations
US14/010,748 2013-08-27

Publications (2)

Publication Number Publication Date
CN103777475A CN103777475A (zh) 2014-05-07
CN103777475B true CN103777475B (zh) 2018-09-21

Family

ID=50484217

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310504991.2A Active CN103777475B (zh) 2012-10-23 2013-10-23 清洁制剂

Country Status (7)

Country Link
US (1) US9536730B2 (zh)
JP (3) JP2014084464A (zh)
KR (1) KR101557979B1 (zh)
CN (1) CN103777475B (zh)
MY (1) MY163132A (zh)
SG (1) SG2013076922A (zh)
TW (1) TWI563077B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2828371A4 (en) * 2012-03-18 2015-10-14 Entegris Inc POST-CMP FORMULATION HAVING COMPATIBILITY WITH ENHANCED BARRIER LAYERS AND CLEANING PERFORMANCE
TWI572711B (zh) * 2012-10-16 2017-03-01 盟智科技股份有限公司 半導體製程用的清洗組成物及清洗方法
CN105849245B (zh) * 2013-10-21 2020-03-13 富士胶片电子材料美国有限公司 用于去除表面上残余物的清洗调配物
KR20190035959A (ko) 2013-12-06 2019-04-03 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
US20150203753A1 (en) * 2014-01-17 2015-07-23 Nanya Technology Corporation Liquid etchant composition, and etching process in capacitor process of dram using the same
US9957469B2 (en) * 2014-07-14 2018-05-01 Versum Materials Us, Llc Copper corrosion inhibition system
JP6501492B2 (ja) 2014-10-31 2019-04-17 関東化學株式会社 フォトレジスト残渣および/またはポリマー残渣を除去するための組成物
KR102347656B1 (ko) * 2014-12-11 2022-01-07 동우 화인켐 주식회사 포토레지스트 애싱 후 잔류물 제거를 위한 세정제 조성물
CN104570629B (zh) * 2015-02-14 2016-04-13 江阴江化微电子材料股份有限公司 —种液晶面板铜膜光阻水系剥离液
SG11201707787SA (en) * 2015-03-31 2017-10-30 Versum Mat Us Llc Cleaning formulations
US10233413B2 (en) * 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
US10400167B2 (en) * 2015-11-25 2019-09-03 Versum Materials Us, Llc Etching compositions and methods for using same
US11035044B2 (en) * 2017-01-23 2021-06-15 Versum Materials Us, Llc Etching solution for tungsten and GST films
KR102417180B1 (ko) * 2017-09-29 2022-07-05 삼성전자주식회사 Duv용 포토레지스트 조성물, 패턴 형성 방법 및 반도체 소자의 제조 방법
EP3480288A1 (en) * 2017-11-07 2019-05-08 Henkel AG & Co. KGaA Fluoride based cleaning composition
CN111465679A (zh) * 2017-12-08 2020-07-28 巴斯夫欧洲公司 用于从半导体基板及对应方法中移除蚀刻后或灰化后残余物的清洁组合物
CN109976108A (zh) * 2017-12-27 2019-07-05 安集微电子(上海)有限公司 一种用于半导体的清洗液
JP7175316B2 (ja) * 2018-01-25 2022-11-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング フォトレジストリムーバ組成物
US10752867B2 (en) * 2018-03-28 2020-08-25 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions
WO2020257103A1 (en) * 2019-06-19 2020-12-24 Versum Materials Us, Llc Cleaning composition for semiconductor substrates
WO2021011515A1 (en) * 2019-07-15 2021-01-21 Versum Materials Us, Llc Compositions for removing etch residues, methods of using and use thereof
CN114450388A (zh) * 2019-09-27 2022-05-06 弗萨姆材料美国有限责任公司 用于去除蚀刻残留物的组合物及其使用方法和用途
JP7419905B2 (ja) * 2020-03-19 2024-01-23 日油株式会社 回路基板用樹脂膜剥離剤
KR20220012521A (ko) * 2020-07-23 2022-02-04 주식회사 케이씨텍 세정액 조성물 및 이를 이용한 세정 방법
KR20230031907A (ko) 2020-07-30 2023-03-07 후지필름 가부시키가이샤 처리액, 기판의 세정 방법
TWI800025B (zh) * 2021-10-07 2023-04-21 德揚科技股份有限公司 清洗水溶液

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1543592A (zh) * 2001-07-13 2004-11-03 Ekc������˾ 亚砜吡咯烷(啉)酮链烷醇胺剥离和清洗组合物
CN1904016A (zh) * 2005-06-23 2007-01-31 气体产品与化学公司 除残留物的含有阳离子盐的组合物及其使用方法
CN101233456A (zh) * 2005-06-07 2008-07-30 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US20040018949A1 (en) 1990-11-05 2004-01-29 Wai Mun Lee Semiconductor process residue removal composition and process
JP3160344B2 (ja) 1991-01-25 2001-04-25 アシュランド インコーポレーテッド 有機ストリッピング組成物
US5746837A (en) 1992-05-27 1998-05-05 Ppg Industries, Inc. Process for treating an aluminum can using a mobility enhancer
US6825156B2 (en) 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6326130B1 (en) 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
ATE312895T1 (de) 1996-07-25 2005-12-15 Dupont Air Prod Nanomaterials Zusammensetzung und verfahren zum chemisch- mechanischen polieren
US6755989B2 (en) 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY131912A (en) 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
JP2003241400A (ja) * 2002-02-22 2003-08-27 Nippon Zeon Co Ltd 剥離液及びそれを用いたレジスト剥離方法
JP4252758B2 (ja) 2002-03-22 2009-04-08 関東化学株式会社 フォトレジスト残渣除去液組成物
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
WO2003091376A1 (en) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Oxalic acid as a cleaning product for aluminium, copper and dielectric surfaces
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
JP2004277576A (ja) 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
WO2004094581A1 (en) 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
JP2006526895A (ja) 2003-05-02 2006-11-24 イーケーシー テクノロジー,インコーポレイティド 半導体処理におけるエッチング後の残留物の除去
JP2005209953A (ja) * 2004-01-23 2005-08-04 Tokyo Ohka Kogyo Co Ltd 剥離洗浄液、該剥離洗浄液を用いた半導体基板洗浄方法および金属配線形成方法
EP1715510B2 (en) 2004-02-09 2016-02-24 Mitsubishi Chemical Corporation Substrate cleaning liquid for semiconductor device and cleaning method
ES2354077T3 (es) * 2004-03-01 2011-03-09 Mallinckrodt Baker, Inc. Composiciones de limpieza nanoelectrónicas y microelectrónicas.
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP4463054B2 (ja) * 2004-09-17 2010-05-12 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いた基板の処理方法
EP1701218A3 (en) 2005-03-11 2008-10-15 Rohm and Haas Electronic Materials LLC Polymer remover
JP2006261432A (ja) * 2005-03-17 2006-09-28 Nissan Chem Ind Ltd ヒドラジンを含む半導体用洗浄液組成物及び洗浄方法
WO2006110645A2 (en) 2005-04-11 2006-10-19 Advanced Technology Materials, Inc. Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
WO2006129549A1 (ja) 2005-06-01 2006-12-07 Nissan Chemical Industries, Ltd. ホスホン酸及びアスコルビン酸を含む半導体用洗浄液組成物及び洗浄方法
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
TW200722505A (en) 2005-09-30 2007-06-16 Rohm & Haas Elect Mat Stripper
EP1949424A2 (en) * 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
US8772214B2 (en) * 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
JP2007200944A (ja) * 2006-01-23 2007-08-09 Tokuyama Corp 基板洗浄液
US20070179072A1 (en) 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
SG10201610631UA (en) 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
US7879783B2 (en) 2007-01-11 2011-02-01 Air Products And Chemicals, Inc. Cleaning composition for semiconductor substrates
JP5203637B2 (ja) 2007-05-07 2013-06-05 イー.ケー.シー.テクノロジー.インコーポレーテッド レジスト、エッチング残渣、及び金属酸化物をアルミニウム及びアルミニウム銅合金を有する基板から除去する方法及び組成物
TW200940706A (en) * 2007-10-29 2009-10-01 Ekc Technology Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
TW200925268A (en) 2007-12-06 2009-06-16 Mallinckrodt Baker Inc Fluoride-containing photoresist stripper or residue removing cleaning compositions containing conjugate oligomeric or polymeric material of alpha-hydroxycarbonyl compound/amine or ammonia reaction
CN102007196B (zh) 2008-03-07 2014-10-29 高级技术材料公司 非选择性氧化物蚀刻湿清洁组合物及使用方法
US7687447B2 (en) * 2008-03-13 2010-03-30 Air Products And Chemicals, Inc. Semi-aqueous stripping and cleaning composition containing aminobenzenesulfonic acid
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US20120048295A1 (en) * 2009-03-11 2012-03-01 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1543592A (zh) * 2001-07-13 2004-11-03 Ekc������˾ 亚砜吡咯烷(啉)酮链烷醇胺剥离和清洗组合物
CN101233456A (zh) * 2005-06-07 2008-07-30 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN1904016A (zh) * 2005-06-23 2007-01-31 气体产品与化学公司 除残留物的含有阳离子盐的组合物及其使用方法

Also Published As

Publication number Publication date
JP2014084464A (ja) 2014-05-12
KR101557979B1 (ko) 2015-10-06
TW201416436A (zh) 2014-05-01
US20140109931A1 (en) 2014-04-24
TWI563077B (en) 2016-12-21
JP6546080B2 (ja) 2019-07-17
SG2013076922A (en) 2014-05-29
JP2016040382A (ja) 2016-03-24
MY163132A (en) 2017-08-15
US9536730B2 (en) 2017-01-03
JP2018164091A (ja) 2018-10-18
CN103777475A (zh) 2014-05-07
KR20140051796A (ko) 2014-05-02

Similar Documents

Publication Publication Date Title
CN103777475B (zh) 清洁制剂
TWI598430B (zh) 蝕刻組合物及其使用方法
TWI454573B (zh) 清潔配方及該清潔配方的使用方法
TWI647337B (zh) 清潔配方
KR101884367B1 (ko) 높은 wn/w 에칭 선택비를 지닌 스트립핑 조성물
KR100857865B1 (ko) 세정 제제
TWI626305B (zh) 清潔配方
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
KR20060089142A (ko) 플루오라이드를 포함하는 수계 잔류물 제거제
US7682458B2 (en) Aqueous based residue removers comprising fluoride
WO2020257103A1 (en) Cleaning composition for semiconductor substrates

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170612

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant