CN103718276A - 使氢化非晶硅和非晶氢化硅合金稳定化的方法 - Google Patents

使氢化非晶硅和非晶氢化硅合金稳定化的方法 Download PDF

Info

Publication number
CN103718276A
CN103718276A CN201280035916.XA CN201280035916A CN103718276A CN 103718276 A CN103718276 A CN 103718276A CN 201280035916 A CN201280035916 A CN 201280035916A CN 103718276 A CN103718276 A CN 103718276A
Authority
CN
China
Prior art keywords
deuterium
amorphous silicon
hydrogenated amorphous
layer
containing hydrogenated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280035916.XA
Other languages
English (en)
Other versions
CN103718276B (zh
Inventor
B·海克麦特朔-塔巴里
M·霍普斯塔肯
朴大奎
D·K·萨达那
G·G·沙希迪
D·沙赫莉亚迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103718276A publication Critical patent/CN103718276A/zh
Application granted granted Critical
Publication of CN103718276B publication Critical patent/CN103718276B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0376Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including amorphous semiconductors
    • H01L31/03762Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including amorphous semiconductors including only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic System
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

形成光伏器件的半导体材料的方法包括:提供含氢化非晶硅的材料的表面,和将含氢化非晶硅的材料在含氘气氛中退火。将来自含氘气氛的氘穿过含氢化非晶硅的材料的表面引入含氢化非晶硅的材料的晶格中。在一些实施方案中,引入含氢化非晶硅的材料的晶格中的氘提高含氢化非晶硅的材料的稳定性。

Description

使氢化非晶硅和非晶氢化硅合金稳定化的方法
背景
本公开内容涉及氢化非晶硅(α-Si:H)及其合金。本公开内容进一步涉及包含并入其中的氢化非晶硅的光伏器件,例如太阳能电池。氢化非晶硅可用于生产太阳能电池和晶体管器件。
实例为作为独立器件或多结(串联)电池的组件的p-i-n太阳能电池,和具有单晶Si(c-Si)吸收层的异质结太阳能电池。使用氢化非晶硅的结构的其它实例包括光伏电池的钝化层,及用于显示器和X射线成像器的薄膜晶体管。另外,氢化非晶硅合金,例如氢化非晶硅锗和氢化非晶碳化硅也用于p-i-n太阳能电池和异质结太阳能电池中。
概述
在一个实施方案中,提供形成氢化非晶硅(α-Si:H)和/或α-Si:H合金的方法,其将氘(D)引入α-Si:H和/或α-Si:H合金的晶格中以用至少一个硅-氘(Si-D)键取代至少一个硅-氢(Si-H)键。在一些实施方案中,通过用至少一个硅-氘(Si-D)键取代至少一个硅-氢(Si-H)键,可提高氢化非晶硅(α-Si:H)和/或α-Si:H合金的稳定性。在一个实施方案中,本方法包括提供含氢化非晶硅(α-Si:H)材料的表面,和将含氢化非晶硅(α-Si:H)材料在含氘气氛中退火,其中将氘引入含氢化非晶硅(α-Si:H)材料的晶格中。
在本公开内容的另一方面,提供包含含氢化非晶硅和氘的材料的光伏器件。在一个实施方案中,含氢化非晶硅和氘的材料包含大于80原子%(原子%)硅、大于5原子%氢和大于0.001原子%氘。在一个实施方案中,氘可与悬空硅键键合以与不含氘的氢化非晶硅相比提高含氢化非晶硅和氘的材料的稳定性。
附图简述
作为实例且公开内容不意欲限于此的以下详述连同附图会最好地理解,其中类似的参考数字表示类似的元件和部件,其中:
图1为阐述氢调控弱键模型的图示。
图2为根据本公开内容的一个实施方案,包含单结p-i-n太阳能电池的光伏器件的横截面侧视图,其中光伏器件的至少一层材料层由含氢化非晶硅和氘的材料组成。
图3为根据本公开内容的一个实施方案,包含双结p-i-n太阳能电池的光伏器件的横截面侧视图,其中光伏器件的至少一层材料层由含氢化非晶硅和氘的材料组成。
图4为根据本公开内容的一个实施方案,包含三结p-i-n太阳能电池的光伏器件的横截面侧视图,其中光伏器件的至少一层材料层由含氢化非晶硅和氘的材料组成。
图5A为根据本公开内容的一个实施方案,包含异质结太阳能电池的光伏器件的横截面侧视图,所述异质结太阳能电池具有单晶硅(c-Si)吸收层和由含氢化非晶硅和氘的材料组成的发射体结构或钝化层。
图5B为根据本公开内容的一个实施方案,包含异质结太阳能电池的光伏器件的横截面侧视图,所述异质结太阳能电池具有单晶硅(c-Si)吸收层和由含氢化非晶硅和氘的材料组成的发射体结构,其中透明导电材料重叠于发射体结构而存在。
图6为根据本公开内容的一个实施方案,包含异质结太阳能电池的光伏器件的横截面侧视图,所述异质结太阳能电池具有前和后异质结触点。
图7为根据本公开内容的一个实施方案,包含异质结太阳能电池的光伏器件的横截面侧视图,所述异质结太阳能电池具有双发射体。
图8为根据本公开内容的一个实施方案,串联光伏器件的横截面侧视图,所述串联光伏器件包含由含氢化非晶硅和氘的材料组成的上部电池和由晶体半导体材料组成的下部电池。
图9为根据本公开内容的一个实施方案,c-Si片两侧上均具有氢化非晶硅(α-Si:H)钝化层的晶体硅(c-Si)片的横截面侧视图。
图10为对于包含用氘气退火处理的具有图9所述结构的氢化非晶硅层的光伏器件和包含用形成气体退火(forming gas anneal)试样处理的具有图9所述结构的氢化非晶硅的光伏器件,有效载流子寿命相对于退火温度的图。
图11为对于包含用氘气退火处理的具有图10所述结构的氢化非晶硅层的光伏器件和包含用形成气体退火试样处理的具有图10所述结构的氢化非晶硅的光伏器件,有效少数载流子寿命相对于在100℃下的退火时间的图。
图12为对于包含用氘气退火处理的具有图9所述结构的氢化非晶硅层的光伏器件和包含用形成气体退火试样处理的具有图9所述结构的氢化非晶硅的光伏器件,在约5日光的光强度下在室温下有效少数载流子寿命相对于时间的图。
图13(a)和13(b)为在(a)200℃和(b)350℃下HPD处理以后,对于实施例1和2所述试样,a-Si:H和a-Si:H/c-Si界面中氢和氘的SIMS深度分析曲线。
详述
本文公开了所述结构和方法的详细实施方案;然而,应当理解所公开的实施方案仅为所述结构和方法的阐述,其可以以各种形式概括。另外,关于各实施方案给出的实施例各自意欲为说明性且不是限制性的。另外,图未必是按比例的,一些特征可放大以显示特定组件的细节。因此,本文所公开的具体结构和功能细节不应理解为限定性的,而是作为教导本领域技术人员多样地使用本公开内容的方法和结构的典型基础。
应当理解当作为层、区域或基质的元件描述为在另一元件“上”或“上方”时,它可直接在另一元件上或者还可存在介入元件。相反,当元件描述为“直接在另一元件上”或“直接在另一元件上方”时,不存在介入元件。还应当理解当元件描述为“连接”或“偶联”在另一元件上时,它可直接连接或偶联在另一元件上或可存在介入元件。相反,当元件描述为“直接连接”或“直接偶联”在另一元件上时,不存在介入元件。
在说明书中“一个实施方案”、“一实施方案”、“一个典型实施方案”等的提及表示所述实施方案可包括特定特征、结构或特性,但每个实施方案未必包括特定特征、结构或特性。此外,这类短语未必指相同的实施方案。另外,当特定特征、结构或特性与一个实施方案相关地描述时,认为本领域技术人员已知影响与其它实施方案有关的该特征、结构或特性,无论是否明确描述。
本公开内容涉及氢化非晶硅(α-Si:H)及其合金,和包含并入其中的氢化非晶硅的光伏器件,例如太阳能电池。氢化非晶硅器件常见的长期问题之一是氢化非晶硅的亚稳定性。亚稳定性指通过改变材料中费米能级(化学势)位置的温度变化或外部激发如光或偏移驱使的材料性能的可逆变化。通常,亚稳定性不是想要的现象,因为亚稳定变化在操作时间过程中转化成器件性能的劣化。亚稳定现象的一个实例为操作期间(在日光下)氢化非晶硅太阳能电池效率的劣化,称为Staebler-Wronski效应,和操作期间(在偏栅压下)氢化非晶硅薄膜晶体管(TFT)阈值电压偏移。可由氢化非晶硅的亚稳定性能产生的其它现象包括空间电荷有限电流引发的缺陷产生、掺杂效率的亚稳定变化和照明期间晶体硅(c-Si)上氢化非晶硅钝化的劣化。
在至少一些情况下,氢化非晶硅中亚稳定性的来源是弱(应变)硅-硅键可逆地转化成悬空键,其可称为弱键模型。氢化非晶硅的亚稳定性可连接于氢的分散扩散,其中(i)氢可由硅-氢键释放,(ii)扩散至相邻弱硅-硅键,
和(iii)通过打破弱硅-硅键而产生悬空键。图1阐述了氢调控弱键模型,其中氢原子从硅-氢键移动并打破弱硅-硅键,留下两个缺陷DH和DW。
一方面,本公开内容提供了通过将氘(D)引入氢化非晶硅晶格中以用硅-氘(Si-D)键取代一些硅-氢键而改进氢化非晶硅的稳定性。因此,亚稳定性由于以下原因被抑制:(i)与硅氢键相比更强的硅-氘键和/或(ii)氘与氢相比更低的扩散性。在一个实施方案中,改进氢化非晶硅光伏材料的稳定性的方法包括提供含氢化非晶硅的材料的表面,和将含氢化非晶硅的材料在含氘气氛中退火,其中将氘引入含氢化非晶硅的材料的晶格中。通过将氘引入含氢化非晶硅的材料的晶格中,提供含氢化非晶硅和氘的材料,其中氘与悬空硅键键合以与不含氘的氢化非晶硅相比提高含氢化非晶硅和氘的材料的稳定性。“提高稳定性”意指氢化非晶硅中的键破坏速率降低,因此抑制上述亚稳定性现象。在其中氢化非晶Si用于将单晶或多晶半导体如Si、Ge、SiGe或GaAs表面钝化的一个实施方案中,抑制亚稳定性的结果是用含氢化非晶硅和氘的材料钝化的上述晶体或多晶材料中有效载流子寿命的亚稳定变化与用不含氘的氢化非晶硅钝化的类似材料相比降低。晶体或多晶半导体材料中的有效载流子寿命取决于晶体或多晶半导体材料的体内的载流子寿命和晶体或多晶半导体材料表面上(即在与氢化非晶Si的界面上)载流子的表面重组速度。界面上的表面重组速度与该界面上的载流子重组速率成比例。因此,用包含含氘氢化非晶Si的材料钝化的晶体或多晶材料中有效载流子寿命的亚稳定变化的减少表示晶体或多晶材料与包含含氘氢化非晶Si的材料之间的界面上的载流子重组速率的亚稳定变化与不含氘的含氢化非晶Si的材料相比降低。如用于描述含氢化非晶硅和氘的材料的术语“非晶”表示氢化硅晶格缺乏长程有序。术语“氢化”表示本征非晶氢化硅含有氢。氢化非晶硅的氢含量通常大于5原子%,约10原子%的氢含量是最通常的。“含氘”意指氢化非晶硅包含氘,其中典型的氘含量大于1原子%。在整个该公开内容中,术语“氢化非晶硅”的使用涵盖膜可含有结晶部分的可能性。这也适用于含氢化非晶硅的材料,例如氢化非晶硅锗和氢化非晶碳化硅。
图2描述了光伏器件50的一个实施方案,其中至少一部分光伏器件50包含含氢化非晶硅和氘的材料。如本文所用,“光伏器件”为当暴露于辐射如光下时产生自由电子-空穴对并导致产生电流的器件如太阳能电池。光伏器件通常包含具有p型导电性和n型导电性的层,其分享界面以提供结。
图2所述实施方案为由非晶或微晶硅(Si)组成的单结太阳能电池且它的基础电子结构为p-i-n结。p-i-n结包含p型导电半导体层20、本征半导体层25和n型半导体层30。“本征半导体层”为基本纯的半导体材料层,即本征半导体层未用n型或p型掺杂剂掺杂。如本文所用,“p型”指杂质加入本征半导体中,这产生价电子的缺乏(即空穴)。在操作中,p-i-n结响应于辐照材料而提供电场。负电荷积累在p型导电半导体层20和p型侧的一部分本征层中(由于离子化受体杂质或正电性缺陷),且正电荷积累在n型导电半导体层30和n型侧的一部分本征层中(由于离子化给体或负电性缺陷),电场从n型导电半导体层30朝向p型导电半导体层20的方向产生。本征半导体层25中的电子由于电场而移向n型导电半导体层30,且本征半导体层25中的空穴移向p型导电半导体层20。因此,电子-空穴对系统地连接以提供在p型导电半导体层20上的正电荷和在n型导电半导体层30上的负电荷。p-i-n结形成这类光伏器件的核心,这提供可驱动器件的电动势。
典型地,与n-i-p结构相反,通常使用p-i-n结构。这是因为:氢化非晶硅中电子的迁移率可以比空穴大1-2个数量级,因此从p型导电半导体层20移至n型导电半导体层30触点的电子的收集率比从p型导电半导体层20移至n型导电半导体层30的空穴更好。因此,p型导电半导体层20应朝向光强度更强的光伏器件的上表面放置,使得结上的大部分电荷载流子为电子。
参考图2,光伏器件50可包含自顶至底的基质5、含氧化物钝化层10、透明导电材料层15、p型导电半导体层20、本征半导体层25、n型导电半导体层30和后触点金属化结构35的材料堆。在一个实施方案中,光伏器件50的p-i-n结的p型导电半导体层20、本征半导体层25和n型导电半导体层30中的至少一个由含氢化非晶硅和氘的材料提供。图2所示材料堆提供单结p-i-n太阳能电池,现在更详细地讨论其细节。
基质5为向光伏器件50提供机械载体的结构。基质5在光伏器件50内发生电子和空穴的光生成的电磁辐射范围内是透明的。在一个实施方案中,基质5可以为光学透明的,即在波长为400-800nm的可见电磁光谱范围中是透明的。在一个实施方案中,基质5可以为玻璃基质。基质5的厚度可以为50μm-3mm,但也可使用较小和较大的厚度。
透明导电材料层15包含在光伏器件结构内发生电子和空穴的光生成时的电磁辐射范围内是透明的材料。例如,透明导电材料层15可包括透明导电氧化物(TCO),例如氟掺杂的氧化锡(SnO2:F)、铝掺杂的氧化锌(ZnO:Al)或氧化铟锡。透明导电材料层15的厚度可以为100nm-3μm,但也可使用较小和较大的厚度。在一个实施方案中,含氧化物钝化层10存在于透明导电材料层15与基质5之间。含氧化物钝化层10可由二氧化硅(SiO2)组成并可具有100nm-1μm的厚度,但也可使用较小和较大的厚度。
p-i-n结构可存在于透明导电材料层15下面,且包含p型导电半导体层20、本征半导体层25和n型导电半导体层30。p-i-n结构的至少一层可由如本公开内容提供的含氢化非晶硅和氘的材料组成。由于含氢化非晶硅和氘的材料具有比结晶单元更高的光吸收率,基本完全的光谱可被非常薄的含氢化非晶硅和氘的材料层吸收。例如,含氢化非晶硅和氘的材料可具有1.7eV的光能带隙且具有大于105cm-1的光学吸收系数α,对于能量大于能带隙的光子。在一个实施方案中,仅1μm厚的含氢化非晶硅和氘的材料的膜可吸收90%的可用太阳能。
通常,在非晶形p-i-n结构中,氢化非晶硅包含5-20%的氢,其中氢将由氢化非晶Si中的配位缺陷产生的悬空键钝化(即相对于Si的4倍配位晶体结构,缺失或多余的Si原子)。然而,氢硅键的强度是有限的,且氢具有轻量质量,这可促进游离氢扩散通过硅晶格以打破氢硅键合。如果外部激发来源提供足够的能量以打破弱Si-Si键,则氢原子可脱离Si-H键,并向打破的Si-Si键扩散以形成新的Si-H键,如图1中示意性显示的。这会产生在原始Si-H键(其现在失去H原子)部位的悬空键和在打破的Si-Si键部位的另一悬空键(注意两个Si原子中的一个不与H键合)。这两个悬空键在图1中分别表示为DH和DW。相同类型的其它反应也是可能的。例如,由于氢从第二Si–H键中释放,可将弱键用两个氢原子饱和。如果H原子在Si-Si键破裂时不可得,则Si-Si键会在除去激发以后再形成(基本以热的形式消散,即晶格振动)。在太阳能电池中照明(Staebler-Wronski效应)的情况下,打破弱Si-Si键所需的能量主要通过由光生成的电子-空穴对重组而释放的能量提供。在薄膜晶体管中的偏栅压的情况下,打破弱Si-Si键所需的能量主要通过由场感应自由电子截留在悬空键中(配位缺陷)释放的能量提供。由于D-Si键比Si-H键更强和/或D在Si晶格中的扩散性比H更低,D原子可用于在Si-Si键由于外部激发而破裂时将弱Si-Si键饱和的可能性(因此,键破裂的可能性)更低。
p型导电半导体层20、本征半导体层25和n型导电半导体层30中的至少一层可由含氢化非晶硅和氘的材料组成。p型导电半导体层20、本征半导体层25和n型导电半导体层30中的至少一层中所用的含氢化非晶硅和氘的材料由大于80原子%硅、大于5原子%氢和大于0.001原子%氘组成。在一个实施方案中,氘以0.001-1原子%的量存在于含氢化非晶硅和氘的材料中。在一个实施方案中,氢以5-20原子%的量存在于含氢化非晶硅和氘的材料中。在一个实施方案中,硅以80-95原子%的量存在于含氢化非晶硅和氘的材料中。
提供p型导电半导体层20的p型导电性的掺杂剂可以为来自元素周期表的III-A族的元素。p型掺杂剂的实例包括但不限于硼、铝、镓和铟,但指出产生价电子缺乏的任何杂质适于p型掺杂剂。在一个实施方案中,p型导电半导体层20包含含氢化非晶硅和氘的材料,其具有1016-1021个原子/cm3的p型掺杂剂浓度,且具有1-50nm的厚度。在另一实施方案中,p型导电半导体层20中p型掺杂剂的浓度为1018-1020个原子/cm3,且具有3-30nm的厚度。层20中的掺杂效率(活性掺杂剂原子与总掺杂剂原子的比)为0.1-20%,但较高和较低的掺杂效率是可能的。通常,掺杂效率通过提高掺杂剂原子浓度而降低。通常,p型导电半导体层20的带隙为1.7-2.5eV,但也可使用较高和较低的带隙。层20可由碳合金组成。在一些实施方案中,层20包含含氘p型氢化非晶碳化硅。
在其中本征半导体层25、n型导电半导体层30中的一层由含氢化非晶硅和氘的材料组成的一些实施方案中,p型导电半导体层20可由不包含氘的含晶体硅的材料如微晶(c-Si)和/或氢化非晶硅组成。
不将本征半导体层25用n型或p型掺杂剂掺杂。p型导电半导体层20、本征半导体层25和n型导电半导体层25的组合在太阳能电池的功能期间建立电场以分离电子-空穴对。这些层还决定器件的电压。本征半导体层25为器件的活性层,在那里产生多数太阳能电池电流。
本征半导体层25通常存在于p型导电半导体层20与n型导电半导体层30之间并与p型导电半导体层20和n型导电半导体层30直接接触。本征半导体层30的厚度可取决于本征含氢化半导体的材料中电子和空穴的收集长度。在一个实施方案中,本征半导体层25由含氢化非晶硅和氘的材料组成,其具有100nm-1μm的厚度。在另一实施方案中,本征半导体层25由含氢化非晶硅和氘的材料组成,其具有100nm-1μm的厚度。通常,本征半导体层25的带隙为1.6-1.8eV。
在其中p型导电半导体层20、n型导电半导体层30中的一层由含氢化非晶硅和氘的材料组成的一些实施方案中,本征半导体层25可由不包含氘的含晶体硅的材料如微晶(c-Si)和/或氢化非晶硅组成。
提供n型导电半导体层30的n型导电性的掺杂剂可以为元素周期表III-A族的元素。n型掺杂剂的实例包括但不限于锑、砷和磷。指出上述掺杂剂仅用于说明目的且不意欲限制本公开内容,因为n型掺杂剂可以为在本征半导体中产生自由电子的任何杂质,所述本征半导体提供n型导电半导体层30的基体材料,例如含氢化非晶硅和氘的材料。在一个实施方案中,n型导电半导体层30包含含氢化非晶硅和氘的材料,其具有1016-1021个原子/cm3的n型掺杂剂浓度且具有1-50nm的厚度。在另一实施方案中,n型导电半导体层30中n型掺杂剂的浓度为1018-1020个原子/cm3,且n型导电半导体层30具有3-30nm的厚度。层20中的掺杂效率(活性掺杂剂原子与总掺杂剂原子的比)为0.1-20%,但较高和较低的掺杂效率是可能的。通常,掺杂效率通过提高掺杂剂原子浓度而降低。通常,n型导电半导体层30的带隙为1.6-1.8eV,但也可使用较高和较低的带隙。
在其中本征半导体层25和p型导电半导体层20中的至少一层由含氢化非晶硅和氘的材料组成的一些实施方案中,n型导电半导体层30可由含晶体硅的材料如微晶(c-Si)组成,或者n型导电半导体层20由不包含氘的氢化非晶硅组成。
仍参考图2,后触点金属化结构35包含金属材料。金属材料可在光伏器件50内发生电子和空穴的光生成的电磁辐射范围内具有高反射率。金属材料可包括银、铝或其合金。后触点金属化结构35的厚度可以为100nm-1μm,但也可使用较小和较大的厚度。在一个实施方案中,后触点金属化结构35充当光伏器件的负结点,且透明导电材料层10可充当光伏器件50的正结点。在一些实施方案中,透明导电材料和高反射率金属如银的双层可用于形成层35,以改进反射率。在这些实施方案中,透明导电材料与层30直接接触且具有通常70-100nm的厚度。透明导电材料的描述与关于层15所述的相同。
在一些实施方案中,形成图2所示光伏器件50的方法可以以在基质5上形成透明导电材料层25开始。
透明导电材料层15通常使用沉积方法如溅射或化学蒸气沉积(CVD)形成。化学蒸气沉积(CVD)为其中沉积物种由于气体反应物之间在室温或更高温度下的化学反应而形成的沉积方法,其中反应的固体产物沉积于待形成固体产物的膜、涂层或层的表面上。
适于形成透明导电材料层15的CVD方法的实例包括但不限于原子压力化学蒸气沉积(APCVD)、低压化学蒸气沉积(LPCVD)、等离子体增强化学蒸气沉积(PECVD)、金属有机化学蒸气沉积(MOCVD)及其组合。溅射的实例包括但不限于RF和DC磁控管溅射。在一些实施方案中,在形成透明导电材料层15以前,含有氧化物或氮化物的钝化层10在基质5上形成。含氧化物钝化层10可使用热生长方法如热氧化或沉积如CVD形成。含氮化物层通常通过CVD沉积。在一个实施方案中,含有氧化物或氮化物的钝化层10可提高基质5与透明导电材料层15之间的附着力。
太阳能电池的p-i-n结可在透明导电材料层15以后形成。更具体而言,在一个实施方案中,n型导电半导体层20、本征半导体层25和p型导电半导体层35可在形成透明导电材料层15以后顺序地沉积以提供p-i-n结。n型导电半导体层20、本征半导体层25和p型导电半导体层30中的至少一层由包含氘(D)的氢化非晶硅和/或氢化非晶硅合金(还称为“含氢化非晶硅和氘的材料”)组成。在一个实施方案中,含氢化非晶硅和氘的材料如下形成:提供含氢化非晶硅的材料的表面,并将含氢化非晶硅的材料在含氘气氛中退火,其中将氘引入含氢化非晶硅的材料的晶格中。
在用含氘气氛处理以前,含氢化非晶硅的材料可包含至多20%氢,但不包含氘。在一个实施方案中,氢化非晶硅使用等离子体增强化学蒸气沉积方法(PECVD)沉积。PECVD为使用电能产生等离子体如辉光放电等离子体的化学蒸气沉积方法。等离子体可通过两个电极之间的RF(AC)频率或DC放电产生,其中两个电极之间的空间含有反应气体。如本领域所知,三极管电极构型也可用于产生辉光放电。电能将气体混合物转变成反应性基团、离子、中性原子和分子以及其它高度受激物种。该方法中涉及化学反应,其在产生反应气体的等离子体以后发生。例如,原子和分子碎片与沉积基质相互作用以形成沉积材料层。等离子体为其中将多数原子或分子电离的气体。在一个实施方案中,用于沉积和相关材料加工的等离子体中的电离度为典型电容性放电中的10-4至高密度感应等离子体中的5-10%。等离子体通常在几毫托如1-10毫托至几托如1-10托的压力下操作,但电弧放电和感应等离子体可以在常压下点火。等离子体可通过射频电容性放电、感应耦合等离子体(ICP)、电子回旋共振(ECR)和螺旋波提供。
在一个实施方案中,PECVD可在50-400℃的沉积温度和0.1-10托的压力下进行。在另一实施方案中,PECVD可在100-350℃的沉积温度和0.2-5托的压力下进行。
含氢化非晶硅的材料通过PECVD沉积包括至少一种含半导体材料的反应气体和至少一种含氢反应气体。在一个实施方案中,用于产生含氢化非晶硅的材料的含半导体材料的反应气体包含至少一个硅原子。例如,为提供氢化非晶硅的硅组分,含半导体材料的反应气体可包含SiH4、Si2H6、SiH2Cl2、SiHCl3和SiCl4中的至少一种。
用于使含氢化非晶硅的材料通过PECVD沉积的含氢反应气体可以为氢气(H2)。将氢气中的氢原子并入沉积材料中以形成含氢化非晶硅的材料。含氢反应气体可伴随至少一种惰性气体如He、Ne、Ar、Xe、Kr或其混合物的载气。在其中含氢反应气体伴随载气的一个实施方案中,含半导体材料的反应气体与组合含氢反应气体和载气的流速比可以为纯硅烷至1:1000。在其中含氢反应气体伴随载气的另一实施方案中,含半导体材料的反应气体与组合含氢反应气体和载气的流速比可以为1:10-1:200。在载气内,氢气与载气的比可以为1:5-5:1。在另一实施方案中,氢气与载气的比可以为1:2-2:1。每种气体的流速可基于加工室的尺寸和沉积方法期间的目标压力决定。根据本公开内容,指出以上流速仅用于说明目的,也可使用较小和较大的比。
在一个实例中,用于产生等离子体的RF功率为2-500mW/cm2。在另一实例中,RF功率为5-100mW/cm2。在一个实例中,RF功率的频率为13.56MHz。在另一实例中,RF功率的频率为5-120MHz。
当含氢化非晶硅(α-Si:H)的材料对于p型导电半导体层20和n型导电半导体层30沉积时,提供材料层的导电类型的掺杂剂可以原位沉积。原位意指提供材料层的导电类型的掺杂剂在材料层形成或沉积时引入。
在p型导电半导体层20的情况下,反应气体可进一步包含p型掺杂剂来源。例如,乙硼烷(B2H6)气体可与含半导体材料的反应气体、含氢反应气体和任选载气同时流入加工室中。碳可以通过使含碳气体来源如CH4、C2H2、C2H4和C2H6流动而原位并入p型层中。在n型导电半导体层30的情况下,反应气体可进一步包含n型掺杂剂来源。例如,磷化氢(PH3)气体或胂(AsH3)气体可与含半导体材料的反应气体、含氢反应气体和任选载气同时流入加工室中。
在形成氢化非晶硅以后,氢化非晶硅可用含氘气体至少穿过氢化非晶Si的一个表面而处理。在一个实施方案中,将含氢化非晶硅的材料在含氘气氛中退火,其中将氘引入含氢化非晶硅(α-Si:H)的材料的晶格中。在一个实施方案中,含氘气体由100%氘气(D2)组成。在一些实施方案中,含氘气体可进一步包含载气如氦(He)气、氩气(Ar)、氪气(Kr)、氮气(N2)或其组合。例如,当含氘气体包含载气如氦(He)气与氘气(D2)组合时,载气可以以少于50%存在,通常以少于25%存在。
在一个实施方案中,氢化非晶硅(α-Si:H)可在大于5ATM的压力下用含氘气体穿过一个表面而处理。在另一实施方案中,可将含氘气体在大于20ATM的压力下应用于氢化非晶硅(α-Si:H)的表面上。可将含氘气体应用于氢化非晶硅(α-Si:H)表面上的温度可以为100-400℃。在一个实施方案中,可将含氘气体应用于氢化非晶硅(α-Si:H)表面上的温度可以为150-350℃。含氘气体的流速可取决于应用含氘气体的室尺寸。在一个实例中,含氘气体可以以10-50sccm的流速应用。
由于将含氘气体应用于氢化非晶硅上,将氘并入氢化非晶硅的晶格中,且硅氘键在退火期间损失氢的硅部位或在退火以前存在的硅悬空键,即不饱和硅部位形成。在将氢化非晶硅用含氘气体处理以后,将氢化非晶硅转化成含氢化非晶硅和氘的材料。
可使用将氢化非晶硅转化成含氢化非晶硅和氘的材料的方法形成p型导电半导体层20、本征半导体层25和n型导电半导体层30中的至少一层以提供p-i-n结。在一个实施方案中,p型导电半导体层20、本征半导体层35和n型导电半导体层30使用三阶段沉积方法形成。例如,三阶段沉积方法的第一阶段可沉积p型导电半导体层20,三阶段沉积方法的第二阶段可沉积本征半导体层25,三阶段沉积方法的第三阶段可沉积n型半导体层30。在另一实施方案中,p型导电半导体层20、本征半导体层25和n型半导体层30中的至少一层为未用含氘气体处理的氢化非晶硅(α-Si:H)。在一个实施方案中,p型导电半导体层20、本征半导体层25和n型导电半导体层30中的至少一层为晶体硅(c-Si)。晶体硅(c-Si)可使用化学蒸气沉积方法,例如原子压力化学蒸气沉积(APCVD)、低压化学蒸气沉积(LPCVD)、等离子体增强化学蒸气沉积(PECVD)、金属有机化学蒸气沉积(MOCVD)及其组合形成。
在形成p-i-n结以后,可在n型导电半导体层30上形成后触点金属化结构35。在一个实施方案中,后触点金属化结构35可通过电镀、无电电镀、物理蒸气沉积、化学蒸气沉积、真空蒸发或其组合而沉积于n型导电半导体层30上。
图3描述了包含双结p-i-n太阳能电池的双结光伏器件55的一个实施方案,其中光伏器件55的至少一层材料层由含氢化非晶硅和氘的材料组成。通过使用两个p-i-n结,当与单结半导体器件相比时,双结光伏器件55吸收的光波范围可提高。在一个实施方案中,上部电池60中的p-i-n结由含氢化非晶硅和氘的材料组成,下部电池65由与锗合金的含氢化非晶硅和氘的材料组成。
图3所示双结光伏器件55类似于图2所示单结光伏器件50,其中双结光伏器件55的上部电池60基本类似于单结光伏器件50。更具体而言,双结光伏器件55的顶部电池60中的基质5a、含氧化物钝化层10a、透明导电材料层15a、p型导电半导体层20a、本征半导体层25a和n型导电半导体层30a基本类似于单结光伏器件50的基质5、含氧化物钝化层10、透明导电材料层15、p型导电半导体层20、本征半导体层25和n型导电半导体层30。因此,图2所示单结光伏器件50的基质5、含氧化物钝化层10、透明导电材料层15、p型导电半导体层20、本征半导体层25和n型导电半导体层30的描述适于图3所示双结光伏器件55的基质5a、含氧化物钝化层10a、透明导电材料层15a、p型导电半导体层20a、本征半导体层25a和n型导电半导体层30a。
在一个实施方案中,双结光伏器件55的上部电池60通过隧道层70与双结光伏器件55的下部电池65分离。任选隧道层的作用是增强在顶部电池与底部电池之间的界面上形成的p+/n+隧道结上载流子的隧穿。在一个实施方案中,隧道层70可由透明导电材料如透明导电氧化物组成。在一个实施方案中,隧道层70可具有5-15nm的厚度,但也可使用较大和较小的厚度。
仍参考图3,双结光伏器件55的底部电池65包含p-i-n结,其中选择p型导电半导体层75、本征半导体层80和n型导电半导体层85各自的材料以具有比对于双结光伏器件55的上部电池60的p型导电半导体层20a、本征半导体层25a和n型导电半导体层30a所选择的材料更低的带隙。例如,当双结光伏器件55的上部电池60的p型导电半导体层20a、本征半导体层25a和n型导电半导体层30a中的至少一层由还可含有碳的含氢化非晶硅和氘的材料组成时,用于双结光伏器件55的下部电池65的p型导电半导体层75、本征半导体层80和n型导电半导体层85中的至少一层的材料可包含具有其它合金元素如锗且还可包含氘的氢化非晶硅材料,或者可包含还可含有氘的含氢化纳米晶或微晶硅的材料。锗的加入降低含氢化非晶硅和氘的材料的带隙,碳的加入提高含氢化非晶硅和氘的材料的带隙。
当双结光伏器件55的下部电池65的p型导电半导体层75、本征半导体层80和/或n型导电半导体层85中的至少一层由与碳合金的含氢化非晶硅和氘的材料组成时,碳可在氘气处理以前在形成氢化非晶硅的PECVD方法期间引入。更具体而言,在沉积方法期间,含碳反应气体可在氢化非晶硅沉积期间流入加工室中。含碳反应气体可连续或间歇式地流入加工室中。含碳反应气体可以为任何烃气体,包括但不限于CH4、C2H2、C2H4和C2H6。含碳气体反应物可与通过含半导体材料的反应气体提供的硅来源如SiH4、Si2H6、SiH2Cl2、SiHCl3、SiCl4或其组合和含氢反应气体同时引入沉积室中含碳气体也可伴随载气如氢气
当与碳合金的含氢化非晶硅和氘的材料用p型导电性掺杂剂掺杂以提供p型导电半导体层75时,p型掺杂剂可在用于形成含氢化非晶硅的材料的沉积方法期间原位引入。具体而言,可将p型掺杂剂如硼引入待通过p型掺杂剂气体如乙硼烷(B2H6)沉积的材料层中,其可与含半导体材料的反应气体、含氢反应气体和/或含碳反应气体同时或分开地进入沉积室中。
当与碳合金的含氢化非晶硅和氘的材料用n型导电性掺杂剂掺杂以提供n型导电半导体层85时,n型掺杂剂可在用于形成含氢化非晶硅的材料的沉积方法期间原位引入。具体而言,可将n型掺杂剂如磷或砷引入通过n型掺杂剂气体如磷化氢(PH3)气体或胂(AsH3)沉积的材料层中,其可与含半导体材料的反应气体、含氢反应气体和/或含碳反应气体同时或分开地进入沉积室中。
用于将氘引入与碳合金的含氢化非晶硅和氘的材料的晶格中的含氘气体处理类似于以上关于将氘引入以上关于图2所述氢化非晶硅的晶格中的含氘气体处理。
与碳合金的含氢化非晶硅和氘的材料中碳的原子浓度可以为1-50%。在另一实施方案中,与碳合金的含氢化非晶硅和氘的材料中碳的原子浓度可以为5-30%。与碳合金的含氢化非晶硅和氘的材料中硅的原子浓度可以为50-95%。在另一实施方案中,与碳合金的含氢化非晶硅和氘的材料中硅的原子浓度可以为75-85%。与碳合金的含氢化非晶硅和氘的材料中氘的原子浓度为大于0.001%。在另一实施方案中,与碳合金的含氢化非晶硅和氘的材料中氘的原子浓度可以为0.001-1%。当用于p型导电半导体层75中时,与碳合金的含氢化非晶硅和氘的材料进一步包含1016-1021个原子/cm3的p型掺杂剂浓度,其中1018-1020个原子/cm3的范围是更通常的。层75中的掺杂效率(活性掺杂剂原子与总掺杂剂原子的比)通常为0.1-20%,但较高和较低的掺杂效率是可能的。通常,掺杂效率通过提高掺杂剂原子浓度而降低。同样,当用于n型导电半导体层75中时,与碳合金的含氢化非晶硅和氘的材料进一步包含1016-1021个原子/cm3的n型掺杂剂浓度,其中1018-1020个原子/cm3的范围是更通常的。层75中的掺杂效率(活性掺杂剂原子与总掺杂剂原子的比)通常为0.1-20%,但较高和较低的掺杂效率是可能的。通常,掺杂效率通过提高掺杂剂原子浓度而降低。
由与碳合金的含氢化非晶硅和氘的材料组成的下部电池65的p型导电半导体层75的带隙可以为1.7-2.5eV,但也可使用较高和较低的带隙。层75可包含碳。在一些实施方案中,层75包含含氘氢化非晶碳化硅。由与碳合金的含氢化非晶硅和氘的材料组成的本征半导体层80的带隙可以为1.6-1.8eV。由与碳合金的含氢化非晶硅和氘的材料组成的下部电池65的n型导电半导体层85的带隙可以为1.6-1.8eV。
当双结光伏器件55的下部电池65的p型导电半导体层75、本征半导体层80和/或n型导电半导体层85中的至少一层由与锗合金的含氢化非晶硅和氘的材料组成时,可将锗在氘气处理以前在形成氢化非晶硅(α-Si:H)的PECVD方法期间引入。更具体而言,在沉积方法期间,含锗反应气体可在氢化非晶硅(α-Si:H)的沉积期间流入加工室中。含锗气体反应物可连续或间歇式地流入加工室中。含锗气体反应物可以为任何锗气体,包括但不限于GeH4、Ge2H6、GeH2Cl2和Ge2Cl4。含锗气体反应物可与由含半导体材料的反应气体提供的硅来源如SiH4、Si2H6、SiH2Cl2、SiHCl3、SiCl4或其组合和含氢反应气体同时引入沉积室中。含锗气体也可伴随载气如氢气。
当将与锗合金的含氢化非晶硅和氘的材料用p型导电性掺杂剂掺杂以提供p型导电半导体层75时,p型掺杂剂可在沉积方法期间原位引入。具体而言,可将p型掺杂剂如硼引入通过p型掺杂剂气体如乙硼烷(B2H6)沉积的材料层如氢化非晶硅中,其可与含半导体材料的反应气体、含氢反应气体和/或含锗反应气体同时或分开地进入沉积室中。
当与锗合金的含氢化非晶硅和氘的材料用n型导电性掺杂剂掺杂以提供n型导电半导体层85时,n型掺杂剂可在沉积期间原位引入。具体而言,可将n型掺杂剂如磷或砷引入通过n型掺杂剂气体如磷化氢(PH3)气体或胂(AsH3)沉积的材料层如氢化非晶硅中,其可与含半导体材料的反应气体、含氢反应气体和/或含锗反应气体同时或分开地进入沉积室中。
用于将氘引入与锗合金的含氢化非晶硅和氘的材料的晶格中的含氘气体处理类似于以上关于将氘引入以上关于图2所述氢化非晶硅的晶格中的含氘气体处理。
与锗合金的含氢化非晶硅和氘的材料中锗的原子浓度可以为0-100%,1-50%的范围是更通常的。在一些实施方案中,与锗合金的含氢化非晶硅和氘的材料中锗的原子浓度可以为5-30%。在一个实施方案中,与锗合金的含氢化非晶硅和氘的材料中硅的原子浓度可以为50-95%。在另一实施方案中,与锗合金的含氢化非晶硅和氘的材料中锗的原子浓度可以为75-85%。与碳合金的含氢化非晶硅和氘的材料中氘的原子浓度为大于0.001%。在另一实施方案中,与锗合金的含氢化非晶硅和氘的材料中氘的原子浓度可以为0.001-1%。当用于p型导电半导体层75中时,与锗合金的含氢化非晶硅和氘的材料进一步包含1016-1020个原子/cm3的p型掺杂剂浓度,其中1018-1020个原子/cm3的范围是更通常的。层75中的掺杂效率(活性掺杂剂原子与总掺杂剂原子的比)通常为0.1-20%,但较高和较低的掺杂效率是可能的。通常,掺杂效率通过提高掺杂剂原子浓度而降低。同样,当用于n型导电半导体层85中时,与锗合金的含氢化非晶硅和氘的材料进一步包含1016-1020个原子/cm3的n型掺杂剂浓度,其中1018-1020个原子/cm3的范围是更通常的。层75中的掺杂效率(活性掺杂剂原子与总掺杂剂原子的比)通常为0.1-20%,但较高和较低的掺杂效率是可能的。通常,掺杂效率通过提高掺杂剂原子浓度而降低。
由与锗合金的含氢化非晶硅和氘的材料组成的下部电池65的p型导电半导体层75的带隙可以为0.7-1.7eV,1.0-1.5eV的范围是更通常的。由与锗合金的含氢化非晶硅和氘的材料组成的本征半导体层80的带隙可以为0.7-1.7eV,1.0-1.5eV的范围是更通常的。由与锗合金的含氢化非晶硅和氘的材料组成的下部电池65的n型导电半导体层85的带隙可以为0.7-1.7eV,1.0-1.5eV的范围是更通常的。
仍参考图3,双结光伏器件55进一步包含后触点金属化结构35a。图3所示后触点金属化结构35a类似于以上关于图2所述单结光伏器件50的后触点金属化结构35。因此,图2所示后触点金属化结构35的描述适于图3所示双结光伏器件55的后触点金属化结构35a。
图4描述包含三结p-i-n太阳能电池的光伏器件90的一个实施方案,其中光伏器件90的至少一层材料层由含氢化非晶硅和氘的材料组成。图4所示三结p-i-n太阳能电池类似于图3所示的双结p-i-n太阳能电池。选择三结光伏器件90的上部电池60a、中间电池65a和下部电池90的p-i-n结中所用的材料以具有不同的带隙,使得三结光伏器件90的上部电池60a、中间电池65a和下部电池95吸收能量产生中的不同光波长。
图4所示三结光伏器件90包含类似于图2所示基质5、含氧化物钝化层10和透明导电材料层15的基质5b、含氧化物钝化层10b和透明导电材料层15b。因此,图2所示基质5、含氧化物钝化层10和透明导电材料层15的描述适于图4所示基质5b、含氧化物钝化层10b和透明导电材料层15b。
在一个实施方案中,三结光伏器件90的上部电池60a包含p型导电半导体层20b、本征半导体层25b和n型导电半导体层30b,其各自可由与碳合金的含氢化非晶硅和氘的材料组成。用于p型导电半导体层20b、本征半导体层25b和n型导电半导体层30b中的至少一层的与碳合金的含氢化非晶硅和氘的材料及其形成方法如以上关于图3所示双结光伏器件50的下部电池65的一个实施方案的材料所述。
三结光伏器件90的上部电池60a可通过第一隧道结层70a与三结光伏器件90的中间电池65a分离。第一隧道结层70a可由透明导电材料如透明导电氧化物组成。任选隧道层的作用是增强在顶部电池与中间电池之间的界面上形成的p+/n+隧道结上载流子的隧穿。第一隧道结层70a可具有5-15nm的厚度,但也可使用较薄或较厚的隧道层。
在一个实施方案中,三结光伏器件90的中间电池65a包含n型导电半导体层75a、本征半导体层80a和p型导电半导体层85a,其各自可由含氢化非晶硅和氘的材料组成。三结光伏器件90的中间电池65a的n型导电半导体层20b、本征半导体层25b和p型导电半导体层35b类似于图2所示单结光伏器件50的p-i-n结的n型导电半导体层20、本征半导体层25和p型导电半导体层35。因此,图2所示单结光伏器件50的p-i-n结的n型导电半导体层20、本征半导体层25和p型导电半导体层35的描述适于三结光伏器件90的中间电池65a的n型导电半导体层75a、本征半导体层80a和p型导电半导体层85a。
三结光伏器件95的中间电池65a可通过第二隧道结层86与三结光伏器件90的下部电池95分离。第二隧道结层86可由透明导电材料如透明导电氧化物组成。任选隧道层的作用是增强在中间电池与底部电池之间的界面上形成的p+/n+隧道结上载流子的隧穿。第二隧道层86可具有5-15nm的厚度,但也可使用较薄或较厚的隧道层。
仍参考图4,在一个实施方案中,三结光伏器件90的底部电池95包含p型导电半导体层96、本征半导体层97和n型导电半导体层98,其各自可由与锗合金的含氢化非晶硅和氘的材料组成。用于p型导电半导体层96、本征半导体层97和n型导电半导体层98中的至少一层的与锗合金的含氢化非晶硅和氘的材料及其形成方法如以上关于图3所示双结光伏器件50的下部电池65的一个实施方案的材料所述。
三结光伏器件90进一步包含后触点金属化结构35b。图4所示后触点金属化结构35b类似于以上关于图2所述单结光伏器件50的后触点金属化结构35。因此,图2所示后触点金属化结构35的描述适于图4所示三结光伏器件90的后触点金属化结构35b。
图5A描述了具有单晶硅(c-Si)吸收层310和由含氢化非晶硅和氘的材料组成的后触点结构430的光伏器件300。“吸收层”为容易吸收光子以产生电荷载流子,即自由电子或空穴的材料。在前侧与吸收层之间的光伏器件部分称为“发射层”,与吸收层的结称为“发射结”。发射层可存在于吸收层上,其中发射层具有与作为吸收层的导电类型相反的导电类型。在一个实例中,当光子形式的太阳能集中在电池层中时,电子-空穴对在光伏器件内的材料中产生。发射结提供所需电场以使光生成的电子和空穴分别集中在发射结的p掺杂和n掺杂侧上。为此,在该实例中,光伏器件的至少一层p型层可提供吸收层且至少一层相邻n型层可提供发射层。在其它实例中,可将吸收层掺杂成n型导电性,并可将发射层掺杂成p型导电性。在异质结太阳能电池如图5-7所示实施方案中,吸收层310为起始基质,而在符合图1-4所示实施方案的p-i-n光伏器件中,吸收层为沉积层,例如本征半导体层25、25a、25b。
在图5A所示实施方案中,光伏器件300包含发射体结构320。在一个实施方案中,发射体结构320具有第二导电类型,例如n型,并在具有第一导电类型如p型的单晶硅(c-Si)吸收层310上形成。
术语“单晶”表示结晶固体,其中整个试样的晶格是基本连续的且基本未破损试样的边缘,基本不具有晶界。在另一实施方案中,吸收层310的晶体半导体材料具有多晶结构。与单晶晶体结构相反,多晶结构为由无规取向的微晶构成且含有大角度晶界、孪晶间界或二者的半导体材料的形式。多晶广泛指具有大晶粒的多晶材料(约mm至cm的数量级)。使用的其它术语为大晶粒多晶或大晶粒多晶。术语多晶通常指小晶粒(几百nm至几百μm)。吸收层310的晶体半导体材料通常为含硅材料。在一个实施方案中,吸收层310由Si、Ge、SiGe、SiC和SiGeC中的至少一种组成。在又一实施方案中,吸收层310可以为化合物半导体,例如III-IV型半导体如GaAs。在一个实例中,吸收层310的晶体半导体材料由单晶Si组成。在一个实施方案中,吸收层310具有50nm-1mm的厚度。在另一实施方案中,吸收层310具有1-500μm的厚度。
在一个实施方案中,将吸收层310用p型掺杂剂掺杂,所述掺杂剂以1×109-1×1020个原子/cm3的浓度存在。在另一实施方案中,存在于吸收层310中的p型掺杂剂的浓度为1×1014-1×1019个原子/cm3。吸收层310中p型掺杂剂的掺杂剂浓度可以为分级或均匀的。“均匀”意指掺杂剂浓度在吸收层310的整个厚度上是相同的。例如,具有均匀掺杂剂浓度的吸收层310可具有在吸收层310的上表面和底面上相同的掺杂剂浓度以及在吸收层10的上表面与底面之间的吸收层310中心部分相同的掺杂剂浓度。“分级”意指掺杂剂浓度在整个吸收层10厚度上是变化的。在一个实施方案中,吸收层310的带隙可以为0.1-7.0eV。也可将吸收层310掺杂成n型导电性。
仍参考图5A,钝化层305存在于吸收层310下面。钝化层305通常为本征的。钝化层305用于将吸收层310的背面钝化并降低电子-空穴重组。在一个实施方案中,钝化层305可由含氢化非晶硅和氘的材料组成。含氢化非晶硅和氘的材料在组成方面可类似于以上关于图2-4所述光伏电池50、60、60a、65、65a、95的p-i-n结中所用含氢化非晶硅和氘的材料。因此,以上关于图2-4所述含氢化非晶硅和氘的材料的组成和制备方法适于提供图5A所示钝化层305。如上所述,含氢化非晶硅和氘的材料中氘的存在提高用于背面场层305的材料的稳定性并降低Staebler-Wronski效应。在一些实施方案中,用于背面场层305的含氢化非晶硅和氘的材料可进一步包含锗和碳的合金加入。
钝化层305可具有2nm-1μm的厚度。在另一实施方案中,钝化层305具有5-300nm的厚度。在一个实施方案中,半导体基质可提供吸收层310,并可使钝化层305沉积于半导体基质上。例如,当钝化层305由含氢化非晶硅和氘的材料组成时,非晶氢化硅的材料层可使用等离子增强化学蒸气沉积(PECVD)沉积于提供吸收层310的半导体基质上,其中氘通过在沉积以后应用于非晶氢化硅的含氘气体处理而引入非晶氢化硅中。
在图5A所示实施方案中,钝化层305在吸收层310的整个背面上不是连续层。在该实施方案中,局部背面场区315存在于吸收层310的背面并且包含在钝化层305中的开口内。仍参考图5A,光伏器件300可进一步包含在钝化层305上的任选介电层325。介电层325可由非晶氢化氮化硅或非晶氢化氧化硅组成。介电层325可向光伏电池的背面提供进一步钝化和/或反射率。
在一个实施方案中,形成吸收层310的局部背面场区315可以以形成介电层325开始。介电层325可使用沉积方法如CVD形成。适于沉积介电层325的CVD方法的变体包括但不限于常压CVD(APCVD)、低压CVD(LPCVD)和等离子增强CVD(PECVD)、金属有机CVD(MOCVD)及其组合。介电层325可具有5nm-1μm的厚度,50-300nm的范围是更通常的。
在一个实施方案中,可穿过至少钝化层305以及存在时任选介电层325形成至少一个开口以暴露吸收层310的至少一部分背面。在一个实施方案中,形成穿过钝化层305和任选介电层325的至少一个开口以暴露吸收层310的至少一部分背面包括在钝化层305和任选介电层325上形成图案蚀刻掩模(patterned etch mask)(未显示),并选择性地蚀刻钝化层305和任选介电层325的暴露于图案蚀刻掩模和吸收层310背面的部分。
具体而言,在一个实例中,图案蚀刻掩模通过将光刻胶应用于待蚀刻表面,使光刻胶暴露于辐射图案下,然后使用光刻胶显影剂使图案显影于光刻胶中而产生。当光刻胶的图案化完成时,保护光刻胶覆盖的部分,同时将暴露的区域使用除去未保护区域的选择性蚀刻方法除去。如本文所用,关于材料脱除方法的术语“选择性”表示被应用材料脱除方法的结构的第一材料的材料脱除速率大于至少另一材料的脱除速率。在一些实例中,选择性可以为大于100:1,例如1000:1。
在一个实施方案中,蚀刻方法用对吸收层310的背面呈选择性的蚀刻化学除去背面场层305和任选介电层325的暴露部分。在一个实施方案中,形成开口的蚀刻方法为各向异性蚀刻。各向异性蚀刻方法为其中待蚀刻表面的法线方向上的蚀刻速率大于平行于待蚀刻表面的方向的材料脱除方法。各向异性蚀刻可包括反应性离子蚀刻(RIE)。就本公开内容的这点而言,可使用的各向异性蚀刻的其它实例包括离子束蚀刻、等离子蚀刻或激光烧蚀。
在形成暴露吸收层310的背面的开口以后,局部背面场区315可通过将用于局部背面场区315的掺杂剂通过开口引入吸收层310的背面而在吸收层310中形成。例如,提供局部背面场区315的导电类型的掺杂剂可使用离子注入或气体蒸气相沉积引入吸收层310中,然后扩散到半导体基质的深度以提供局部背面场区315。将局部背面场区315掺杂成与吸收层310相同的导电类型。例如,当吸收层310为p型导电性时,局部背面场区315为p型导电性。如本文所用“背面场(BSF)区”为吸收层310背面的较高掺杂层。高与低掺杂区之间的界面产生电场,其充当少数载流子流向吸收层310背面的屏障。例如,适于阻碍少数载流子如电子重组的电场可通过例如具有1×1017-5×1020个原子/cm3的p型掺杂剂浓度的高度掺杂p型背面场区305与例如具有1×1014-1×1018个原子/cm3的p型掺杂剂浓度的p型吸收层310之间的界面产生。
在形成局部背面场区315以后,底部金属触点335可与局部背面场区315直接接触而形成并填充穿过背面场层305和任选介电层325的开口。底部金属触点335可以使用物理蒸气沉积(PVD)方法如溅射或电镀而席状沉积(blanket deposit)。底部金属触点335可由任何导电材料如铝组成,并可具有100nm-10μm的厚度,但也可使用较小和较大的厚度。
在一个实施方案中,可省去局部背面场区315。在该实施方案中,金属触点可经由钝化层305(以及如果存在的话任选层325)中蚀刻的开口与吸收层310的背面直接接触。该实施方案通常称为“局部后触点”结构,因为金属触点335与吸收层310局部接触(即经由开口)。
仍参考图5A,发射体结构320可在与吸收层310上存在背面场层305的一面相对的吸收层310面上形成。发射体结构320具有与吸收层310的导电类型相对的导电类型。例如,在其中吸收层310具有p型导电性的实施方案中,发射体结构320可具有n型导电性。在另一实例中,在其中吸收层310具有n型导电性的实施方案中,发射体结构320具有p型导电性。发射体结构320可以为存在于吸收层310的整个宽度上并直接接触的材料层。尽管图5A中未描绘,在一些实施方案中,本征半导体层可存在于吸收层310与发射体结构320之间。根据本公开内容,在一个实例中,本征半导体层可由氢化非晶硅或含氢化非晶硅和氘的材料组成。
发射体结构320可由含氢化非晶硅和氘的材料组成。含氢化非晶硅和氘的材料在组成方面类似于以上关于图2-4所述光伏电池50、55、90的p-i-n结中所用的含氢化非晶硅和氘的材料。因此,以上关于图2-4所述含氢化非晶硅和氘的材料的组成和制备方法适于提供图5A所示发射体结构320。如上所述,含氢化非晶硅和氘的材料中氘的存在提高用于发射体结构320的材料的稳定性并降低Staebler-Wronski效应。在一些实施方案中,对于发射体结构320提供的含氢化非晶硅和氘的材料可进一步包含锗和碳的合金加入。在另一实施方案中,发射体结构320可由结晶半导体层如Si、Ge、SiGe、SiC、SiGeC及其组合组成。发射体结构320也可以为化合物半导体如III-IV型半导体如GaAs。
在含硅材料如晶体Si中,提供发射体结构320的导电类型的n型掺杂剂的实例包括但不限于锑、砷和磷。例如,在一个实施方案中,其中提供发射体结构320的导电类型如n型的掺杂剂为5×1017-1019个原子/cm3
上部介电层340可存在于发射体结构320的上表面上,其中穿过上部介电层340的开口对准发射区320。上部介电层340可由氧化硅、氮化硅或其组合组成。可存在穿过上部介电层340的开口以暴露一部分发射体结构320开口可用前触点345填充。介电层用作钝化层和/或抗反射涂层。
发射体结构320可在吸收层310上形成。在其中发射体结构320由含氢化非晶硅和氘的材料组成的实施方案中,可使用以上关于形成关于以上图2-4所述光伏电池50、60、60a、65、65a、90的p-i-n结中所用含氢化非晶硅和氘的材料所述的相同方法在吸收层310上形成含氢化非晶硅和氘的材料。在其中发射体结构320由晶体半导体材料形成的实施方案中,晶体半导体材料可使用选自原子压力化学蒸气沉积(APCVD)、低压化学蒸气沉积(LPCVD)、等离子增强化学蒸气沉积(PECVD)、金属有机化学蒸气沉积(MOCVD)及其组合的CVD方法沉积。提供发射体结构320的层的厚度可以为2nm-2μm。在另一实施方案中,提供发射体结构320的层的厚度可以为3-500nm。
可将提供发射体结构320的导电类型的掺杂剂在提供发射体结构20的材料层的沉积方法期间使用原位掺杂并入发射体结构320中。在另一实施方案中,可将提供发射体结构320的导电类型的掺杂剂在提供发射体结构20的材料层的沉积方法以后使用离子注入而并入发射体结构320中。
上部介电层340可使用CVD,例如原子压力化学蒸气沉积(APCVD)、低压化学蒸气沉积(LPCVD)、等离子增强化学蒸气沉积(PECVD)、金属有机化学蒸气沉积(MOCVD)或其组合沉积。形成穿过上部介电层340的至少一个开口的方法类似于形成穿过钝化层305和任选介电层325的开口的方法。更具体而言,穿过上部介电层340的至少一个开口使用光刻法和选择性蚀刻方法形成。
仍参考图5A,可形成填充穿过钝化层305和任选介电层325的至少一个开口的前触点345,其中前触点345与发射体结构320直接接触。在一个实施方案中,太阳能电池的前触点345由一组平行窄指纹线和通常以相对于指纹线成直角沉积的宽集电线(collector line)组成。前触点345可以用丝网印刷技术沉积。在另一实施方案中,前触点345通过应用蚀刻或电铸金属模而提供。形成用于前触点345的金属模时所用金属材料可包括应用金属糊。金属糊可以为任何导电糊如Al糊、Ag糊或AlAg糊。形成用于前触点345的金属模时所用金属材料也可使用溅射、热/电子束蒸发或电镀而沉积。
图5B描述了光伏器件1300的一个实施方案,所述光伏器件1300包含具有单晶硅(c-Si)吸收层(下文称为吸收层1310)和由含氢化非晶硅和氘的材料组成的发射体结构1320的异质结太阳能电池,其中透明导电材料层1340重叠发射体结构1320而存在。申请人提出图5B所示吸收层1310和发射体结构1320类似于图5A所示吸收层1310和发射体结构1320。因此,关于图5A的吸收层310和发射体结构320的描述适用于图5B所述吸收层1310和发射体结构1320。
在一个实施方案中,发射体结构1320由氢化非晶硅组成,其中氢化非晶硅掺杂成导电性与吸收层1310的导电性相对。例如,当将吸收层1310掺杂成n型导电性时,将发射体结构1320掺杂成p型导电性。在另一实例中,当将吸收层1310掺杂成p型导电性时,将发射体结构1320掺杂成n型导电性。
在图5B所示实施方案中,本征半导体层1350描绘于吸收层1310与发射体结构1320之间。本征半导体层1350可以为含氢化非晶硅和氘的材料,其可在形成发射体结构1320以前沉积于吸收层1310上。在一些实施方案中,发射体结构1320和本征半导体层1350中的至少一层由含氢化非晶硅和氘的材料组成。如上所述,含氢化非晶硅和氘的材料中氘的存在提高用于发射体结构1320和/或本征半导体层1350的材料的稳定性。用于发射体结构1320和/或本征半导体层1350的含氢化非晶硅和氘的材料在组成方面类似于以上关于图2-4中所述光伏电池50、55、90的p-i-n结中所用的含氢化非晶硅和氘的材料。因此,以上关于图2-4所述含氢化非晶硅和氘的材料的组成和制备方法适于提供图5B所述发射体结构1320和/或本征半导体层1350。
在一个实施方案中,在发射体结构1320上形成的透明导电材料层1340由在光伏器件结构内发生电子和空穴的光生成时的电磁辐射范围内是透明的材料组成。例如,透明导电材料层1340可由透明导电氧化物(TCO)如氟掺杂的氧化锡(SnO2:F)、铝掺杂的氧化锌(ZnO:Al)或氧化铟锡组成。透明导电材料层1340各自的厚度可以为100nm-3μm,但也可使用较小和较大的厚度。
前触点1345可与透明导电材料层1340直接接触。在一个实施方案中,太阳能电池的前触点1345由一组平行窄指纹线和通常以相对于指纹线成直角沉积的宽集电线组成。前触点1345可以用丝网印刷技术沉积。在另一实施方案中,前触点1345通过应用蚀刻或电铸金属模而提供。形成用于前触点1345的金属模时所用金属材料可包括应用金属糊。金属糊可以为任何导电糊如Al糊、Ag糊或AlAg糊。形成用于前触点1345的金属模时所用金属材料也可使用溅射、热/电子束蒸发或电镀而沉积。
仍参考图5B,钝化层1305存在于吸收层1310下面。钝化层1305通常为本征的。钝化层1305用于将吸收层1310的背面钝化并降低电子-空穴重组。在一个实施方案中,钝化层1305可由含氢化非晶硅和氘的材料组成。含氢化非晶硅和氘的材料在组成方面可类似于以上关于图2-4所述光伏电池50、60、60a、65、65a、95的p-i-n结中所用含氢化非晶硅和氘的材料。因此,以上关于图2-4所述含氢化非晶硅和氘的材料的组成和制备方法适于提供图5B所示钝化层1305。如上所述,含氢化非晶硅和氘的材料中氘的存在提高用于背面场层1305的材料的稳定性并降低Staebler-Wronski效应。在一些实施方案中,对于背面场层1305提供的含氢化非晶硅和氘的材料可进一步包含锗和碳的合金加入。
钝化层1305可具有2nm-1μm的厚度。在另一实施方案中,钝化层3105具有5-300nm的厚度。在一个实施方案中,半导体基质可提供吸收层1310,并可使钝化层1305沉积于半导体基质上。例如,当钝化层1305由含氢化非晶硅和氘的材料组成时,非晶氢化硅的材料层可使用等离子增强化学蒸气沉积(PECVD)沉积于提供吸收层1310的半导体基质上,其中氘通过在沉积以后应用于非晶氢化硅的含氘气体处理而引入非晶氢化硅中。
在图5B所示实施方案中,钝化层1305在吸收层1310的整个背面上不是连续层。在该实施方案中,局部背面场区1315存在于吸收层1310的背面并且包含在钝化层1305中的开口内。仍参考图5B,光伏器件1300可进一步包含在钝化层1305上的任选介电层1325。介电层1325可由非晶氢化氮化硅或非晶氢化氧化硅组成。介电层1325可向光伏电池的背面提供进一步钝化和/或反射率。
在一个实施方案中,形成吸收层1310的局部背面场区1315可以以形成介电层1325开始。介电层1325可使用沉积方法如CVD形成。介电层1325可具有5nm-1μm的厚度,50-300nm的范围是更通常的。在一个实施方案中,至少一个开口可穿过至少钝化层1305以及存在时任选介电层1325形成以暴露吸收层1310的至少一部分背面。在一个实施方案中,形成穿过钝化层1305和任选介电层1325的至少一个开口以暴露吸收层1310的至少一部分背面包括在钝化层1305和任选介电层1325上形成图案蚀刻掩模(未显示),并选择性地蚀刻钝化层1305和任选介电层1325的暴露于图案蚀刻掩模和吸收层1310背面的部分。
在一个实施方案中,蚀刻方法用对吸收层1310的背面呈选择性的蚀刻化学除去背面场层1305和任选介电层1325的暴露部分。在一个实施方案中,形成开口的蚀刻方法为各向异性蚀刻如反应性离子蚀刻(RIE)、离子束蚀刻、等离子蚀刻、激光烧蚀或其组合。在形成暴露吸收层1310的背面的开口以后,局部背面场区1315可通过将用于局部背面场区1315的掺杂剂通过开口引入吸收层1310的背面而在吸收层1310中形成。例如,提供局部背面场区1315的导电类型的掺杂剂可使用离子注入或气体蒸气相沉积而引入吸收层1310中,然后扩散到半导体基质的深度以提供局部背面场区1315。将局部背面场区1315掺杂成与吸收层1310相同的导电类型。例如,当吸收层1310为p型导电性时,局部背面场区1315为p型导电性。高与低掺杂区之间的界面产生电场,其充当少数载流子流向吸收层1310背面的屏障。例如,适于阻碍少数载流子如电子重组的电场可通过例如具有1×1017-5×1020个原子/cm3的p型掺杂剂浓度的高度掺杂p型背面场区1305与例如具有1×1014-1×1018个原子/cm3的p型掺杂剂浓度的p型吸收层310之间的界面产生。
在形成局部背面场区1315以后,底部金属触点1335可与局部背面场区1315直接接触而形成并填充穿过背面场层1305和任选介电层1325的开口。底部金属触点1335可以使用物理蒸气沉积(PVD)方法如溅射或电镀而席状沉积。底部金属触点1335可由任何导电材料如铝组成,并可具有100nm-10μm的厚度,但也可使用较小和较大的厚度。
在一个实施方案中,可省去局部背面场区1315。在该实施方案中,金属触点可经由钝化层1305(以及如果存在的话任选层1325)中蚀刻的开口与吸收层1310的背面直接接触。该实施方案通常称为“局部后触点”结构,因为金属触点1335与吸收层1310局部接触(即经由开口)。
图6描绘了具有前和后异质结触点的异质结太阳能电池的光伏器件300a的一个实施方案。申请人提出图6所示吸收层310a和发射体结构320a类似于图5A所示吸收层310和发射体结构320。因此,关于图5A所述吸收层310和发射体结构320的描述适用于图6所示吸收层310a和发射体结构320a。在一个实施方案中,发射体结构320a由氢化非晶硅组成,其中氢化非晶硅掺杂成导电性与吸收层310a的导电性相对。例如,当将吸收层310a掺杂成n型导电性时,将发射体结构320a掺杂成p型导电性。在另一实例中,当将吸收层310a掺杂成p型导电性时,将发射体结构320a掺杂成n型导电性。在一些实施方案中,发射体结构320a由含氢化非晶硅和氘的材料组成。如上所述,含氢化非晶硅和氘的材料中氘的存在提高用于发射体结构320的材料相对于温度变化和/或照明的稳定性,因此降低通过含氢化非晶Si前和/或后触点提供的钝化和/或电场相对于温度变化和/或照明的不稳定性(Staebler-Wronski效应)。图6所示上部介电层340a和前触点345a类似于图5A所示上部介电层340和前触点345。
在图6所示实施方案中,正面本征半导体层505描绘于吸收层310a与发射体结构320a之间。正面本征半导体层505可以为含氢化非晶硅和氘的材料,其可在形成发射体结构320a以前沉积于吸收层310a上。背面本征半导体层510可在吸收层310a的与存在正面本征半导体层505相对的表面上形成。背面本征半导体层510也可由氢化非晶硅和/或含氢化非晶硅和氘的材料组成。在一些实施方案中,氢化非晶硅和/或含氢化非晶硅和氘的材料可进一步包含分级或均匀浓度的锗和碳。
用于正面本征半导体层505和背面本征半导体层510的含氢化非晶硅和氘的材料在组成方面可类似于以上关于图2-4所述光伏电池50、55、90的p-i-n结中所用含氢化非晶硅和氘的材料。因此,以上关于图2-4所述含氢化非晶硅和氘的材料的组成和制备方法适于提供图6所示正面本征半导体层505和背面本征半导体层510。如上所述,含氢化非晶硅和氘的材料中氘的存在提高用于正面本征半导体层505和背面本征半导体层510的材料相对于温度变化和/或照明的稳定性,因此降低通过含氢化非晶Si前和/或后触点提供的钝化和/或电场相对于温度变化和/或照明的不稳定性(Staebler-Wronski效应)。
仍参考图6,掺杂氢化非晶硅层515可在背面本征半导体层510上形成。掺杂氢化非晶硅层515可由含氢化非晶硅和氘的材料组成并可掺杂成与吸收层310a相同的导电性。例如,当将吸收层310a掺杂成n型导电性时,将掺杂氢化非晶硅层515掺杂成n型导电性,当将吸收层310a掺杂成p型导电性时,将掺杂氢化非晶硅层515掺杂成p型导电性。适于掺杂氢化非晶硅层515的含氢化非晶硅和氘的材料的组成和制备方法如以上关于图2-4所述。
图6所述光伏器件300a可进一步包含在发射体结构320a上形成的正面透明导电材料层525和在掺杂氢化非晶硅层515上形成的背面透明导电材料层520。正面和背面透明导电材料层525、520通常包含在光伏器件结构内发生电子和空穴的光生成时的电磁辐射范围内是透明的材料。例如,正面和背面透明导电材料层525、520各自可由透明导电氧化物(TCO)如氟掺杂的氧化锡(SnO2:F)、铝掺杂的氧化锌(ZnO:Al)或氧化铟锡组成。正面和背面透明导电材料层525、520各自的厚度可以为100nm-3μm,但也可使用较小和较大的厚度。通常,当吸收层310a为单晶或多晶Si时,正面和背面透明导电材料的厚度为70-100nm以使来自正面的光反射最小化并使来自吸收层310a的背面的光反射最大化。
前触点535可与正面透明导电材料层525直接接触,且后触点530可与背面透明导电材料层520直接接触。在一个实施方案中,太阳能电池的前触点535由一组平行窄指纹线和通常以相对于指纹线成直角沉积的宽集电线组成。前触点535可以用丝网印刷技术沉积。在另一实施方案中,前触点535通过应用蚀刻或电铸金属模而提供。形成用于前触点535的金属模时所用金属材料可包括应用金属糊。金属糊可以为任何导电糊如Al糊、Ag糊或AlAg糊。形成用于前触点535的金属模时所用金属材料也可使用溅射、热/电子束蒸发或电镀而沉积。在一个实施方案中,后触点530可通过电镀、无电镀、物理蒸气沉积、化学蒸气沉积、真空蒸发或其组合而沉积于背面透明导电材料层520上。用于后触点530的金属可以为与用于前触点535的金属相同的组合物或者不同的组合物。
图7描绘了包含具有双发射体正面结构320b、330和异质结背面结构的太阳能电池器件的光伏器件300b。图7所示吸收层310b、背面本征半导体层510a、掺杂氢化非晶硅层515a、背面透明导电材料层520a和后触点530a类似于图6所示吸收层310a、背面本征半导体层510、掺杂氢化非晶硅层515、背面透明导电材料层520和后触点530。因此,图6所述吸收层310a、背面本征半导体层510、掺杂氢化非晶硅层515、背面透明导电材料层520和后触点530的描述适于图7所述吸收层310b、背面本征半导体层510a、掺杂氢化非晶硅层515a、背面透明导电材料层520a和后触点530a。
双发射体结构320b、330可在吸收层310b的与吸收层310b存在背面本征半导体层510a的那一面相对的面上形成。双发射体结构320b、330具有与吸收层310b的导电类型相对的导电类型。例如,在其中吸收层310b具有p型导电性的实施方案中,双发射体结构320b、330可具有n型导电性。在另一实例中,在其中吸收层310b具有n型导电性的实施方案中,双发射体结构320b、330可具有p型导电性。
双发射体结构320b、330可包含第一发射区320b和第二发射区330。第一发射体结构320b可以为存在于吸收层吸收层310b的整个宽度上并直接接触的材料层。第二发射区330可具有与第一发射体结构320b相同的导电性,其中表示材料的导电类型的掺杂剂的浓度在第二发射区330中大于第一发射区320b中。在一个实施方案中,第一发射区320b为存在于吸收层310b的整个宽度上的连续层,第二发射区330由不连续材料的岛组成,放置所述不连续材料的岛以接触随后形成的前触点345a。
第一和第二发射区320b、330各自可由晶体半导体层如Si、Ge、SiGe、SiC、SiGeC及其组合组成。在另一实施方案中,第一和第二发射区320b、330也可由化合物半导体如III-IV型半导体如GaAs组成。在含硅材料中,提供第一发射区320b和第二发射区330的导电类型的n型掺杂剂的实例包括但不限于锑、砷和磷。上部介电层340a可存在于双发射体结构320b、330的上表面上,其中穿过上部介电层340a的开口对准第二发射区330。上部介电层340a可由氧化硅、氮化硅或其组合组成。开口可穿过对应于发射体结构320b、330的第二发射区330的上部介电层340a而存在。开口可用随后形成的前触点345a填充。
在一个实施方案中,形成双发射体结构320b、330可以以在吸收层310b上沉积第一发射区320b开始。当第一发射区320b由晶体半导体材料组成时,晶体半导体材料可使用选自原子压力化学蒸气沉积(APCVD)、低压化学蒸气沉积(LPCVD)、等离子增强化学蒸气沉积(PECVD)、金属有机化学蒸气沉积(MOCVD)及其组合的CVD方法沉积。提供第一发射区320b的层的厚度可以为2nm-2μm。在另一实施方案中,提供第一发射区320b的层的厚度可以为3-500nm。
提供第一发射区320b的导电类型的掺杂剂可在提供第一发射区320b的材料层的沉积方法期间使用原位掺杂并入第一发射区320b中。在另一实施方案中,可将提供第一发射区320的导电类型的掺杂剂在提供第一发射区320的材料层的沉积方法以后使用离子注入并入第一发射区320中。
将提供第二发射区330的导电类型的掺杂剂使用上部介电层340a作为植入掩模植入第一发射区320b中。例如,在一个实施方案中,介电层340a在第一发射区320b上形成,并图案化和蚀刻以提供暴露第一发射区320b的一部分的开口,将其植入以提供第二发射区330。上部介电层340a可使用CVD如原子压力化学蒸气沉积(APCVD)、低压化学蒸气沉积(LPCVD)、等离子增强化学蒸气沉积(PECVD)、金属有机化学蒸气沉积(MOCVD)或其组合沉积。
在一个实施方案中,穿过上部介电层340的至少一个开口使用光刻法和选择性蚀刻方法形成。在形成暴露第一发射区320b的开口以后,可通过将用于第二发射区330的掺杂剂通过上部介电层340a中的开口引入第一发射区320b的暴露部分中而在第一发射区320b中形成第二发射区330。例如,可将提供第二发射区330的导电类型的掺杂剂使用离子注入或气体蒸气相沉积引入第一发射区320b中。第二发射区330中的掺杂剂浓度大于第一发射区320b中的掺杂剂浓度。例如,在其中将第一发射体结构320b和第二发射体结构330掺杂成n型导电性的一个实施方案中,第一发射体结构320b中的掺杂剂浓度可以为5×1017-1×1019个原子/cm3,第二发射体结构330中的掺杂剂浓度可以为1×1018-5×1019个原子/cm3
在形成第二发射区330以后,前触点345与第二发射区330直接接触形成,填充上部介电层340a中的开口。在一个实施方案中,太阳能电池的前触点345由一组平行窄指纹线和通常以相对于指纹线成直角沉积的宽集电线组成。前触点345可以用丝网印刷技术沉积。在另一实施方案中,前触点345通过应用蚀刻或电铸金属模而提供。形成用于前触点345的金属模时所用金属材料可包括应用金属糊。金属糊可以为任何导电糊如Al糊、Ag糊或AlAg糊。形成用于前触点345的金属模时所用金属材料也可使用溅射、热/电子束蒸发或电镀而沉积。
在另一实施方案中,任选正面本征半导体层(未描绘)描绘于吸收层310b与第一发射区320b之间。正面本征半导体层可以为含氢化非晶硅和氘的材料,其可在形成第一发射区320b以前沉积于吸收层310b上。
图8描绘了串联光伏器件400的一个实施方案,所述串联光伏器件400包含由含氢化非晶硅和氘的材料组成的上部电池415,和由晶体半导体材料如晶体硅(c-Si)组成的下部电池425。上部电池415可包含p型导电半导体层、本征层和n型导电半导体层。串联光伏器件400的上部电池415类似于图2所示单结光伏器件50的p-i-n结,且包含p型导电半导体层、本征层和n型导电半导体层。因此,用于图2所述单结光伏器件400的p型导电半导体层20、本征层25和n型导电半导体层30的组成和形成方法适于图8所示上部电池415。上部电池415的p型导电半导体层、本征层和n型导电半导体层的至少一层可由含氢化非晶硅和氘的材料组成,其中任选加入碳和锗。串联光伏器件400可进一步包含类似于图2所示单结光伏器件50的基质5和透明导电材料层15的基质405和透明导电材料层410。
在一个实施方案中,中间隧道层420可存在于上部电池415与下部电池425之间。任选隧道层的作用是增强在顶部电池与底部电池之间的界面上形成的p+/n+隧道结上载流子的隧穿。隧道层420可由透明导电材料如透明导电氧化物组成。隧道层420可具有5-15nm的厚度,但也可使用较薄或较厚的隧道层。
下部电池425可包含发射体结构和吸收层。串联光伏器件400的发射体结构和吸收下部电池425类似于图2所示具有单晶硅(c-Si)吸收层的异质结太阳能电池的发射体结构320、330和吸收层310。在一个实施方案中,发射体结构320、330和吸收层310由晶体硅材料如单晶硅组成。因此,图5A所示异质结太阳能电池的吸收层310和发射体结构320、330的组成和形成方法适于图8所示串联光伏器件400的下部电池425。
串联光伏器件400可进一步包含后触点结构430,其类似于以上关于图2所述单结光伏器件50的后触点金属化结构35。
含氢化非晶硅和氘的材料具有比晶体硅如1.1eV更高的带隙如1.7eV。因此,含氢化非晶硅和氘的材料比光谱的红外部分更强地吸收太阳光谱的可见部分。因此,由含氢化非晶硅和氘的材料组成的串联光伏器件400的顶部电池415吸收可见光,由晶体硅组成的串联光伏器件400的底部电池425吸收底部电池425的光谱的红外部分。
提供以下实施例进一步阐述本公开内容的一些实施方案并证明由此产生的一些优点。更具体而言,以下实施例证明本发明方法改进氢化非晶硅钝化相对于热循环和照明的稳定性的效力。本公开内容不意欲限于所公开的具体实施例。
实施例1:在比室温更高的温度下钝化劣化
在约20atm的压力及200℃和350℃的温度下的氘退火应用于300μm厚的n型晶体硅(c-Si)片200上,如图9所示,晶体硅片200的两侧均具有120nm厚的氢化非晶硅钝化层100。用氘退火处理的试样在下文中称为“氘退火试样”。还提供对比试样,其中将常规形成气体退火应用于300μm厚的n型晶体硅片上,n型晶体硅(c-Si)片两侧均具有120nm厚的氢化非晶硅钝化层。形成气体退火包括20:1N2/H2气体环境。用形成气体退火处理的对比试样在下文中称为“形成气体退火试样”。然后由氘退火试样和形成气体退火试样测量电性能。
图10为描述对于氘退火试样和形成气体退火试样,n型晶体硅(c-Si)片中测量的有效载流子寿命相对于退火温度的线图。由参考数字110表示的线为由氘退火试样测量的有效少数载流子寿命,由参考数字120表示的线为由形成气体退火试样测量的有效少数载流子寿命。图10表示在退火以后立即由氘和形成气体退火试样测量的有效载流子寿命。图10阐述氘气退火试样110中在退火以后立即的钝化质量与使用相同温度和压力形成的形成气体退火试样120中的钝化质量相当。
然后将试样,即氘退火试样和形成气体退火试样用在100℃下的另一退火步骤处理以模拟随着时间的钝化质量劣化。通过将氘气试样和形成气体退火试样在100℃下退火,钝化质量的劣化与氘气试样和形成气体退火试样在室温20-25℃下的钝化质量劣化相比加速。图11为对于氘退火试样和形成气体退火试样,n型晶体硅(c-Si)片中测量的有效少数载流子寿命(毫秒)相对于在100℃下的退火时间(分钟)的图。在氘气退火或形成气体退火以后在100℃下退火的时间称为弛豫时间。
由参考数字130a表示的线为由在200℃下退火的具有图11所示结构的氘试样(“氘200℃退火试样”)测量的有效少数载流子寿命,由参考数字130b表示的线为由在350℃下退火的具有图9所示结构的氘试样(“氘350℃退火试样”)测量的有效少数载流子寿命。由参考数字140a表示的线为由在200℃下退火的具有图11所示结构的形成气体试样(“形成气体200℃退火试样”)测量的有效少数载流子寿命,由参考数字140b表示的线为由在350℃下退火的具有图11所示结构的形成气体试样(“形成气体350℃退火试样”)测量的有效少数载流子寿命。
如图11中的线130a、130b、140a、140b所示,对于氘200℃退火试样和氘350℃退火试样的有效少数载流子寿命,与形成气体200℃退火试样和形成气体350℃退火试样的有效少数载流子寿命相比,氘200℃退火试样和氘350℃退火试样在弛豫时间,即在100℃下退火以后的钝化质量劣化更低。较低的钝化质量劣化意指随着弛豫时间增加,氘退火试样具有比在相同温度下处理的形成气体退火试样更大的有效载流子寿命。
实施例2:响应于光照的钝化劣化
在约20atm的压力和200℃的温度下的氘退火应用于300μm厚的n型晶体硅(c-Si)片上,如图7所示,n型晶体硅片的两侧均具有120nm厚的氢化非晶硅钝化层。用氘退火处理的试样在下文中称为“氘退火试样”。还提供对比试样,其中将200℃下的常规形成气体退火应用于300μm厚的n型晶体硅片上,n型晶体硅片的两侧均具有120nm厚的氢化非晶硅钝化层。形成气体退火包括20:1N2/H2气体环境。用形成气体退火处理的对比试样在下文中称为“形成气体退火试样”。
然后在具有~5日光强度的光照下作为时间的函数由氘退火试样和形成气体退火试样测量n型晶体硅片的有效少数载流子寿命。将试样,即氘退火试样和形成气体退火试样安装在受热器上,所述受热器与用于液体冷却的辐射器连接,并监控试样的温度以确保试样,即氘退火试样和形成气体退火试样在光照期间保持在室温(20-25℃)下。记录光照的结果。
图12为对于各自预先在200℃下退火的形成气体退火试样和氘气退火试样,n型晶体硅(c-Si)中测量的有效少数载流子寿命(毫秒)相对于在室温(20-25℃)下在~5日光的光强度下的时间(分钟)的线图。由参考数字150表示的线为由在200℃下退火的氘气退火试样测量的有效少数载流子寿命。由参考数字160表示的线为由在200℃下退火的形成气体退火试样测量的有效少数载流子寿命。在照明下n型晶体硅片中的少数载流子寿命的劣化对氘气退火试样而言比形成气体退火试样更低,表示更稳定的氢化非晶硅钝化。
实施例3:次级离子质谱法
在约20atm的压力及200℃和350℃的温度下的氘退火应用于300μm厚的n型晶体硅(c-Si)片上,如图9所示,c-Si片的两侧均具有120nm厚的氢化非晶硅钝化层。在200℃下用氘退火处理的试样在下文中称为“氘200℃退火试样”。在350℃下用氘退火处理的试样在下文中称为“氘350℃退火试样”。然后由氘退火试样和形成气体退火试样测量SIMS深度曲线。
图13(a)为由氘200℃退火试样测量的深度曲线,图13(b)为由氘350℃退火试样测量的深度曲线。在200℃(图13(a))和350℃(图13(b))下用高压氘气退火处理的氢化非晶硅膜中的氘(D)/氢(H)比(D/H比)分别为0.019%和1.36%。指出0.019%的D/H比相当于~1017cm-3的氘密度(假定α-Si:H中~10%的氢(H)/硅(Si)比和对于Si而言5×1022cm-3的原子密度),数量级高于器件品质氢化非晶硅中的悬空键密度。由于在200℃和350℃下用氘气退火处理的试样的有效寿命在热平衡下(在松弛以后)是相等的,如图11中所绘,通过在350℃下氘气退火而并入氢化非晶硅中的过量氘不贡献氢化非晶硅中弱键与悬空键的热平衡。
尽管关于其优选实施方案特别地显示和描述了本公开内容,本领域技术人员应当理解可不偏离本公开内容的精神和范围作出前述以及形式和细节的其它变化。因此,本公开内容意欲不限于所描述和阐述的确切形式和细节,而是属于所附权利要求书的范围内。

Claims (21)

1.形成光伏器件的方法,其包括:
提供具有表面的含氢化非晶硅的材料;和
将含氢化非晶硅的材料在含氘气氛中退火,其中将氘引入含氢化非晶硅的材料的晶格中。
2.根据权利要求1的方法,其中含氢化非晶硅的材料使用等离子增强化学蒸气沉积方法沉积。
3.根据权利要求2的方法,其中等离子增强化学蒸气沉积方法的前体气体包括含硅烷气体和含氢气体。
4.根据权利要求3的方法,其中电容性放电通过大于2mW/cm2的RF功率密度提供。
5.根据权利要求4的方法,其中等离子增强化学蒸气沉积方法包括100-400℃的温度和20毫托至10托的压力。
6.根据权利要求1的方法,其中含氢化非晶硅的材料选自氢化非晶硅、氢化非晶硅锗、氢化非晶碳化硅及其组合。
7.根据权利要求1的方法,其中含氘气氛包含1-500sccm的含氘气流。
8.根据权利要求7的方法,其中含氘气流包含100%氘。
9.根据权利要求7的方法,其中含氘气体包含氘与至少一种选自氦气、氩气、氪气、氮气及其组合的气体组合。
10.根据权利要求7的方法,其中含氢化非晶硅的材料在含氘气氛中的退火包括100-400℃的温度。
11.根据权利要求9的方法,其中含氢化非晶硅的材料的表面在含氘气氛中的退火包括大于5大气压的压力。
12.根据权利要求1的方法,其中引入含氢化非晶硅的材料的晶格中的氘提供经氘处理的含氢化非晶硅的材料,其包含大于90原子%硅、大于5原子%氢和大于0.001原子%氘。
13.根据权利要求12的方法,其中在含氢化非晶硅的材料的晶格中包含氘的含氢化非晶硅的材料为p-i-n光伏电池的本征或掺杂层。
14.根据权利要求12的方法,其中在含氢化非晶硅的材料的晶格中包含氘的含氢化非晶硅的材料为异质结太阳能电池的接触层。
15.根据权利要求1的方法,其中在含氢化非晶硅的材料的晶格中包含氘的含氢化非晶硅的材料为光伏器件的钝化层。
16.光伏器件,其包含:
含氢化非晶硅和氘的材料,其包含大于90原子%硅、大于5原子%氢和大于0.001原子%氘,其中氘与悬空硅键键合以与不含氘的氢化非晶硅层相比提高含氢化非晶硅和氘的材料的稳定性。
17.根据权利要求15的光伏器件,其中氘以5原子%存在于含氢化非晶硅和氘的材料中。
18.根据权利要求15的光伏器件,其中含氢化非晶硅和氘的材料进一步包含锗和碳中的至少一种。
19.根据权利要求15的光伏器件,其中含氢化非晶硅和氘的材料为p-i-n光伏电池的本征或掺杂层。
20.根据权利要求15的光伏器件,其中含氢化非晶硅和氘的材料存在于异质结太阳能电池中。
21.根据权利要求15的光伏器件,其中含氢化非晶硅和氘的材料为光伏器件的钝化层。
CN201280035916.XA 2011-07-21 2012-03-12 使氢化非晶硅和非晶氢化硅合金稳定化的方法 Active CN103718276B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/188,214 US8778448B2 (en) 2011-07-21 2011-07-21 Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
US13/188,214 2011-07-21
PCT/US2012/028686 WO2013012454A1 (en) 2011-07-21 2012-03-12 Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys

Publications (2)

Publication Number Publication Date
CN103718276A true CN103718276A (zh) 2014-04-09
CN103718276B CN103718276B (zh) 2016-05-18

Family

ID=47554924

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280035916.XA Active CN103718276B (zh) 2011-07-21 2012-03-12 使氢化非晶硅和非晶氢化硅合金稳定化的方法

Country Status (4)

Country Link
US (3) US8778448B2 (zh)
CN (1) CN103718276B (zh)
DE (1) DE112012003057T5 (zh)
WO (1) WO2013012454A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107845635A (zh) * 2017-10-31 2018-03-27 长江存储科技有限责任公司 一种存储结构及其形成方法
CN109196665A (zh) * 2016-06-06 2019-01-11 新南创新私人有限公司 用于处理硅材料的方法
CN109643741A (zh) * 2016-07-12 2019-04-16 新南创新私人有限公司 一种制造光伏器件的方法
CN109932773A (zh) * 2017-12-19 2019-06-25 张家港康得新光电材料有限公司 一种可见光截止膜、其制备方法和应用

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8871620B2 (en) * 2011-07-28 2014-10-28 International Business Machines Corporation III-V photovoltaic elements
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8778703B2 (en) * 2011-11-23 2014-07-15 University Of Central Florida Research Foundation, Inc. Extremely non-degenerate two photon absorption optical sensing method, apparatus and applications
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140203322A1 (en) * 2013-01-23 2014-07-24 Epistar Corporation Transparent Conductive Structure, Device comprising the same, and the Manufacturing Method thereof
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9380953B2 (en) 2014-01-29 2016-07-05 Biosense Webster (Israel) Ltd. Hybrid bipolar/unipolar detection of activation wavefront
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CA3165053A1 (en) * 2014-07-04 2016-01-07 Asml Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104362220A (zh) * 2014-11-13 2015-02-18 京东方科技集团股份有限公司 一种薄膜太阳能电池的制作方法及薄膜太阳能电池
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9833161B2 (en) 2015-02-09 2017-12-05 Biosense Webster (Israel) Ltd. Basket catheter with far-field electrode
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
RU2632266C2 (ru) * 2016-02-09 2017-10-03 Общество с ограниченной ответственностью "НТЦ тонкопленочных технологий в энергетике при ФТИ им. А.Ф. Иоффе", ООО "НТЦ ТПТ" Гетероструктурный фотоэлектрический преобразователь на основе кристаллического кремния
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018152135A1 (en) * 2017-02-16 2018-08-23 The Regents Of The University Of California Systems, devices and methods for amplification of signals based on a cycling excitation process in disordered materials
GB2549606B (en) * 2017-03-24 2019-09-04 Rockley Photonics Ltd Optical modulator
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10374154B1 (en) * 2018-01-18 2019-08-06 Globalfoundries Inc. Methods of shielding an embedded MRAM array on an integrated circuit product comprising CMOS based transistors
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
DE102019008930A1 (de) * 2019-12-20 2021-06-24 Azur Space Solar Power Gmbh Gasphasenepitaxieverfahren
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11616151B2 (en) 2020-05-26 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Channel configuration for improving multigate device performance and method of fabrication thereof
DE102021108221A1 (de) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Kanalkonfiguration zur Verbesserung der Leistung eines Multigate-Bauelements und Verfahren zur Fertigung davon
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI799118B (zh) * 2022-01-28 2023-04-11 勝慧科技有限公司 雙能區光電效應電極耦合的雙異質接面太陽能電池及其製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04342121A (ja) * 1991-05-17 1992-11-27 Canon Inc 水素化非晶質シリコン薄膜の製造方法
US5248348A (en) * 1990-09-06 1993-09-28 Mitsui Toatsu Chemicals, Incorporated Amorphous silicon solar cell and method for manufacturing the same
US20050269606A1 (en) * 2004-06-02 2005-12-08 Chandra Mouli Raised photodiode sensor to increase fill factor and quantum efficiency in scaled pixels
US20090162970A1 (en) * 2007-12-20 2009-06-25 Yang Michael X Material modification in solar cell fabrication with ion doping
WO2010017373A2 (en) * 2008-08-06 2010-02-11 Electrodynamic Applications, Inc. Plasma processes for producing silanes and derivatives thereof
KR20100058820A (ko) * 2008-11-25 2010-06-04 주성엔지니어링(주) 박막형 태양전지의 제조방법
CN101872746A (zh) * 2009-04-24 2010-10-27 上海华虹Nec电子有限公司 采用nd3退火来提高sonos闪存器件可靠性的方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09512665A (ja) * 1994-03-25 1997-12-16 アモコ/エンロン・ソーラー 高水素希釈低温プラズマ沈着によって製造される非晶質珪素ベースの器具の向上せしめられた安定化特性
JP3416723B2 (ja) * 1995-05-25 2003-06-16 独立行政法人産業技術総合研究所 非晶質シリコン薄膜トランジスタ及びその製法
US20020031920A1 (en) * 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5872387A (en) 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
KR100320796B1 (ko) 1999-12-29 2002-01-17 박종섭 게이트 유전체막이 적용되는 반도체 소자의 제조 방법
CN1957478A (zh) * 2004-04-30 2007-05-02 新南创新有限公司 人造无定形半导体及其在太阳能电池中的应用
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
WO2007131343A1 (en) * 2006-05-15 2007-11-22 Arise Technologies Corporation Low-temperature doping processes for silicon wafer devices
JP2011524639A (ja) * 2008-06-11 2011-09-01 インテバック・インコーポレイテッド 太陽電池装置及び太陽電池素子形成方法
US20100147361A1 (en) * 2008-12-15 2010-06-17 Chen Yung T Tandem junction photovoltaic device comprising copper indium gallium di-selenide bottom cell
US20100218821A1 (en) * 2009-03-02 2010-09-02 Sunyoung Kim Solar cell and method for manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248348A (en) * 1990-09-06 1993-09-28 Mitsui Toatsu Chemicals, Incorporated Amorphous silicon solar cell and method for manufacturing the same
JPH04342121A (ja) * 1991-05-17 1992-11-27 Canon Inc 水素化非晶質シリコン薄膜の製造方法
US20050269606A1 (en) * 2004-06-02 2005-12-08 Chandra Mouli Raised photodiode sensor to increase fill factor and quantum efficiency in scaled pixels
US20090162970A1 (en) * 2007-12-20 2009-06-25 Yang Michael X Material modification in solar cell fabrication with ion doping
WO2010017373A2 (en) * 2008-08-06 2010-02-11 Electrodynamic Applications, Inc. Plasma processes for producing silanes and derivatives thereof
WO2010017364A2 (en) * 2008-08-06 2010-02-11 Mayaterials, Inc. Low cost routes to high purity silicon and derivatives thereof
KR20100058820A (ko) * 2008-11-25 2010-06-04 주성엔지니어링(주) 박막형 태양전지의 제조방법
CN101872746A (zh) * 2009-04-24 2010-10-27 上海华虹Nec电子有限公司 采用nd3退火来提高sonos闪存器件可靠性的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109196665A (zh) * 2016-06-06 2019-01-11 新南创新私人有限公司 用于处理硅材料的方法
CN109643741A (zh) * 2016-07-12 2019-04-16 新南创新私人有限公司 一种制造光伏器件的方法
CN107845635A (zh) * 2017-10-31 2018-03-27 长江存储科技有限责任公司 一种存储结构及其形成方法
CN109932773A (zh) * 2017-12-19 2019-06-25 张家港康得新光电材料有限公司 一种可见光截止膜、其制备方法和应用

Also Published As

Publication number Publication date
US9099585B2 (en) 2015-08-04
US20130019945A1 (en) 2013-01-24
US9812599B2 (en) 2017-11-07
CN103718276B (zh) 2016-05-18
US20150340532A1 (en) 2015-11-26
US8778448B2 (en) 2014-07-15
US20130019944A1 (en) 2013-01-24
WO2013012454A1 (en) 2013-01-24
DE112012003057T5 (de) 2014-06-12

Similar Documents

Publication Publication Date Title
CN103718276B (zh) 使氢化非晶硅和非晶氢化硅合金稳定化的方法
US8349644B2 (en) Mono-silicon solar cells
US20070023082A1 (en) Compositionally-graded back contact photovoltaic devices and methods of fabricating such devices
EP1320134A2 (en) Photovoltaic device and manufacturing method thereof
EP1873840A1 (en) Photovoltaic device which includes all-back-contact configuration; and related fabrication processes
US20080173347A1 (en) Method And Apparatus For A Semiconductor Structure
US20080121280A1 (en) Method for the production of photovoltaic cells
PT2208238E (pt) Células solares de baixo custo e os métodos para sua produção
EP1950810A2 (en) Method and apparatus for a semi conductor structure forming at least one via
US9112068B2 (en) Laser doping of crystalline semiconductors using a dopant-containing amorphous silicon stack for dopant source and passivation
US20120227794A1 (en) Threshold adjustment implants for reducing surface recombination in solar cells
US20120048376A1 (en) Silicon-based photovoltaic device produced by essentially electrical means
CN110943143A (zh) 用于制造具有异质结和发射极扩散区的光伏太阳能电池的方法
KR20160061409A (ko) 태양 전지를 생성하는 방법
CN101312219A (zh) 太阳能电池
KR101484620B1 (ko) 실리콘 태양전지
JP5645734B2 (ja) 太陽電池素子
CN102612757A (zh) 异质结型太阳能电池及其制造方法
US10923618B2 (en) Method for manufacturing a photovoltaic device
CN117374169B (zh) 背接触太阳能电池的制备方法及背接触太阳能电池
Aberle et al. Poly-Si on glass thin-film PV research at UNSW
CN115172522B (zh) 太阳能电池、制备方法及光伏组件
CN101312222A (zh) 太阳能电池的制造方法
Lennon et al. Manufacturing of various PV technologies
TW202337041A (zh) 太陽能電池及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant