CN103620738A - 图案形成方法、使用所述图案形成方法制造电子器件的方法,以及电子器件 - Google Patents

图案形成方法、使用所述图案形成方法制造电子器件的方法,以及电子器件 Download PDF

Info

Publication number
CN103620738A
CN103620738A CN201280029823.6A CN201280029823A CN103620738A CN 103620738 A CN103620738 A CN 103620738A CN 201280029823 A CN201280029823 A CN 201280029823A CN 103620738 A CN103620738 A CN 103620738A
Authority
CN
China
Prior art keywords
group
line
gap
alkyl
hole patterns
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280029823.6A
Other languages
English (en)
Other versions
CN103620738B (zh
Inventor
上羽亮介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Corp
Original Assignee
Fujifilm Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Corp filed Critical Fujifilm Corp
Publication of CN103620738A publication Critical patent/CN103620738A/zh
Application granted granted Critical
Publication of CN103620738B publication Critical patent/CN103620738B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • H05K3/0023Etching of the substrate by chemical or physical means by exposure and development of a photosensitive insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明提供一种用于在基板中形成孔图案的图案形成方法,所述图案形成方法包括图案形成步骤,所述形成步骤各自按顺序包括步骤(1)至(6):(1)通过使用含有以下各项的化学增幅型抗蚀剂组合物在所述基板上形成抗蚀剂膜:(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物;(2)将所述抗蚀剂膜曝光以形成第一线-间隙潜像;(3)将所述抗蚀剂膜曝光以形成第二线-间隙潜像;(4)通过使用含有机溶剂的显影液将所述抗蚀剂膜显影以在所述抗蚀剂膜中形成孔图案群;(5)对具有所述抗蚀剂膜的所述基板施加蚀刻处理;和(6)移除所述抗蚀剂膜。

Description

图案形成方法、使用所述图案形成方法制造电子器件的方法,以及电子器件
技术领域
本发明涉及一种图案形成方法,通过使用所述图案形成方法制造电子器件的方法,以及电子器件。更具体地,本发明涉及一种适合于制造半导体如IC或液晶装置或电路板如热头的制造工艺并且还用于其他光加工工艺中的光刻的图案形成方法,一种用于通过使用所述图案形成方法制造电子器件的方法,以及一种电子器件。尤其是,本发明涉及一种适用于通过各自使用在300nm以下的波长发射远紫外光的光源的ArF曝光装置、ArF浸渍型投影曝光装置或EUV曝光装置进行曝光的图案形成方法,一种用于通过使用所述图案形成方法制造电子器件的方法,以及一种电子器件。
背景技术
自从用于KrF准分子激光(248nm)的抗蚀剂出现以来,被称为化学增幅的图像形成方法就被用作用于抗蚀剂的图像形成方法以便补偿由光吸收导致的灵敏度降低。例如,通过阳图型化学增幅的图像形成方法是这样的图像形成方法:当曝光时分解曝光区域中的酸生成剂以产生酸,在曝光之后的烘烤(PEB:曝光后烘烤)中通过使用所产生的酸作为反应催化剂将碱不溶性基团转化为碱溶性基团,并且通过碱显影移除曝光区域。
目前,对于在g线、i线、KrF、ArF、EB或EUV光刻使用的显影液,已经提出了多种显影液,但使用2.38质量%TMAH(氢氧化四甲铵)的水性碱显影液用于通用目的。
在半导体器件等的制造中,需要形成具有多种外形如线、槽和孔的图案并且同时,需要所获得的图案的更加小型化。
为满足这样的要求,在通过碱显影的图案形成中不仅开发了目前占主导地位的正型抗蚀剂而且还开发了负型化学增幅型抗蚀剂组合物。因为,存在难以通过目前的正型抗蚀剂形成的图案。
随着半导体器件不断增长的小型化,趋势朝着曝光光源的更短波长和投影透镜更高的数值孔径(更高的NA)移动,并且积极探究了投影透镜与具有高折射率液体的样品(在下文中有时称为“浸渍液”)之间间隙的填充的通常所说的浸渍方法。浸渍方法可以与目前正研究的超分辨技术,如相移法和改进照明法组合。
对于进一步提高分辨率的技术,提出了双重曝光技术或双重图案化技术。
在由电子器件如半导体器件的传统图案形成中,将放大至意欲形成的图案尺寸的4至5倍的掩模或十字线图案缩小,并通过使用缩影投影曝光设备将其转移至曝光目标如晶片上。
然而,尺寸小型化带来问题,在传统曝光系统中,间距变得低于分辨率极限。因此,在双重曝光技术中,还提出了用于将曝光掩模设计分为两个以上部分并通过将这些掩模独立地曝光而合成图像的很多方案。
随着这种技术的进行,存在其中使用负型化学增幅型抗蚀剂组合物形成抗蚀剂膜,使用ArF准分子激光作为曝光光源通过ArF干曝光对抗蚀剂膜进行双重曝光,并且随后,通过碱显影形成孔图案的已知技术(参见,JP-A-2010-40849(如本文所使用的术语“JP-A”意指“未审查日本专利申请”))。
此外,近年来,还介绍了其中在使用双重曝光技术曝光之后,通过使用含有机溶剂的显影液进行显影而形成孔图案的技术(参见,Proc.of SPIE,第7274卷,72740N.(2009)和Proc.of SPIE,第7640卷,764011.(2010))。
发明概述
然而,在图案尺寸上需要更多缩小并要满足以下要求:光刻技术能够形成具有比所需要的(具体地,80nm以下)更短的中心至中心距离(间距)的孔图案。
光刻技术上的小型化通过下列方式进行:用现代技术使用其波长变得更短的光源进行,如g线(波长:436nm),i线(波长:365nm),KrF激子层(波长:248nm)和ArF准分子激光(波长:193nm),并且同时,增加曝光机中投影透镜的数值孔径(NA)。并且,如上所述,因为ArF准分子激光的引入,进行了使用ArF准分子激光作为光源的浸渍方法(ArF浸渍曝光),并且在ArF浸渍曝光中,开发了具有1.35的NA的曝光机。
这里,分辨率极限可以通过以下公知的瑞利公式表达:
(分辨率极限)=k1·(λ/NA)
其中λ是曝光光源在空气中的波长,NA是投影透镜的数值孔径,并且k1是与工艺相关的因子。
在通过线-间隙掩模进行ArF浸渍曝光以形成由交替排列的线和间隙组成的线-间隙图案的情况下,k1被估计为约0.27,并且因此,分辨率极限成为约39nm。
因此,在所公开的技术中,例如,在如上所述的JP-A-2010-40849,Proc.of SPIE,第7274卷,72740N.(2009),以及Proc.of SPIE,第7640卷,764011(2010)中,进行双重曝光(具体地,各自使用线-间隙掩模的两次曝光)。然而,考虑到ArF浸渍曝光中的上述分辨率极限(约39nm),具有40nm以下的半间距(换言之,80nm以下的间距)的孔图案已经难以通过这些传统的技术形成(即使,在JP-A-2010-40849中,将ArF曝光由ArF浸渍曝光代替),并且另外,具有小于约39nm的半间距的孔图案的形成在理论上是不可能的。
而且,JP-A-2010-40849的负型化学增幅型抗蚀剂组合物含有交联剂,并且通过将由抗蚀剂组合物形成的抗蚀剂膜曝光,曝光区域成为交联体并在碱显影液中不溶,作为结果,形成负型图案。然而,由交联体组成的曝光区域容易被碱显影液溶胀并且尤其是在其中孔图案的半间距接近于上述分辨率极限的情况下,曝光区域被碱显影液的溶胀产生显著的效果,带来完全没有形成所需的孔的问题。
对于Proc.of SPIE,第7274卷,72740N.(2009)中公开的使用反转膜的技术或通过使用收缩材料减少孔图案的直径的技术,该方法是麻烦的并且同时容易出现孔图案的加工精度不稳定的问题。
通过考虑到这些问题做出了本发明,并且本发明的目标是提供一种能够在基板中以超细(例如,80nm以下)间距成功地并容易地形成多个孔图案的图案形成方法,一种用于通过使用所述图案形成方法制造电子器件的方法,以及一种电子器件。
本发明具有以下构成,并且本发明的上述目标通过这些构成实现。
[1]一种用于在基板中形成多个孔图案的图案形成方法,
其中所述图案形成方法包括多个图案形成步骤,所述多个图案形成步骤各自按顺序包括以下步骤(1)至(6):
(1)通过使用化学增幅型抗蚀剂组合物在所述基板上形成抗蚀剂膜的步骤,所述化学增幅型抗蚀剂组合物含有:
(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂,和
(B)能够在用光化射线或辐射照射时产生酸的化合物,
(2)对所述抗蚀剂膜进行曝光以形成第一线-间隙潜像的步骤,在所述第一线-间隙潜像中,第一线群和第一间隙群交替排列,
(3)对形成有所述第一线-间隙潜像的所述抗蚀剂膜进行曝光以形成第二线-间隙潜像的步骤,在所述第二线-间隙潜像中,第二线群和第二间隙群交替排列,使得所述第二线-间隙的线方向与所述第一线-间隙潜像中的线方向交叉,
(4)通过使用含有机溶剂的显影液将其中形成有所述第一线-间隙潜像以及第二线-间隙潜像的所述抗蚀剂膜显影,以在所述抗蚀剂膜中形成孔图案群的步骤,
(5)对具有形成有所述孔图案群的所述抗蚀剂膜的所述基板施加蚀刻处理,以在所述基板中在对应于所述抗蚀剂膜中的所述孔图案群的位置形成孔图案群的步骤,和
(6)移除其中形成有所述孔图案群的所述抗蚀剂膜的步骤,
其中在所述多个图案形成步骤的每一个中,构成在所述基板中形成的所述孔图案群的所有孔图案均在与构成在其它图案形成步骤中形成的所述孔图案群的所述孔图案的所有位置不同的位置形成。
[2]如上面的[1]中所述的图案形成方法,其中在所述形成所述第一线-间隙潜像的步骤和所述形成所述第二线-间隙潜像的步骤的每一个中,使用ArF准分子激光并且通过浸渍液曝光所述抗蚀剂膜。
[3]如上面的[1]或[2]中所述的图案形成方法,其中通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的各个中心至中心距离为80nm以下。
[4]如上面的[3]中所述的图案形成方法,其中通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的各个中心至中心距离为70nm以下。
[5]如上面的[1]至[4]中的任一项所述的图案形成方法,其中构成所述第一间隙群的多个间隙的宽度彼此相等,并且构成所述第二间隙群的多个间隙的宽度彼此相等。
[6]如上面的[5]中所述的图案形成方法,其中在形成所述第二线-间隙潜像的步骤中,形成所述第二线-间隙潜像以使得所述第二线-间隙的所述线方向与所述第一线-间隙潜像中的所述线方向成直角。
[7]如上面的[5]或[6]中所述的图案形成方法,其中所述第一间隙群中的所述间隙的宽度与所述第二间隙群中所述间隙的宽度相同。
[8]如上面的[7]中所述的图案形成方法,其中在通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的每一个中,在所述基板的平面方向上的圆形横截面的直径为28nm以下。
[9]如上面的[8]中所述的图案形成方法,其中通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的每一个中,在所述基板的平面方向上的圆形横截面的直径为25nm以下。
[10]如上面的[5]中所述的图案形成方法,其中在形成所述第二线-间隙潜像的步骤中,形成所述第二线-间隙潜像以使得所述第二线-间隙的所述线方向与所述第一线-间隙潜像中的所述线方向倾斜相交。
[11]如上面的[1]至[10]中的任一项所述的图案形成方法,所述图案形成方法包括:
将所述图案形成步骤进行三次以上。
[12]如上面的[1]至[11]中的任一项所述的图案形成方法,其中形成所述第一线-间隙潜像的步骤和形成所述第二线-间隙潜像的步骤的每一个中的所述曝光是使用偶极照明的曝光。
[13]如上面的[1]至[12]中的任一项所述的图案形成方法,其中形成所述第一线-间隙潜像的步骤和形成所述第二线-间隙潜像的步骤的每一个中的所述曝光是使用选自二元掩模和相移掩模的光掩模的曝光。
[14]一种电子器件的制造方法,所述制造方法包括:
如上面的[1]至[13]中的任一项所述的图案形成方法。
[15]一种电子器件,所述电子器件通过根据上面的[14]所述的电子器件的制造方法制造。
本发明还优选包括所述以下构造。
[16]如上面的[1]至[13]中的任一项所述的图案形成方法,其中所述图案形成步骤包括:
在形成所述第一线-间隙潜像之后但在形成所述第二线-间隙潜像之前,将其中形成有所述第一线-间隙潜像的所述抗蚀剂膜加热的步骤。
[17]如上面的[1]至[13]和[16]中的任一项所述的图案形成方法,其中所述树脂(A)含有由下式(AI)表示的重复单元:
其中Xa1表示氢原子,可以具有取代基的甲基,或由-CH2-R9表示的基团,R9表示羟基或单价有机基团,
T表示单键或二价连接基团,
Rx1至Rx3的每一个独立地表示烷基或环烷基,并且
Rx1至Rx3中的两个成员可以组合以形成环烷基。
[18]如上面的[17]中所述的图案形成方法,其中在式(AI)中,T表示单键并且Rx1至Rx3的每一个独立地表示直链的或支链的烷基(条件是Rx1至Rx3的两个成员不组合以形成环烷基)。
[19]如上面的[1]至[13]、[16]和[17]中的任一项所述的图案形成方法,其中所述树脂(A)含有由下式(I)表示的能够通过酸的作用分解以产生羧基的重复单元:
其中Xa表示氢原子、烷基、氰基或卤素原子;
Ry1至Ry3的每一个独立地表示烷基或环烷基,并且Ry1至Ry3中的两个成员可以组合以形成环;
Z表示具有可以具有杂原子作为环成员的多环烃结构的(n+1)价连接基团;
L1和L2的每一个独立地表示单键或二价连接基团;
n表示1至3的整数;并且
当n是2或3时,每个L2,每个Ry1,每个Ry2和每个Ry3可以分别与每个另外的L2、Ry1、Ry2和Ry3相同或不同。
根据本发明,可以提供一种能够成功地并且简单地在基板中以超细(例如,80nm以下)间距形成多个孔图案的图案形成方法,一种用于通过使用所述图案形成方法制造电子器件的方法,以及一种电子器件。
附图简述
图1是用于说明本发明的第一实施方案的流程图。
图2A和2B分别是部分地示例进行图1的步骤S1之后的状态的示意性透视图和示意性顶视图。
图3A是示例在图1的步骤S2中使用的掩模的一部分的示意性顶视图,并且图3B和3C分别是部分地示例进行图1的步骤S2之后的状态的示意性透视图和示意性顶视图。
图4A是示例在图1的步骤S3中使用的掩模的一部分的示意性顶视图,并且图4B和4C分别是部分地示例进行图1的步骤S3之后的状态的示意性透视图和示意性顶视图。
图5A和5B分别是部分地示例进行图1的步骤S5之后的状态的示意性透视图和示意性顶视图。
图6A和6B分别是部分地示例进行图1的步骤S6和S7之后的状态的示意性透视图和示意性顶视图。
图7A和7B分别是部分地示例进行图1的步骤S8之后的状态的示意性透视图和示意性顶视图。
图8A是示例图1的步骤S9中使用的掩模的一部分的示意性顶视图,并且图8B和8C分别是部分地示例进行图1的步骤S9之后的状态的示意性透视图和示意性顶视图。
图9A是示例在图1的步骤S10中使用的掩模的一部分的示意性顶视图,并且图9B和9C分别是部分地示例进行图1的步骤S10之后的状态的示意性透视图和示意性顶视图。
图10A和10B分别是部分地示例进行图1的步骤S12之后的状态的示意性透视图和示意性顶视图。
图11A和11B分别是部分地示例进行图1的步骤S13和S14之后的状态的示意性透视图和示意性顶视图。
图12A是用于说明根据比较例的图案形成方法的图,图12B是用于说明本发明的第一实施方案的图,图12C是用于说明本发明的第二实施方案的图,并且图12D是用于说明本发明的第三实施方案的图。
图13A至13G是用于说明根据本发明的第四实施方案的图案形成方法的图。
图14A至14J是用于说明根据本发明的第五实施方案的图案形成方法的图。
具体实施方案
下面详细描述用于实施本发明的方式。
在本发明的说明中,当指出基团(原子团)而不规定是取代的还是未取代的时,该基团包括不具有取代基的基团和具有取代基的基团两者。例如,“烷基”不仅包括不具有取代基的烷基(未取代的烷基),而且也包括具有取代基的烷基(取代的烷基)。
在本发明的说明中,术语“光化射线”或“辐射”表示,例如,汞灯的亮线光谱、以准分子激光为代表的远紫外线、极紫外线(EUV光)、X射线或电子束(EB)。而且,在本发明中,“光”意指光化射线或辐射。
在本发明的说明中,除非另外指出,“曝光”不仅包括用汞灯、以准分子激光为代表的远紫外线、X射线、极紫外射线、EUV光等曝光,而且也包括用粒子束如电子束和离子束的光刻。
此外,在本发明的说明书中,“与......成直角”不仅包括严格地成直角相交,还包括以在实践中被认为是直角的角度相交(考虑到仪器精度)。
图1是用于说明根据本发明的第一实施方案的图案形成方法的流程图。
在根据本发明的第一实施方案的图案形成方法中,如图1中所示,首先,进行第一图案形成(步骤S1至S7)。
在第一图案形成中,首先,形成抗蚀剂膜(步骤S1,图1的“抗蚀剂膜的形成”)。
图2A和2B分别是部分地示例进行图1的步骤S1之后的状态的示意性透视图和示意性顶视图。
更具体地,如图2A和2B中所示,在步骤S1中,通过使用化学增幅型抗蚀剂组合物(更具体地,负型抗蚀剂组合物)在基板10上形成抗蚀剂膜20。
基板10根据用途选择并且没有特别地限定,但可以使用无机基板如硅、SiN、SiO2和SiN,涂布型无机基板如SOG,或在制造半导体如IC或制造液晶器件或电路板如热头或在其他光加工工艺的光刻的过程中通常使用的基板。
还可以使用具有预先设置在其上的抗反射膜的基板。可以使用的抗反射膜可以或者是无机膜型如钛、二氧化钛、氮化钛、氧化铬、碳和非晶硅,或由光吸收剂和聚合物材料组成的有机膜型。此外,还可以使用可商购的有机抗反射膜如由Brewer Science,Inc.制造的DUV30系列和DUV-40系列、由Shipley Co.,Ltd.制造的AR-2、AR-3和AR-5,或者由Nissan ChemicalIndustries,Ltd.制造的ARC系列如ARC29A作为有机抗反射膜。
在由抗蚀剂组合物形成抗蚀剂膜20中,只要可以将抗蚀剂组合物涂布在基板10上,就可以使用任意方法,并且可以使用传统已知的方法如旋涂法、喷涂法、辊涂法、流涂法、刮涂法和浸渍法。优选地,将抗蚀剂组合物通过旋涂法涂布以形成涂布膜。
涂布膜的厚度优选为10至200nm,更优选20至150nm。
在涂布抗蚀剂组合物之后,如果需要的话可以将基板加热(预烘烤;PB)。由于该加热,可以均匀地形成除去不溶的残留溶剂的膜。对预烘烤温度没有特别地限定,但优选为50至160℃,更优选60至140℃。
加热时间优选为30至300秒,更优选30至180秒,再更优选30至90秒。
可以使用附着至普通曝光机的装置进行预烘烤,也可以使用热板等进行预烘烤。
作为抗蚀剂组合物,使用化学增幅型抗蚀剂组合物,其含有(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物。
后面详细描述该化学增幅型抗蚀剂组合物。
接下来,进行第一曝光(步骤S2,图1的“第一曝光”)。
图3A是示例在图1的步骤S2中使用的掩模的一部分的示意性顶视图。
如图3A中所示,掩模50具有由多个遮光掩模线组成的掩模线群50A以及由多个透光间隙组成的掩模间隙群50B,以使得掩模线和间隙交替排列的。
构成掩模线群50A的多个遮光掩模线各自具有由k·(A/4)·(√2)表达的宽度。而且,相应的相邻遮光掩模线之间的距离(遮光掩模线的间距)彼此相等并且由k·A·(√2)表达。
换言之,在掩模50中,遮光掩模线的宽度与透光间隙的宽度之间的比例为1∶3。
k是与缩小曝光相关的因子,并且A是在第一图案形成和第二图案形成之后在基板10中形成的孔图案的中心至中心距离(间距)。
k可以适当地设定并且在等大曝光的情况下成为1,但通常,缩小曝光是优选的并且在这种情况下,k成为大于1的值。
图3B和3C分别是部分地示例进行图1的步骤S2之后的状态的示意性透视图和示意性顶视图。
更具体地,在抗蚀剂膜20的表面上通过图3A中所示的掩模50进行第一曝光。
如图3B和3C中所示,通过第一曝光,将图2中所示的抗蚀剂膜20重新形成为其中形成有第一线-间隙潜像21L的抗蚀剂膜21。这里,在第一线-间隙潜像21L中,通过由掩模线群50A阻挡光而形成的第一间隙群21A和通过穿过掩模间隙群50B的传输光所形成的第一线群21B交替排列。
构成第一间隙群21A的多个第一间隙的每一个的宽度以及相邻的第一间隙之间的距离(间隙的间距)分别对应于构成掩模线群50A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第一间隙的宽度由(A/4)·(√2)表达,并且相邻的第一间隙之间的距离由A·(√2)表达。
随后,进行第二曝光(步骤S3,图1的“第二曝光”)。
图4A是示例在图1的步骤S3中使用的掩模的一部分的示意性顶视图,并且图4B和4C分别是部分地示例进行图1的步骤S3之后的状态的示意性透视图和示意性顶视图。
更具体地,穿过图4A中所示的掩模50进行第二曝光。这里,在将图3A中所示的掩模50旋转90°的状态下(在其中步骤S3中的图4A中所示的掩模50的遮光掩模线与步骤S2中的图3A中所示的掩模50的遮光掩模线成直角状态下)使用掩模50。
如图4B和4C中所示,通过第二曝光,将图3B和3C中所示的抗蚀剂膜21重新形成为其中除了第一线-间隙潜像21L之外形成有第二线-间隙潜像22L的抗蚀剂膜22。这里,在第二线-间隙潜像22L中,通过由掩模线群50A阻挡光而形成的第二间隙群22A和通过穿过掩模间隙群50B的传输光形成的第二线群22B交替排列。提供第二线-间隙潜像22L以使得其线方向(也定义为间隙的纵向)与第一线-间隙潜像21L中的线方向(也定义为间隙的纵向)成直角。
构成第二间隙群22A的多个第二间隙的每一个的宽度以及相邻的第二间隙之间的距离(间隙的间距)分别对应于构成掩模线群50A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第二间隙的宽度由(A/4)·(√2)表达,并且相邻的第二间隙之间的距离由A·(√2)表达。
换言之,通过上述第一曝光和第二曝光,在抗蚀剂膜22中以A·(√2)的间隔以方块栅格图案形成在第一曝光或第二曝光中未曝光的多个未曝光区22C。这里,未曝光区22C在抗蚀剂膜22的平面方向上的横截面具有一个边为(A/4)·(√2)的正方形外形。
在第一曝光和第二曝光中,不限制在曝光装置中使用的光源并且包括例如红外光、可见光、紫外光、远紫外光、极紫外光、X射线和电子束,但优选为250nm以下,更优选220nm以下,再更优选1至200nm的波长处的远紫外光。其具体实例包括KrF准分子激光(248nm)、ArF准分子激光(193nm)、F2准分子激光(157nm)、X射线、EUV(13nm)和电子束。其中,KrF准分子激光、ArF准分子激光、EUV和电子束是优选的。
为获得图案的小型化,EUV光或电子束作为曝光光源的使用变得有希望,但是EUV光刻具有的问题在于:高能EUV光的稳定提供是困难的并且满足所需性能的用于EUV曝光的抗蚀剂组合物仍处于开发中,并且电子束光刻在生产量方面具有问题。因此,更优选的是使用ArF准分子激光作为光源。
特别是,在形成具有40nm以下的半间距(换言之,80nm以下的间距)的孔图案的情况下,优选采用浸渍曝光法。
浸渍曝光法是,作为增加溶解的技术,通过用高折射率液体(在下文中,有时称为“浸渍液”)填充投影透镜与样品之间的间隙而进行曝光的技术。
如上所述,分辨率极限由式:k1·(λ/NA)(其中λ是曝光光源的空气中波长,NA是投影透镜的数值孔径,并且k1是与过程相关的因子)表达,并且假设n是浸渍液相对于空气的折射率,θ是束的收敛半角并且NA0=sinθ,分辨率极限可以通过下式表达:
(分辩率极限)=k1·[λ/(n·NA0)]=k1·(λ/n)/NA0
换言之,浸渍的效果等于使得投影透镜的数值孔径可以增至n倍(换言之,在具有相同的NA的投影光学系统的情况下,浸渍的效果等于使用1/n的曝光波长)。
在进行浸渍曝光的情况下,可以(1)在基板上形成膜之后但在进行第一曝光的步骤之前和/或(2)在进行第二曝光之后但在将膜加热(曝光后烘烤)之前进行用化学水溶液洗涤膜表面的步骤。
浸渍液优选为对曝光波长处的光透明并且具有尽可能小的折射率温度系数的液体,以便最小化投影在膜上的光学图像的变形。尤其是,当曝光光源是ArF准分子激光(波长:193nm)时,除了上述方面之外考虑到可得性和易操作性优选使用水。
在使用水的情况下,可以以小的比例加入能够降低水的表面张力并且同时增加界面活性的添加剂(液体)。该添加剂优选不溶解晶片上的抗蚀剂层并且仅对透镜元件的下表面处的光学涂层给出可忽略的影响。
这种添加剂优选为例如具有基本上与水的折射率相等的折射率的脂族醇,并且其具体实例包括甲醇、乙醇和异丙醇。由于具有基本上与水的折射率相等的折射率的醇的加入,即使当水中的醇组分蒸发并且其含量浓度改变时,也可以有利地使得作为整体的液体的折射率上的改变非常小。
另一方面,如果混入对193nm处的光不透明的物质或在折射率上与水极大地不同的杂质,则这导致投影在抗蚀剂上的光学图像的变形。因为这个原因,所使用的水优选为蒸馏水。此外,也可以使用在通过离子交换过滤器等过滤之后的纯水。
作为浸渍液使用的水的电阻优选为18.3MQcm以上,并且TOC(总有机碳)优选为20ppb以下。优选对水进行脱气处理。
而且,光刻性能可以通过增加浸渍液的折射率而提高。从这一点,可以向水中加入用于提高折射率的添加剂,或者可以使用重水(D2O)代替水。
在将使用本发明的组合物形成的膜通过浸渍介质曝光的情况下,如果需要还可以加入后面描述的疏水性树脂(E)。表面上的后退接触角通过加入疏水性树脂(E)而提高。膜的后退接触角优选为60至90°,更优选70°以上。
在浸渍曝光步骤中,浸渍液必须在晶片上跟随高速扫描晶片并形成曝光图案的曝光头的运动而移动。因此,动态下浸渍液与抗蚀剂膜的接触角是重要的,并且需要抗蚀剂具有允许浸渍液跟随曝光头的高速扫描而不残留液滴的性能。
为了防止膜与浸渍液直接接触,可以在使用本发明的组合物形成的膜与浸渍液之间设置在浸渍液中微溶的膜(在下文中,有时称为“顶涂层”)。顶涂层所需的功能适合于作为抗蚀剂上覆层涂布,对辐射,尤其是,具有193nm的波长的辐射透明,并且在浸渍液中的溶解性很小。顶涂层优选与抗蚀剂不可混并且能够作为抗蚀剂上覆层均匀地涂布。
考虑到对193nm处的光透明,顶涂层优选为不含芳族物的聚合物。
其具体实例包括烃聚合物、丙烯酸酯聚合物、聚甲基丙烯酸、聚丙烯酸、聚乙烯醚、含硅聚合物和含氟聚合物。后面描述的疏水性树脂(E)也适合于作为顶涂层。如果杂质从顶涂层溶出至浸渍液中,则光学透镜被污染。因为这个原因,聚合物的剩余的单体组分优选很少地被包含在顶涂层中。
在剥离顶涂层时,可以使用显影液,也可以单独地使用脱离剂。脱离剂优选为较不容易渗入膜的溶剂。从剥离步骤可以与膜的显影步骤同时进行的角度,顶涂层优选为用碱显影液可剥离并且考虑到用碱显影液剥离,顶涂层优选为酸性的,但考虑到与膜不可混合,顶涂层可以是中性的或碱性的。
顶涂层与浸渍液之间折射率上的差别优选为没有或很小。在这种情况下,可以增加分辨率。在其中曝光光源是ArF准分子激光(波长:193nm)的情况下,水优选作为浸渍液使用并且因此,用于ArF浸渍曝光的顶涂层优选具有与水的折射率(1.44)接近的折射率。而且,考虑到透明性和折射率,顶涂层优选为薄膜。
顶涂层优选与膜不可混合并且进一步还与浸渍液不可混合。从这点看,当浸渍液是水时,用于顶涂层使用的溶剂优选为在用于本发明的组合物的溶剂中微溶并且在水中不溶的介质。此外,当浸渍液是有机溶剂时,顶涂层可以或者是水溶性的或者是水不溶性的。
其后,进行曝光之后的加热(PEB;曝光后烘烤)(步骤S4,图1的“曝光后烘烤”)。
曝光后烘烤中的加热温度优选为70至130℃,更优选80至120℃。
加热时间优选为30至300秒,更优选30至180秒,再更优选30至90秒。
加热可以使用附至普通曝光或显影机的装置进行,也可以使用热板等进行。
由于该加热,加速曝光区域中的反应,并且改善灵敏度和图案外形。
顺便提及,可以在形成第一线-间隙潜像21L之后但在形成第二线-间隙潜像22L之前(换言之,在第一曝光之后但在第二曝光之前)进行这种加热。
其后,进行显影(步骤S5,图1的“显影”)。
通过使用含有机溶剂的显影液(在下文中,有时称为“有机显影液”)进行显影。
抗蚀剂膜如上所述由化学增幅型抗蚀剂组合物形成,其含有(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物。
在抗蚀剂膜的曝光区域中,由化合物(B)产生的酸与树脂(A)反应以增加树脂(A)的极性并降低在含有机溶剂的显影液中的溶解性,作为结果,可以使得抗蚀剂膜的曝光区域在含有机溶剂的显影液中微溶或不溶。另一方面,在抗蚀剂膜的未曝光区中,不出现如在曝光区域中观察到的树脂(A)的极性上的增加并且因此,在含有机溶剂的显影液中的溶解性不改变,以使得可以使得抗蚀剂膜的未曝光区在含有机溶剂的显影液中可溶。
通过这种显影,形成负型图案。
图5A和5B分别是部分地示例进行图1的步骤S5之后的状态的示意性透视图和示意性顶视图。
更具体地,如图5A和5B中所示,通过显影,图4B和4C中所示的抗蚀剂膜22重新形成为具有形成在其中的由多个第一抗蚀剂孔图案23A组成的第一抗蚀剂孔图案群23H的抗蚀剂膜23。这里,所述多个第一抗蚀剂孔图案23A的每一个是在将图4C中所示的未曝光区22C溶解在显影液中并移除之后形成的通孔。
而且,第一抗蚀剂孔图案23A的尺寸对应于图4C中所示的未曝光区22C的尺寸,并且第一抗蚀剂孔图案23A是其中圆形横截面在抗蚀剂膜23的平面方向上的直径为(A/4)·(√2)的圆柱形式。
合适的有机显影液包含极性溶剂如酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂和醚系溶剂,以及烃系溶剂。
酮系溶剂的实例包括1-辛酮、2-辛酮、1-壬酮、2-壬酮、丙酮、2-庚酮(甲基戊基酮)、4-庚酮、1-己酮、2-己酮、二异丁酮、环己酮、甲基环己酮、苯基丙酮、甲基乙基酮、甲基异丁基酮、乙酰基丙酮、丙酮基丙酮、紫罗酮、二丙酮醇、乙酰甲醇、苯乙酮、甲基萘基酮、异佛尔酮和碳酸丙二酯。
酯系溶剂的实例包括乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸异丙酯、乙酸戊酯(pentyl acetate)、乙酸异戊酯、乙酸戊酯(amyl acetate)、丙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、二甘醇单丁醚乙酸酯、二甘醇单乙醚乙酸酯、乙基-3-乙氧丙酸酯、乙酸3-甲氧基丁酯、乙酸3-甲基-3-甲氧基丁酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯和乳酸丙酯。
醇系溶剂的实例包括醇如甲醇、乙醇、正丙醇、异丙醇、正丁醇、仲丁醇、叔丁醇、异丁醇、正己醇、正庚醇、正辛醇和正癸醇;二醇系溶剂如乙二醇、二甘醇和三甘醇;和二醇醚系溶剂如乙二醇单甲醚、丙二醇单甲醚、乙二醇单乙醚、丙二醇单乙醚、二甘醇单甲醚、三甘醇单乙醚和甲氧基甲基丁醇。
醚系溶剂的实例包括,除了上面的二醇醚系溶剂之外,二
Figure BDA0000439671320000161
烷和四氢呋喃。
可以使用的酰胺系溶剂的实例包括N-甲基-2-吡咯烷酮、N,N-二甲基乙酰胺、N,N-二甲基甲酰胺、六甲基磷酰三胺和1,3-二甲基-2-咪唑啉酮。
烃系溶剂的实例包括芳族烃系溶剂如甲苯和二甲苯,以及脂族烃系溶剂如戊烷、己烷、辛烷和癸烷。
可以将多种这些溶剂混合,也可以将溶剂通过将其与除上面描述的那些之外的溶剂混合或与水混合使用。然而,为了充分地给出本发明的效果,全部显影液中的水含量比优选少于10质量%,并且更优选的是基本上不含有水。
换言之,基于显影液的总量,在有机显影液中使用的有机溶剂的量优选为90至100质量%,更优选95至100质量%。
尤其是,有机显影液优选为含有选自由酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂和醚系溶剂组成的组的至少一种类型的有机溶剂的显影液。
有机显影液在20℃的蒸气压为优选5kPa以下,更优选3kPa以下,再更优选2kPa以下。通过将有机显影液的蒸气压设定为5kPa以下,抑制了基板上或显影杯中显影液的蒸发并且晶片平面上的温度均匀性提高,作为结果,晶片平面中的尺寸均匀度提高。
具有5kPa以下的蒸气压的溶剂的具体实例包括:酮系溶剂如1-辛酮、2-辛酮、1-壬酮、2-壬酮、2-庚酮(甲基戊基酮)、4-庚酮、2-己酮、二异丁酮、环己酮、甲基环己酮、苯基丙酮和甲基异丁基酮;酯系溶剂如乙酸丁酯、乙酸戊酯、乙酸异戊酯、乙酸戊酯、丙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、二甘醇单丁醚乙酸酯、二甘醇单乙醚乙酸酯、乙基-3-乙氧丙酸酯、乙酸3-甲氧基丁酯、乙酸3-甲基-3-甲氧基丁酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯和乳酸丙酯;醇系溶剂如正丙醇、异丙醇、正丁醇、仲丁醇、叔丁醇、异丁醇、正己醇、正庚醇、正辛醇和正癸醇;二醇系溶剂如乙二醇、二甘醇和三甘醇;二醇醚系溶剂如乙二醇单甲醚、丙二醇单甲醚、乙二醇单乙醚、丙二醇单乙醚、二甘醇单甲醚、三甘醇单乙醚和甲氧基甲基丁醇;醚系溶剂如四氢呋喃;酰胺系溶剂如N-甲基-2-吡咯烷酮、N,N-二甲基乙酰胺和N,N-二甲基甲酰胺;芳族烃系溶剂如甲苯和二甲苯;和脂族烃系溶剂如辛烷和癸烷。
具有2kPa以下(其是特别优选的范围)的蒸气压的溶剂的具体实例包括:酮系溶剂如1-辛酮、2-辛酮、1-壬酮、2-壬酮、4-庚酮、2-己酮、二异丁酮、环己酮、甲基环己酮和苯基丙酮;酯系溶剂如乙酸丁酯、乙酸戊酯、丙二醇单甲醚乙酸酯、乙二醇单乙醚乙酸酯、二甘醇单丁醚乙酸酯、二甘醇单乙醚乙酸酯、乙基-3-乙氧基丙酸酯、乙酸3-甲氧基丁酯、乙酸3-甲基-3-甲氧基丁酯、乳酸乙酯、乳酸丁酯和乳酸丙酯;醇系溶剂如正丁醇、仲丁醇、叔丁醇、异丁醇、正己醇、正庚醇、正辛醇和正癸醇;二醇系溶剂如乙二醇、二甘醇和三甘醇;二醇醚系溶剂如乙二醇单甲醚、丙二醇单甲醚、乙二醇单乙醚、丙二醇单乙醚、二甘醇单甲醚、三甘醇单乙醚和甲氧基甲基丁醇;酰胺系溶剂如N-甲基-2-吡咯烷酮、N,N-二甲基乙酰胺和N,N-二甲基甲酰胺;芳族烃系溶剂如二甲苯;和脂族烃系溶剂如辛烷和癸烷。
在有机显影液中,如果需要,可以加入合适量的表面活性剂。
对表面活性剂没有特别限制,但例如,可以使用离子或非离子含氟和/或含硅表面活性剂。这种含氟和/或含硅表面活性剂的实例包括JP-A-62-36663、JP-A-61-226746、JP-A-61-226745、JP-A-62-170950、JP-A-63-34540、JP-A-7-230165、JP-A-8-62834、JP-A-9-54432、JP-A-9-5988和美国专利5,405,720、5,360,692、5,529,881、5,296,330、5,436,098、5,576,143、5,294,511和5,824,451中描述的表面活性剂。优选非离子表面活性剂。对非离子表面活性剂没有特别限制,但更优选使用含氟表面活性剂或含硅表面活性剂。
基于显影液的总量,所使用的表面活性剂的量通常为0.001至5质量%,优选0.005至2质量%,更优选0.01至0.5质量%。
对于显影方法,例如,可以使用:将基板浸渍在装有显影液的浴中历时固定时间的方法(浸渍方法);通过表面张力的作用使显影液升高至基板表面上并将其再保持固定时间,从而进行显影的方法(粘闭法(puddlemethod));将显影液喷雾在基板表面上的方法(喷雾法);以及将显影液连续喷射在以恒定速度旋转的基板上同时将显影液喷嘴以恒定速率扫描的方法(动态分配法)。
在其中上述多种显影方法包括从显影装置的显影喷嘴向抗蚀剂膜喷出显影液的步骤的情况下,所喷出的显影液的喷出压力(每单位面积所喷出的显影液的流速)优选为2mL/秒/mm2以下,更优选1.5mL/秒/mm2以下,再更优选1mL/秒/mm2以下。流速没有特别的下限,但考虑到生产量,优选为0.2mL/秒/mm2以上。
通过将所喷出的显影液的喷出压力设定为以上范围,可以极大地减少归因于显影之后的抗蚀剂浮渣的图案缺陷。
尽管不明确地知道该机制的细节,但所认为的是归功于上述范围内的喷出压力,由显影液施加在抗蚀剂膜上的压力变小并且保持抗蚀剂膜/抗蚀剂图案免于无意破碎或倒塌。
这里,显影液的喷出压力(mL/秒/mm2)是在显影装置中的显影喷嘴出口处的值。
用于调节显影液的喷出压力的方法的实例包括通过泵等调节喷出压力的方法,以及由加压槽提供显影液并调节压力以改变喷出压力的方法。
在通过使用含有机溶剂的显影液进行显影的步骤之后,可以实施通过将该溶剂用另一种溶剂替换而停止显影的步骤。
优选在使用有机显影液显影之后进行通过使用冲洗液冲洗膜的步骤(冲洗步骤)。
对在冲洗步骤中使用的冲洗液没有特别限制,条件是它不溶解抗蚀剂图案,并且可以使用含有普通有机溶剂的溶液。优选使用含有选自由烃系溶剂、酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂和醚系溶剂组成的组的至少一种类型的有机溶剂的冲洗液作为冲洗液。
烃系溶剂、酮系溶剂、酯系溶剂、醇系溶剂、酰胺系溶剂和醚系溶剂的具体实例与对于含有机溶剂的显影液描述的那些相同。
在使用有机显影液显影之后,更优选地,进行通过使用含有至少一种类型的选自由以下各项组成的组的有机溶剂的冲洗液冲洗膜的步骤:酮系溶剂、酯系溶剂、醇系溶剂和酰胺系溶剂;再更优选地,进行通过使用含有醇系溶剂或酯系溶剂的冲洗液冲洗膜的步骤;还再更优选地,进行通过使用含有单羟基醇的冲洗液冲洗膜的步骤;并且最优选地,进行通过使用含有具有5以上的碳数的单羟基醇的冲洗液冲洗膜的步骤。
冲洗步骤中使用的单羟基醇包括直链的、支链的或环状单羟基醇,并且可以使用的单羟基醇的具体实例包括1-丁醇、2-丁醇、3-甲基-1-丁醇、叔丁醇、1-戊醇、2-戊醇、1-己醇、4-甲基-2-戊醇、1-庚醇、1-辛醇、2-己醇、环戊醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇和4-辛醇。作为特别优选的具有5以上的碳数的单羟基醇,可以使用1-己醇、2-己醇、4-甲基-2-戊醇、1-戊醇、3-甲基-1-丁醇等。
可以将多种这些组分混合,或者可以通过将其与除了上面描述的那些之外的有机溶剂混合而使用所述溶剂。
冲洗液中水含量比优选为10质量%以下,更优选5质量%以下,再更优选3质量%以下(在本说明书中,质量比等于重量比)。通过将水含量比设定为10质量%以下,可以获得良好的显影特性。
在通过使用含有机溶剂的显影液进行显影的步骤之后使用的冲洗液在20℃的蒸气压优选为0.05至5kPa,更优选0.1至5kPa,并且最优选0.12至3kPa。通过将冲洗液的蒸气压设定到0.05至5kPa的范围内,晶片平面内的温度均匀性提高并且此外,抑制了归因于冲洗液渗透的溶胀,作为结果,晶片平面内的尺寸均匀性提高。
冲洗液也可以在向其加入适当量的表面活性剂之后使用。
在冲洗步骤中,将使用含有机溶剂的显影液显影之后的晶片通过使用上述含有机溶剂的冲洗液冲洗。对用于冲洗处理的方法没有特别限制,但例如,可以应用将冲洗液连续喷出在以恒定速度旋转的基板上的方法(旋涂法),将基板浸渍在填充有冲洗液的浴中固定时间的方法(浸渍法),以及将冲洗液喷雾在基板表面上的方法(喷雾法)。其中,优选的是通过旋涂法进行冲洗处理,并且在冲洗之后,通过将基板以2,000至4,000rpm的旋转速率旋转而将冲洗液从基板表面移除。还优选的是在冲洗步骤之后包括加热步骤(后烘烤)。由于烘烤,保留在图案之间和图案内的显影液和冲洗液被移除。冲洗步骤之后的加热步骤通常在40至160℃,优选70至95℃进行,历时通常10秒至3分钟,优选30至90秒。
其后,进行刻蚀(步骤S6,图1的“刻蚀”)。
图6A和6B分别是部分地示例进行图1的步骤S6和S7之后的状态的示意性透视图和示意性顶视图。
通过进行刻蚀,如图6A和6B中所示,将图5A中所示的基板10在对应于抗蚀剂膜23的第一抗蚀剂孔图案群23H的位置贯穿以形成其中形成有由多个第一孔图案14A组成的第一孔图案群14H的基板11。第一孔图案14A根据用途可以是通孔或非通孔,但在该实施方案中,形成通孔。
对刻蚀方法没有特别地限定,并且可以使用任何已知的方法。根据基板的类型、使用等适当地确定多个条件等。可以例如根据Proc.of SPIE,第6924卷,692420(2008)和JP-A-2009-267112进行刻蚀。
其后,移除抗蚀剂膜(图1的步骤S7,“抗蚀剂膜的移除”)。
对用于移除抗蚀剂膜的方法没有特别地限定,并且可以使用任意已知方法。例如,移除可以通过JP-A-2002-158200、JP-A-2003-249477以及Tsuyoshi Hattori,″Chapter6.Sheet-by-Sheet Cleaning Technique″,Electronics Cleaning Technology,Technical Information Institute Co.,Ltd.,第157-193页(2007)中描述的湿法进行。
通过进行图1中所示的从而描述的第一图案形成(步骤S1至S7),制造具有形成在其中的由以正方形栅格图案排列的多个第一孔图案14A组成的第一孔图案群14H的基板11。
第一孔图案14A在基板11的平面方向上的圆形横截面的直径以及第一孔图案14A的中心至中心距离(间距)分别对应于图5A和5B中所示的第一抗蚀剂孔图案23A在抗蚀剂膜23的平面方向上的圆形横截面的直径以及第一抗蚀剂孔图案23A的中心至中心距离(间距),并且具体地,由(A/4)·(√2)和A·(√2)表达。
在第一图案形成之后,如图1中所示,进行第二图案形成(步骤S8至S14)。
在第二图案形成中,首先,通过与步骤S1中相同的方法形成抗蚀剂膜(步骤S8,图1的“抗蚀剂膜的形成”)。
图7A和7B分别是部分地示例进行图1的步骤S8之后的状态的示意性透视图和示意性顶视图。
更具体地,如图7A和7B中所示,在步骤S8中,在基板11上通过使用化学增幅型抗蚀剂组合物形成抗蚀剂膜20。步骤S8中的化学增幅型抗蚀剂组合物可以与在步骤S1中使用的组合物相同或不同,条件是它含有(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物,但该组合物优选与如在步骤S1中使用的组合物相同。
用于由抗蚀剂组合物形成抗蚀剂膜20的方法和抗蚀剂膜20的厚度的优选范围与在步骤S1中描述的那些相同。
而且,与步骤S1类似,在涂布抗蚀剂组合物之后,如果需要可以将基板加热(预烘烤(PB))。
接下来,进行第一曝光(步骤S9,图1的“第一曝光”)。
图8A是示例在图1的步骤S9中使用的掩模的一部分的示意性顶视图,并且图8B和8C分别是部分地示例进行图1的步骤S9之后的状态的示意性透视图和示意性顶视图。
如图8A中所示,掩模50与图3A中所示的掩模50相同,但在步骤S9中,将步骤S2中的图3A中所示的掩模50在下列状态下使用:在垂直于遮光掩模线的纵向的方向上移动对应于遮光掩模线的间距的1/2的距离(半间距),换言之,由(1/2)·k·A·(√2)表达的距离。
更具体地,在抗蚀剂膜20的表面上通过图8A中所示的掩模50进行第一曝光。
如图8B和8C中所示,通过第一曝光,将图7A和7B中所示的抗蚀剂膜20重新形成为具有形成在其中的第一线-间隙潜像31L的抗蚀剂膜31。这里,在第一线-间隙潜像31L中,通过由掩模线群50A阻挡光而形成的第一间隙群31A和通过穿过掩模间隙群50B的传输光所形成的第一线群31B交替排列。
构成第一间隙群31A的多个第一间隙的每一个的宽度以及相邻的第一间隙之间的距离(间距)分别对应于构成掩模线群50A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第一间隙的宽度由(A/4)·(√2)表达,并且相邻的第一间隙之间的距离由A·(√2)表达。
随后,进行第二曝光(图1的步骤S10,“第二曝光”)。
图9A是示例在图1的步骤S10中使用的掩模的一部分的示意性顶视图,并且图9B和9C分别是部分地示例进行图1的步骤S10之后的状态的示意性透视图和示意性顶视图。
更具体地,通过图9A中所示的掩模50进行第二曝光。这里,在将图8A中所示的掩模50旋转90°的状态下(在其中步骤S10中的图9A中所示的掩模50的遮光掩模线与步骤S9中的图8A中所示的掩模50的遮光掩模线成直角的状态下)使用掩模50。换言之,在步骤S10中,将步骤S3中的图4A中所示的掩模50在下列状态下使用:从垂直于遮光掩模线的纵向方向上移动对应于遮光掩模线的间距的1/2(半间距)的距离,换言之,由(1/2)·k·A·(√2)表达的距离。
如图9B和9C中所示,通过第二曝光,图8B和8C中所示的抗蚀剂膜31重新形成为其中除了第一线-间隙潜像31L之外形成第二线-间隙潜像32的抗蚀剂膜32。这里,在第二线-间隙潜像32L中,通过由掩模线群50A阻挡光而形成的第二间隙群32A和通过穿过掩模间隙群50B的传输光所形成的第二线群32B交替排列。提供第二线-间隙潜像32L以使得其线方向(也定义为间隙的纵向)与第一线-间隙潜像31L中的线方向(也定义为间隙的纵向)成直角。
构成第二间隙群32A的多个第二间隙的每一个的宽度以及相邻的第二间隙(间距)之间的距离分别对应于构成掩模线群50A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第二间隙的宽度由(A/4)·(√2)表达,并且相邻的第二间隙之间的距离由A·(√2)表达。
换言之,通过上述第一曝光和第二曝光,在第一曝光或第二曝光中未曝光的多个未曝光区32C以A·(√2)的间隔以正方形栅格图案在抗蚀剂膜32中形成。这里,未曝光区32C在抗蚀剂膜32的平面方向上的横截面具有一个边为(A/4)·(√2)的正方形外形。
第二图案形成中用于第一曝光和第二曝光(图1的步骤S9和S10)的方法与对于第一图案形成中的第一曝光和第二曝光(图1的步骤S2和S3)描述的那些相同。
其后,进行曝光之后的加热(PEB;曝光后烘烤)(图1的步骤S11,“曝光后烘烤”)。
曝光后烘烤的条件等与对于第一图案形成中的曝光后烘烤(图1的步骤S4)描述的那些相同。
顺便提及,与第一图案形成类似地,这种加热可以在形成第一线-间隙潜像31L之后但在形成第二线-间隙潜像32L之前(换言之,在第一曝光之后但在第二曝光之前)进行。
其后,进行显影(图1的步骤S12,“显影”)。通过显影,形成负型图案。
图10A和10B分别是部分地示例进行图1的步骤S12之后的状态的示意性透视图和示意性顶视图。
更具体地,如图10A和10B中所示,通过显影,图9B和9C中所示的抗蚀剂膜32重新形成为具有形成在其中的由多个第二抗蚀剂孔图案33A组成的第二抗蚀剂孔图案群33H的抗蚀剂膜33。这里,多个第二抗蚀剂孔图案33A的每一个是在将图9C中所示的未曝光区32C溶解在显影液中并移除之后形成的通孔。
而且,第二抗蚀剂孔图案33A的尺寸对应于图9C中所示的未曝光区22C的尺寸,并且第二抗蚀剂孔图案33A是其中在抗蚀剂膜33的平面方向上的圆形横截面的直径是(A/4)·(√2)的圆柱形式。
显影中的显影液、显影方法等与对于第一图案形成中的显影(图1的步骤S5)描述的那些相同。
此外,与步骤S5类似,同样在步骤S12中,冲洗步骤优选在显影之后进行,并且冲洗步骤中的冲洗液、冲洗方法等与对于第一图案形成中的显影描述的那些相同。
其后,进行刻蚀(图1的步骤S13,“刻蚀”)。
图11A和11B分别是部分地示例进行图1的步骤S13和S14之后的状态的示意性透视图和示意性顶视图。
通过进行刻蚀,如图11A和11B中所示,将图10A中所示的基板11在对应于抗蚀剂膜33的第二抗蚀剂孔图案群33H的位置贯穿以形成其中形成有由多个第二孔图案24A组成的第二孔图案群24H的基板12。第二孔图案24A根据用途可以是通孔或非通孔,但在该实施方案中,形成通孔。
如在第一图案形成中描述的,对刻蚀方法没有特别地限定,并且可以使用任意已知方法。根据基板的类型、用途等适当地确定多种条件等。
其后,将抗蚀剂膜移除(图1的步骤S14,“抗蚀剂膜的移除”)。
如第一图案形成中描述的,对用于移除抗蚀剂膜的方法没有特别限定,并且可以使用任意已知方法。
通过进行所描述的图1中所示的第二图案形成(步骤S8至S14),除了第一孔图案群14H之外,产生具有在其中形成的由以正方形栅格图案排列的多个第二孔图案24A组成的第二孔图案群24H的基板12。
第二孔图案24A在基板11的平面方向上的圆形横截面的直径以及第二孔图案24A的中心至中心距离(间距)分别对应于图10A和10B中所示的第二抗蚀剂孔图案33A在抗蚀剂膜33的平面方向上的圆形横截面的直径以及第二抗蚀剂孔图案33A的中心至中心距离(间距),并且具体地,由(A/4)·(√2)和A·(√2)表达。
如上所述,在第二图案形成的第一曝光和第二曝光中,相对于第一图案形成的第一曝光和第二曝光中的状态,掩模50在垂直于遮光掩模线的纵向的方向上移动对应于遮光掩模线的间距的1/2的距离(半间距),换言之,由(1/2)·k·A·(√2)表达的距离的状态下使用。
因此,形成通过在第二图案形成中显影形成的第二抗蚀剂孔图案群33H,以使得构成该群的多个第二抗蚀剂孔图案33A不对应于基板11中的多个第一孔图案14A(换言之,当从顶部看时,多个第二抗蚀剂孔图案33A全部不与第一孔图案14A的任一个覆盖)。
以这种方式,通过第二图案形成中的刻蚀步骤在基板12中形成的所有多个第二孔图案24A均在与在第一图案形成步骤中形成的多个第一孔图案14A的所有位置不同的位置形成。
作为结果,制备了其中多个孔图案14A和24A的中心至中心距离(间距)成为第一孔图案14A与第二孔图案24A之间的距离A的基板12。
对距离A不特别地限定,但是,考虑到小型化的进一步进行,优选为80nm以下,更优选70nm以下,再更优选56至70nm。换言之,孔图案的半间距优选为40nm以下,更优选35nm以下,再更优选28至35nm。
因此,在多个孔图案14A和24A的每一个中,圆形横截面在基板11的平面方向上的直径优选为28nm以下,更优选25nm以下,再更优选20至25nm。
即使孔图案的间距为作为上述优选范围的下限的56nm,在第一和第二图案形成的每一个中的第一曝光中,第一间隙之间的距离(间距)也为56×√2=约79nm。类似地,在第一和第二图案形成中的每一个中的第二曝光中,第二间隙之间的距离(间距)为约79nm。
因此,在第一曝光和第二曝光的每一个中,间隙的半间距成为约40nm,以使得当采用如上所述的具有约39nm的分辨率极限的ArF浸渍曝光技术时,可以使得孔图案的距离A和直径的每一个具有在上面的优选范围内的值。
以这种方式,根据本发明的第一实施方案的图案形成方法,可以在基板中以超细(例如,80nm以下)间距形成多个孔图案。
顺便提及,在第一图案形成和第二图案形成的每一个中,第一曝光和第二曝光优选在层叠控制(overlay control)下进行。作为用于重叠控制的方法,可以不带有限制地采用已知的方法(例如,J.Micro/Nanolith.MEMS MOEMS,8,011003(2009))。优选进行重叠控制以使得重叠精度(3σ)成为少于3nm。
而且,根据本发明的第一实施方案(以及后面描述的第二至第五实施方案)的图案形成方法,由化学增幅型抗蚀剂组合物形成抗蚀剂膜20,所述化学增幅型抗蚀剂组合物含有(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物。
因此,如上所述,在抗蚀剂膜的曝光区域中,由化合物(B)产生的酸与树脂(A)反应以增加树脂(A)的极性并降低在含有机溶剂的显影液中的溶解性,作为结果,曝光区域成为在含有机溶剂的显影液中不溶的或微溶的。换言之,根据本发明的第一实施方案(以及后面描述的第二至第五实施方案)的图案形成方法中的曝光区域为,不同于专利文献1,不进行交联体的主动形成并且对于被显影液溶胀较不可能,以使得在抗蚀剂膜中可以形成具有所需的形状的抗蚀剂孔图案,并且进而,在基板中可以形成具有所需形状的孔图案。
而且,通过正型图像形成方法在抗蚀剂膜中形成孔图案的情况下,(1)不同于本发明,几乎不能不采用双重曝光技术,或者(2)在使用具有形成在其中的孔图案的掩模的情况下,仅将其中形成孔图案的区域认为是曝光区域,并且因此,当如在本发明中进行多次图案形成以便特别是以超细(例如,80nm以下)间距在基板中形成孔图案时,进一步限制了一次图案形成中的曝光区面积。因此,在通过正型图像形成方法的孔图案的形成中,掩模的光透射率必须低。
另一方面,根据第一实施方案(以及后面描述的第二至第五实施方案)的图案形成方法是负型图像形成方法,以使得(1)可以采用双重曝光技术和(2)通过进行多次图案形成,一次图案形成中的曝光区面积可以变大。因此,掩模的光透射率可以是高的并且考虑到光学特性这是有利的,以使得在抗蚀剂膜中可以更容易地形成具有所需的形状的抗蚀剂孔图案,并且进而,在基板中可以形成具有所需的形状的孔图案。
此外,根据本发明的第一实施方案的图案形成方法(以及后面描述的第二至第五实施方案),不需要使用Proc.of SPIE,第7274卷,72740N.(2009)中公开的反转膜或分离部件如收缩材料,以使得孔图案可以在基板中容易地形成。
如上所述,在根据本发明的第一实施方案的图案形成方法中,进行图案形成步骤两次,但根据本发明的图案形成方法可以包括三个以上图案形成步骤并且在这种情况下,图案形成步骤优选进行2n-1次(n表示3以上的整数并且优选为3或4)。
图12A是用于说明根据比较例的图案形成方法的图,图12B是用于说明根据本发明的第一实施方案的图案形成方法的图,图12C是用于说明根据本发明的第二实施方案的图案形成方法的图,并且图12D是用于说明根据本发明的第三实施方案的图案形成方法的图。
图12A至12D的每一个示例其中形成以正方形栅格图案的具有距离A的间距的多个孔图案的基板的顶视图。
在根据比较例的图案形成方法中,如图12A中所示,通过一个图案形成步骤形成多个孔图案。
在如上所述的根据本发明的第一实施方案的图案形成方法中并且如图12B中所示,通过两个图案形成步骤形成多个孔图案。
在根据本发明的第二实施方案的图案形成方法中,如图12C中所示,通过四个图案形成步骤(上面的n是3)形成多个孔图案。
在根据本发明的第三实施方案的图案形成方法中,如图12D中所示,通过八个图案形成步骤(上面的n是4)形成多个孔图案。
根据用于在本发明的第一实施方案中描述的第一图案形成和第二图案形成的方法进行根据本发明的比较例和第二和第三实施方案的图案形成方法中的每个图案形成步骤。
关于根据比较例和本发明的第一至第三实施方案的图案形成方法,图案形成步骤的次数、曝光的次数、排列方向和一个图案形成步骤中的孔图案的间距,以及最终获得的孔图案的排列方向和间距在下面的表1中一起给出。
Figure BDA0000439671320000281
如从表1看出的,即使当要在基板中最终形成的孔图案的间距相同时,随着图案形成步骤的数目增加,在基板中通过一个图案形成步骤形成的孔图案的间距扩大并且当分辨抗蚀剂孔图案时,光学边缘扩大。
例如,在基板中以70nm的间距A形成多个孔图案的情况下,考虑到小型化的进一步进行,比较例和本发明的第一至第三实施方案的关系如下面的表2中所示。
表2
Figure BDA0000439671320000291
这里,在根据比较例的实施方案的图案形成方法中,通过一个图案形成步骤在基板中形成的孔图案的间距B(=间距A)是70nm,换言之,半间距是35nm,并且该半间距落入低于在上述ArF浸渍曝光(NA=I.35)中的分辨率极限(大约36至39nm),作为结果,即使当使用ArF浸渍曝光时,在图案形成步骤中也无法分辨具有间距B的抗蚀剂孔图案。因此,在这种情况下,根据比较例的实施方案的图案形成方法不可以实现。
另一方面,在其中进行两个图案形成步骤的根据本发明的第一实施方案的图案形成方法中,间距B是99nm,换言之,半间距是约49.5nm,并且因为该半间距超过ArF浸渍曝光(NA=1.35)中的分辨率极限,在每个图案形成步骤中可以分辨具有间距B的抗蚀剂孔图案。进而,在基板中可以形成多个孔图案以具有70nm的间距A。
此外,在其中进行四个或八个图案形成步骤的本发明的第二和第三实施方案中,间距B分别是140nm和198nm,并且因此,当分辨抗蚀剂孔图案时,光学边缘更加增大。
在通过一个图案形成步骤以ArF浸渍曝光(NA=1.35)的分辨率极限(大约36至39nm)形成孔图案的情况下,更具体地,在76nm的间距B(38nm的半间距)的情况下,比较例与本发明的第一至第三实施方案之间的关系如表3中所示。
表3
Figure BDA0000439671320000301
以这种方式,根据本发明的第一至第三实施方案的图案形成方法,可以在基板中以70nm以下的中心至中心距离(间距A)形成多个孔图案。
按照根据上面描述的本发明的第一至第三实施方案的图案形成方法,在本发明的图案形成方法中,在基板中形成的多个孔图案的每个中心至中心距离优选为70nm以下,更优选60nm以下,再更优选25至60nm,换言之,多个孔图案的每个半间距优选为35nm以下,更优选30nm以下,再更优选12.5至30nm。
如本文所使用的“在基板中形成的多个孔图案的每个中心至中心距离是70nm以下”意指在多个孔图案中,无论关注哪一个孔图案,所关注的孔图案的中心与其中心在所关注的孔图案的中心最近的位置的另一个孔图案的中心之间的距离为70nm以下。
在多个孔图案的每一个中,当基板的平面方向上的横截面是圆形横截面时,孔图案的圆形横截面的直径优选为25nm以下,更优选21nm以下,再更优选9至21nm。
在根据本发明的第一至第三实施方案的图案形成方法的每个图案形成步骤中,构成第一线-间隙潜像的第一间隙群的多个间隙的宽度彼此相等,并且构成第二线-间隙潜像的第二间隙群的多个间隙的宽度彼此相等。
而且,在根据本发明的第一至第三实施方案的图案形成方法中,形成第二线-间隙潜像以使得第二线-间隙的线方向与第一线-间隙潜像中的线方向成直角。
此外,在根据本发明的第一至第三实施方案的图案形成方法中,第一间隙群中间隙的宽度与第二间隙群中的间隙的宽度相同。
由于这些构造,在基板中形成的多个孔图案具有相同的尺寸,并且同时,孔图案在基板的平面方向上的横截面外形成为圆形。
然而,本发明不限于这些实施方案并且可以,例如,是其中构成第一线-间隙潜像的第一间隙群中的间隙的宽度与构成第二线-间隙潜像的第二间隙群中的间隙的宽度不同的实施方案,或其中形成第二线-间隙潜像以使得第二线-间隙的线方向与第一线-间隙潜像中的线方向倾斜相交的实施方案。在这些实施方案中,在基板中形成的孔图案在基板的平面方向上的横截面具有椭圆外形。
也可以采用这样的实施方案,其中构成第一线-间隙潜像的第一间隙群和构成第二线-间隙潜像的第二间隙群中的至少任一个间隙群含有在间隙的宽度上不同的多种类型的间隙。
图13A至13G是用于说明根据本发明的第四实施方案的图案形成方法的视图。
更具体地,图13A和13B的每一个是示例在第一图案形成的第一曝光和第二曝光中使用的掩模的一部分的示意性顶视图,并且图13C是部分地示例在进行第一图案形成之后的状态的示意性顶视图。
图13D和13E的每一个是示例在第二图案形成的第一曝光和第二曝光中使用的掩模的一部分的示意性顶视图,图13F是部分地示例进行第二图案形成之后的状态的示意性顶视图。
图13G是部分地示例在进行第一和第二图案形成之后的状态的示意性顶视图。
在根据本发明的第四实施方案的图案形成方法中,以与根据第一实施方案的图案形成方法中相同的方式进行第一图案形成和第二图案形成。
在第一图案形成的第一曝光中,使用图13A中所示的掩模50。这里,掩模50与第一实施方案中使用的图3A中所示的掩模50相同。换言之,在掩模50中,遮光掩模线的宽度与透光间隙的宽度之间的比例为1∶3,但为了方便说明的原因,在图13A中将最终获得的孔图案的间距作为A1,将遮光线的宽度以及相邻的遮光掩模线之间的距离(遮光掩模线的间距)分别作为k·2A1·(1/4)和k·2A1给出。k具有与在第一实施方案中描述的k相同的含义。
在通过第一曝光形成的第一线-间隙潜像(未显示)中,第一线群(未显示)和第一间隙群(未显示)交替排列,并且构成第一间隙群的多个第一间隙的每一个的宽度以及相邻的第一间隙之间的距离(间距)分别对应于构成掩模线群50A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第一间隙的宽度由2A1·(1/4)表达,并且相邻的第一间隙之间的距离由2A1表达。
在第一图案形成的第二曝光中,使用图13B中所示的掩模60。
如图13B中所示,掩模60具有由多个遮光掩模线组成的掩模线群60A和由多个透光间隙组成的掩模间隙群60B以使得掩模线和间隙交替排列。
构成掩模线群60A的多个遮光掩模线的每一个具有由k·2A1·(3/4)表达的宽度。而且,相应的相邻的遮光掩模线之间的距离(遮光掩模线的间距)彼此相等并且由k·2A1表达。
换言之,在掩模60中,遮光掩模线的宽度与透光间隙的宽度之间的比例为3∶1。
在第二曝光中,以其中掩模的遮光掩模线与图13A中所示的掩模50的遮光掩模线成直角的状态使用图13B中所示的掩模60。
在通过第二曝光形成的第二线-间隙潜像(未显示)中,第二线群(未显示)和第二间隙群(未显示)交替排列,并且构成第二间隙群的多个第二间隙的每一个的宽度以及相邻的第二间隙之间的距离(间距)分别对应于构成掩模线群60A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第二间隙的宽度由2A1·(3/4)表达,并且相邻的第二间隙之间的距离由2A1表达。
与第一实施方案类似,同样在根据第四实施方案的图案形成方法的第一图案形成中,通过第一曝光在抗蚀剂膜中形成的第一线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)与通过第二曝光在抗蚀剂膜中形成的第二线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)为正交关系。
在包括这些第一和第二曝光以及与第一实施方案中相同的曝光后烘烤、显影、刻蚀和抗蚀剂膜的移除的第一图案形成中,在基板中形成其中如图13C中所示多个第一孔图案15A在行和列方向排列的第一孔图案群15H(未显示)。
穿过图13D中所示的掩模50进行第二图案形成中的第一曝光。
如图13D中所示,掩模50与图13A中所示的掩模50相同,但在第二图案形成的第一曝光中,在第一图案形成的第一曝光中的图13A中所示的掩模50以下列状态使用:在垂直于遮光掩模线的纵向的方向移动对应于遮光掩模线的间距的1/2的距离(半间距),换言之,由(1/2)·k·2A1表达的距离。
穿过图13E中所示的掩模60进行第二图案形成中的第二曝光。
如图13E中所示,掩模60与图13B中所示的掩模60相同,并且其中设置掩模60的状态也与第一图案形成的第二曝光中的状态相同(参见,图13B)。
因此,与第一实施方案类似,在根据第四实施方案的图案形成方法的第二图案形成中,通过第一曝光在抗蚀剂膜中形成的第一线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)与通过第二曝光在抗蚀剂膜中形成的第二线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)成正交关系。
在包括这些第一和第二曝光和与在第一实施方案中相同的曝光后烘烤、显影、刻蚀和抗蚀剂膜的移除的第二图案形成中,在基板中形成其中如图13F中所示,多个第二孔图案25A在行和列方向排列的第二孔图案群25H。
通过进行上面描述的第一和第二图案形成,如图13G中所示,在基板中形成第一孔图案群15H和第二孔图案群25H。这里,在构成第一孔图案群15H的多个第一孔图案15A和构成第二孔图案群25H的多个第二孔图案25A的全部中,基板的平面方向上的横截面具有椭圆外形。
如上所述,在第二图案形成的第一曝光中,将掩模50在下列状态下使用:在相对于第一图案形成的第一曝光中的状态在垂直于遮光掩模线的纵向的方向上移动对应于遮光掩模线的间距的1/2的距离(半间距),换言之,由(1/2)·k·2A1表达的距离。
因此,形成通过第二图案形成中的显影形成的第二抗蚀剂孔图案群(未显示),以使得构成所述群的多个第二抗蚀剂孔图案(未显示)不对应于基板中的多个第一孔图案15A(换言之,当从顶部看时,多个第二抗蚀剂孔图案的全部不与第一孔图案15A的任一个重叠)。
以这种方式,通过第二图案形成中的刻蚀步骤在基板中形成的所有多个第二孔图案25A均在与在第一图案形成步骤中形成的多个第一孔图案15A的所有位置不同的位置形成。
作为结果,上面定义的多个孔图案15A和25A的中心至中心距离(间距)成为在列方向上相邻的第一孔图案15A与第二孔图案14A之间的距离A1
图14A至14J是用于说明根据本发明的第五实施方案的图案形成方法的图。
更具体地,图14A和14B的每一个是示例在第一图案形成的第一曝光和第二曝光中使用的掩模的一部分的示意性顶视图,并且图14C是部分地示例在进行第一图案形成之后的状态的示意性顶视图。
图14D和14E的每一个是示例在第二图案形成的第一曝光和第二曝光中使用的掩模的一部分的示意性顶视图,并且图14F是部分地示例进行第二图案形成之后的状态的示意性顶视图。
图14G和14H的每一个是示例在第三图案形成的第一曝光和第二曝光中使用的掩模的一部分的示意性顶视图,并且图14I是部分地示例在进行第三图案形成之后的状态的示意性顶视图。
图14J是部分地示例在进行第一至第三图案形成之后的状态的示意性顶视图。
除了将掩模曝光条件改变并且将图案形成的数目变为3之外,在根据本发明的第五实施方案的图案形成方法中,根据第一实施方案的图案形成方法进行第一图案形成、第二图案形成和第三图案形成。
在第一图案形成的第一曝光中,使用图14A中所示的掩模70。
如图14A所示,掩模70具有由多个遮光掩模线组成的掩模线群70A和由多个透光间隙组成的掩模间隙群70B以使得掩模线和间隙交替排列。
构成掩模线群70A的多个遮光掩模线的每一个具有,例如,由k·A2·(1/2)表达的宽度。而且,相应的相邻遮光掩模线之间的距离(遮光掩模线的间距)彼此相等并且由k·A2·(3/2)表达。这里,A2表示最终获得的孔图案的间距。k具有与第一实施方案中描述的k相同的含义。
换言之,在掩模70中,遮光掩模线的宽度与透光间隙的宽度之间的比例为,例如,1∶2。
在通过第一曝光形成的第一线-间隙潜像(未显示)中,第一线群(未显示)和第一间隙群(未显示)交替排列,并且构成第一间隙群的多个第一间隙的每一个的宽度以及相邻的第一间隙之间的距离(间距)分别对应于构成掩模线群70A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第一间隙的宽度由k·A2·(1/2)表达,并且相邻的第一间隙之间的距离由A2·(3/2)表达。
在第一图案形成的第二曝光中,使用图14B中所示的掩模70。这里,在将图14A中所示的掩模70右旋60°的状态下(在其中图14B中所示的掩模70的遮光掩模线与图14A中所示的掩模70的遮光掩模线以60°的交叉角交叉的状态)使用掩模70。
因此,在根据第五实施方案的图案形成方法的第一图案形成中,在第二曝光之后,通过第一曝光在抗蚀剂膜中形成的第一线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)与抗蚀剂膜中形成的第二线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)以60°的交叉角交叉。
在通过第二曝光形成的第二线-间隙潜像(未显示)中,第二线群(未显示)和第二间隙群(未显示)交替排列,并且构成第二间隙群的多个第二间隙的每一个的宽度以及相邻的第二间隙之间的距离(间距)分别对应于构成掩模线群70A的多个遮光掩模线的每一个的宽度以及相邻的遮光掩模线之间的距离。
换言之,第二间隙的宽度例如由k·A2·(1/2)表达,并且相邻的第二间隙之间的距离由A2·(3/2)表达。
在包括这些第一和第二曝光和与第一实施方案中相同的曝光后烘烤、显影、刻蚀和抗蚀剂膜的移除的第一图案形成中,在基板中形成其中如图14C中所示多个第一孔图案16A以等间距排列的第一孔图案群16H(未显示)。
穿过图14D中所示的掩模70进行第二图案形成中的第一曝光。
如图14D中所示,掩模70与图14A中所示的掩模70相同,但在第二图案形成的第一曝光中,在下列状态下使用第一图案形成的第一曝光中的图14A中所示的掩模70:在向离开垂直于遮光掩模线的纵向的方向的一个方向(在下文中,称为“第一方向”)移动对应于遮光掩模线的间距的1/3的距离,换言之,由k·A2·(1/2)表达的距离。
通过图14E中所示的掩模70进行第二图案形成中的第二曝光。
如图14E中所示,掩模70与图14B中所示的掩模70相同,但在第二图案形成的第二曝光中,在下列状态下使用在第一图案形成的第二曝光的图14B中所示的掩模70:在离开垂直于遮光掩模线的纵向的方向的一个方向(在下文中,称为“第二方向”)移动对应于遮光掩模线的间距的1/3的距离,换言之,由k·A2·(1/2)表达的距离。
因此,与上面形成的第一图案类似,同样在所形成的第二图案中,在第二曝光之后,通过第一曝光在抗蚀剂膜中形成的第一线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)与在抗蚀剂膜中形成的第二线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)以60°的交叉角交叉。
在包括这些第一和第二曝光和与第一实施方案中相同的曝光后烘烤、显影、刻蚀和抗蚀剂膜的移除的第二图案形成中,在基板中形成其中如图14F中所示的多个第二孔图案26A以相等间距排列的第二孔图案群26H。
通过图14G中所示的掩模70进行第三图案形成中的第一曝光。
如图14G中所示,掩模70与图14D中所示的掩模70相同,但在第三图案形成的第一曝光中,将在第二图案形成的第一曝光中的图14D中所示的掩模70以在第一方向上移动对应于遮光掩模线的间距的1/3的距离,换言之,由k·A2·(1/2)表达的距离的状态使用。
穿过图14H中所示的掩模70进行第三图案形成中的第二曝光。
如图14H中所示,掩模70与图14E中所示的掩模70相同,但在第三图案形成的第二曝光中,将在第二图案形成的第二曝光中的图14E中所示的掩模70以在第二方向上移动对应于遮光掩模线的间距的1/3的距离,换言之,由k·A2·(1/2)表达的距离的状态使用。
因此,与上面的第一和第二图案形成类似地,同样在第三图案形成中,在第二曝光之后,通过第一曝光在抗蚀剂膜中形成的第一线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)与抗蚀剂膜中形成的第二线-间隙潜像(未显示)中的线方向(也定义为间隙的纵向)以60°的交叉角交叉。
在包括这些第一和第二曝光和与第一实施方案中相同的曝光后烘烤、显影、刻蚀和抗蚀剂膜的移除的第三图案形成中,在基板中形成其中如图14I中所示的多个第三孔图案36A在行和列方向上排列的第三孔图案群36H。
通过进行上面描述的第一至第三图案形成,如图14J中所示,在基板中形成第一孔图案群16H、第二孔图案群26H和第三孔图案群36H。
如上所述,在第二图案形成的第一曝光和第二曝光的每一个中,将掩模70在下列状态下使用:在相对于第一图案形成的第一曝光和第二曝光中的状态在垂直于遮光掩模线的纵向的方向上移动对应于遮光掩模线的间距的1/3的距离,换言之,由k·A2·(1/2)表达的距离。
而且,在第三图案形成的第一曝光和第二曝光的每一个中,将掩模70在相对于第一图案形成的第一曝光和第二曝光中的状态在垂直于遮光掩模线纵向的方向上进一步移动对应于遮光掩模线的间距的1/3的距离,换言之,由(1/2)·k·2A1表达的距离的状态下使用。
因此,形成在第二图案形成中通过显影形成的第二抗蚀剂孔图案群(未显示),以使得构成所述群的多个第二抗蚀剂孔图案(未显示)不对应于基板中的多个第一孔图案16A(换言之,当从顶部看时,多个第二抗蚀剂孔图案的全部不与第一孔图案16A中的任一个重叠)。
类似地,形成在第三图案形成中通过显影形成的第三抗蚀剂孔图案群(未显示),以使得构成所述群的多个第三抗蚀剂孔图案(未显示)不对应于基板中的多个第二孔图案26A(换言之,当从顶部看时,多个第三抗蚀剂孔图案的全部不与第二孔图案26A的任一个重叠)。
以这种方式,通过第二图案形成中的刻蚀步骤在基板中形成的所有多个第二孔图案26A均在与在第一图案形成步骤中形成的多个第一孔图案16A的所有位置不同的位置形成。
而且,通过第三图案形成中的刻蚀步骤在基板中形成的所有多个第三孔图案36A均在与在第二图案形成步骤中形成的多个第二孔图案26A的所有位置不同的位置形成。
作为结果,上面定义的多个孔图案16A、26A和36A的中心至中心距离(间距)成为相邻的第一孔图案16A与第二孔图案26A之间的距离A2
顺便提及,在根据本发明的第五实施方案的图案形成方法中,当从基板中形成的多个孔图案中取出一个任意的孔图案时,所关注的孔图案的中心与另一个相邻的孔图案的中心之间的距离对于与所关注的孔图案相邻的所有其他六个孔图案是相同的。因此,上面定义的多个孔图案16A、26A和36A的中心至中心距离(间距)也是,如图14J中所示,彼此相邻的第一孔图案16A与第三孔图案36A之间的距离A2或彼此相邻的第二孔图案26A与第三孔图案36A之间的距离A2
在根据本发明的第五实施方案的图案形成方法的每个图案形成中,构成第一线-间隙潜像的第一间隙群的多个间隙的宽度彼此相等,并且构成第二线-间隙潜像的第二间隙群的多个间隙的宽度彼此相等。
在根据本发明的第一至第五实施方案的图案形成方法中,对掩模在其类型上没有特别限定,但优选为选自二元掩模(其中透光部分的透射率为0%的掩模)和相移掩模的光掩模,更优选二元掩模。
而且,对于形成第一线-间隙潜像的步骤和形成第二线-间隙潜像的步骤中的曝光,可以采用使用偶极照明的曝光。通过偶极照明曝光通常是其中将光学图像在第一线群的线方向和第二线群的线方向上最优化的曝光。
在每次图案形成的第一曝光和第二曝光中使用的光掩模中,遮光掩模线的宽度与透光间隙的宽度之间的比例根据例如所需形成的孔图案的外形和尺寸和孔图案的间距而适当地改变,但优选为1∶10至10∶1,更优选1∶5至5∶1,再更优选1∶5至1∶1。
在图案形成方法的各个图案形成步骤中,用于第一曝光的掩模和用于第二曝光的掩模可以视为另一个掩模来使用,不仅在由于旋转一个掩模而使所述掩模的形状变得与另一个掩模的形状不吻合时如此,而且在吻合时也如此。
而且,可以使用单个掩模,其中将用于第一曝光的掩模的区域和用于第二曝光的掩模的区域作为不同的区域进行排列。
此外,本发明涉及用于制造电子器件的方法,所述方法包括本发明的图案形成方法,以及通过该制造方法制造的电子器件。
本发明的电子器件适宜地安装在电气电子设备(如家用电器,OA·媒体相关装置、光学装置和通讯装置)中。
下面详细描述在本发明的图案形成方法中使用的化学增幅型抗蚀剂组合物(更具体地,负型抗蚀剂组合物),其含有(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物。
顺便提及,由交联体组成的曝光区域倾向于使得归因于溶胀而难以形成所需的孔,即使当显影液是有机显影液时。由于这个原因,用于在本发明中使用的化学增幅型抗蚀剂组合物优选基本上不含有选自以下各项的交联剂,例如,“能够通过酸的作用与树脂(A)交联以形成交联体的交联剂”和“能够通过酸的作用与另一种交联剂交联以形成交联体的交联剂”(具体地,基于化学增幅型抗蚀剂组合物的全部固体含量,交联剂的含量优选为1摩尔%以下,更优选0.5摩尔%以下,理想地0摩尔%,换言之,不含有交联剂)。
[1](A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂
在本发明的抗蚀剂组合物中使用的能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂包括例如在树脂的主链和侧链的任一个或两者上具有能够通过酸的作用分解以产生极性基的基团(在下文中有时称为“酸分解性基团”)的树脂(在下文中有时称为“酸分解性树脂”或“树脂(A)”)。
酸分解性基团优选具有其中极性基团被能够通过酸的作用分解和离去的基团保护的结构。
对极性基团没有特别限制,条件是它是能够在含有机溶剂的显影液中难溶或不溶的基团,但其实例包括酚羟基、酸性基团(能够在传统用作抗蚀剂用显影液的2.38质量%氢氧化四甲铵水溶液中解离的基团)如羧基、氟化的醇基(优选六氟异丙醇基)、磺酸基、亚磺酰胺基、磺酰亚胺基、(烷基磺酰基)(烷基羰基)亚甲基、(烷基磺酰基)(烷基羰基)亚胺基、双(烷基羰基)亚甲基、双(烷基羰基)亚胺基、双(烷基磺酰基)亚甲基、双(烷基磺酰基)亚胺基、三(烷基羰基)亚甲基和三(烷基磺酰基)亚甲基,以及醇羟基。
醇羟基是连接至烃基的羟基并且表示除了直接连接在芳族环上的羟基(酚羟基)之外的羟基,并且羟基不包括被吸电子基团如氟原子在α位取代的脂族醇(例如,氟化醇基(例如,六氟异丙醇))。醇羟基优选为具有12至20的pKa的羟基。
优选的极性基团包括羧基、氟化的醇基(优选六氟异丙醇基)和磺酸基。
优选作为酸分解性基团的基团是这样的基团,其中上述基团的氢原子被能够通过酸的作用而离去的基团取代。
能够通过酸的作用而离去的基团的实例包括-C(R36)(R37)(R38)、-C(R36)(R37)(OR39)和-C(R01)(R02)(OR39)。
在该式中,R36至R39各自独立地表示烷基、环烷基、芳基、芳烷基或烯基。R36和R37可以彼此结合以形成环。
R01和R02各自独立地表示氢原子、烷基、环烷基、芳基、芳烷基或烯基。
R36至R39,R01和R02的烷基优选为具有1至8的碳数的烷基,并且其实例包括甲基、乙基、丙基、正丁基、仲丁基、己基和辛基。
R36至R39,R01和R02的环烷基可以是单环或多环的。单环环烷基优选为具有3至8的碳数的环烷基,并且其实例包括环丙基、环丁基、环戊基、环己基和环辛基。多环环烷基优选为具有6至20的碳数的环烷基,并且其实例包括金刚烷基、降冰片基、异冰片基、莰基、二环戊基、α-蒎基(α-pinel group)、三环癸基、四环十二烷基和雄甾烷基。附带地,环烷基中的至少一个碳原子可以被杂原子如氧原子取代。
R36至R39,R01和R02的芳基优选为具有6至10的碳数的芳基,并且其实例包括苯基、萘基和蒽基。
R36至R39,R01和R02的芳烷基优选为具有7至12的碳数的芳烷基,并且其实例包括苄基、苯乙基和萘基甲基。
R36至R39,R01和R02的烯基优选为具有2至8的碳数的烯基,并且其实例包括乙烯基、烯丙基、丁烯基和环己烯基。
通过组合R36和R37形成的环优选为环烷基(单环或多环的)。该环烷基优选为单环环烷基如环戊基和环己基,或多环环烷基如降冰片基、四环癸基、四环十二烷基和金刚烷基,更优选具有5至6的碳数的单环环烷基,再更优选碳数为5的单环环烷基。
酸分解性基团优选为枯基酯基、烯醇酯基、缩醛酯基、叔烷基酯基等,更优选叔烷基酯基。
树脂(A)优选含具有酸分解性基团的重复单元,并且具有酸分解性基团的重复单元优选是由下式(AI)表示的重复单元:
Figure BDA0000439671320000411
在式(AI)中,Xa1表示氢原子,可以具有取代基的甲基,或由-CH2-R9表示的基团。R9表示羟基或单价有机基团。单价有机基团的实例包括具有5以下的碳数的烷基,以及具有5以下的碳数的酰基。在这些中,具有3以下的碳数的烷基是优选的,并且甲基是更优选的。Xa1优选为氢原子、甲基、三氟甲基或羟甲基。
T表示单键或二价连接基团。
Rx1至Rx3的每一个独立地表示烷基(直链的或支链的)或环烷基(单环的或多环的)。
Rx1至Rx3中的两个成员可以组合以形成环烷基(单环的或多环的)。
T的二价连接基团的实例包括亚烷基、-COO-Rt-基团和-O-Rt-基团。在该式中,Rt表示亚烷基或亚环烷基。
T优选为单键或-COO-Rt-基,更优选单键。Rt优选为具有1至5的碳数的亚烷基,更优选-CH2-基团、-(CH2)2-基团或-(CH2)3-基团。
Rx1至Rx3的烷基优选为具有1至4的碳数的烷基,如甲基、乙基、正丙基、异丙基、正丁基、异丁基和叔丁基。
Rx1至Rx3的环烷基优选为单环环烷基如环戊基和环己基,或多环环烷基如降冰片基、四环癸基、四环十二烷基和金刚烷基。
通过组合Rx1至Rx3中的两个成员而形成的环烷基优选为单环环烷基如环戊基和环己基,或多环环烷基如降冰片基、四环癸基、四环十二烷基和金刚烷基,更优选为具有5至6的碳数的单环环烷基。
其中Rx1是甲基或乙基并且Rx2和Rx3组合以形成上述环烷基的实施方案也是优选的。
首先,Rx1至Rx3的每一个独立地优选为具有1至4的碳数的直链或支链烷基,并且其实例包括甲基、乙基、正丙基、异丙基、正丁基、异丁基和叔丁基。
在Rx1至Rx3的每一个独立地是直链或支链烷基的情况下,Rx1优选为甲基、乙基、正丙基或正丁基,更优选甲基或乙基,再更优选甲基。Rx2优选为甲基、乙基、正丙基、异丙基或正丁基,更优选甲基或乙基,再更优选甲基。Rx3优选为甲基、乙基、正丙基、异丙基、正丁基、异丁基或叔丁基,更优选甲基、乙基、异丙基或异丁基,再更优选甲基、乙基或异丙基。
在其中T是单键并且同时,Rx1至Rx3的每一个独立地是直链的或支链的烷基的情况下(在这种情况下,Rx1至Rx3中的两个成员不组合以形成环烷基),所述图案形成方法可以确保粗糙度性能、局部图案尺寸的均匀性并且曝光范围是更出色的,并且可以更加抑制通过曝光形成的图案部分的膜厚度上的减小,即通常所说的膜损失。
以上基团的每一个可以具有取代基,并且取代基的实例包括烷基(具有1至4的碳数)、卤素原子、羟基、烷氧基(具有1至4的碳数)、羧基和烷氧基羰基(具有2至6的碳数)。碳数优选为8以下。首先,从更加提高酸分解之前和之后之间在含有机溶剂的显影液中的溶解对比度的角度,取代基优选为没有杂原子如氧原子、氮原子和硫原子的基团(例如,优选不是被羟基取代的烷基),更优选仅由氢原子和碳原子组成的基团,再更优选直链的或支链的烷基或环烷基。
具有酸分解性基团的重复单元的特别优选实例在下面举例说明,但本发明不限于此。
在具体实例中,Rx和Xa1的每一个表示氢原子、CH3、CF3或CH2OH,并且Rxa和Rxb的每一个表示具有1至4的碳数的烷基。Z表示取代基,并且当存在多个Z时,每个Z可以是与每个另外的Z相同的或不同的。p表示0或正整数。Z的具体实例和优选实例与可以在如Rx1至Rx3的每一个基团上取代的取代基的具体实例和优选实例是相同的。
Figure BDA0000439671320000451
Figure BDA0000439671320000471
还优选的是具有酸分解性基团的重复单元是由下式(I)表示的能够通过酸的作用分解以产生羧基的重复单元,并且由于该构造,所述图案形成方法可以确保粗糙度性能如线宽粗糙度,局部图案尺寸的均匀性,并且曝光范围是更出色的,并且更加抑制通过显影形成的图案部分的膜厚度上的减小,即通常所说的膜损失。
Figure BDA0000439671320000472
在该式中,Xa表示氢原子、烷基、氰基或卤素原子。
Ry1至Ry3的每一个独立地表示烷基或环烷基,并且Ry1至Ry3中的两个成员可以组合以形成环。
Z表示具有可以具有杂原子作为环成员的多环烃结构的(n+1)价连接基团。
L1和L2的每一个独立地表示单键或二价连接基团。
n表示1至3的整数。
当n是2或3时,每个L2、每个Ry1、每个Ry2和每个Ry3可以分别与每个其他的L2、Ry1、Ry2和Ry3相同或不同。
Xa的烷基可以具有取代基,并且取代基的实例包括羟基和卤素原子(优选地氟原子)。
Xa的烷基优选为具有1至4的碳数的烷基,并且其实例包括甲基、乙基、丙基、羟甲基和三氟甲基,并且甲基是优选的。
Xa优选为氢原子或甲基。
Ry1至Ry3的烷基可以是直链或支链的并且优选为具有1至4的碳数的烷基,如甲基、乙基、正丙基、异丙基、正丁基、异丁基和叔丁基。
Ry1至Ry3的环烷基优选为单环环烷基如环戊基和环己基,或多环烷基如降冰片基、四环癸基、四环十二烷基和金刚烷基。
通过组合Ry1至Ry3中的两个成员形成的环优选为单环烃环如环戊烷环和环己烷环,或多环烃环如降冰片烷环、四环癸烷环、四环十二烷环和金刚烷环,更优选具有5至6的碳数的单环烃环。
Ry1至Ry3的每一个独立地为优选烷基,更优选具有1至4的碳数的直链或支链的烷基。而且,作为Ry1至Ry3的直链或支链的烷基的碳数的总和优选为5以下。
Ry1至Ry3的每一个可以还具有取代基,并且取代基的实例包括烷基(具有1至4的碳数)、环烷基(具有3至8的碳数)、卤素原子、烷氧基(具有1至4的碳数)、羧基和烷氧基羰基(具有2至6的碳数)。碳数优选为8以下。首先,从更加提高在酸分解之前和之后之间在含有机溶剂的显影液中的溶解对比度的角度,取代基优选为没有杂原子如氧原子、氮原子和硫原子的基团(例如,优选不是被羟基取代的烷基),更优选仅由氢原子和碳原子组成的基团,再更优选直链的或支链的烷基或环烷基。
具有Z的多环烃结构的连接基团包括集合环烃环基和交联环烃环基,并且这些基团分别包括通过从集合环烃环移除任意(n+1)个氢原子获得的基团和从交联环状烃环移除任意(n+1)个氢原子获得的基团。
集合环烃环基的实例包括双环己烷环基和全氢萘环基。交联环烃环基的实例包括双环烃环基如蒎烷环基、莰烷环基、降蒎烷环基、降冰片烷环基和双环辛烷环基(例如,双环[2.2.2]辛烷环基、双环[3.2.1]辛烷环基)、三环烃环基如高布雷烷(homobledane)环基、金刚烷环基、三环[5.2.1.02,6]癸烷环基和三环[4.3.1.12,5]十一烷环基,以及四环烃环基如四环[4.4.0.12,5.17,10]十二烷环基和全氢-1,4-亚甲基-5,8-亚甲基萘环基。交联环烃环基还包括稠合环烃环基,例如,通过稠合多个5-至8元环烷烃环基获得的稠合环基,如全氢萘(萘烷)环基、全氢蒽环基、全氢菲环基、全氢苊环基、全氢芴环基、全氢茚环基和全氢非那烯(phenalene)环基。
交联环烃环基的优选实例包括降冰片烷环基、金刚烷环基、双环辛烷环基和三环[5,2,1,02,6]癸烷环基。在这些交联环状烃环基中,降冰片烷环基和金刚烷环基是更优选的。
具有由Z表示的多环烃结构的连接基团可以具有取代基。可以在Z上取代的取代基的实例包括取代基如烷基、羟基、氰基、酮基(=O)、酰氧基、-COR、-COOR、-CON(R)2、-SO2R、-SO3R和-SO2N(R)2,其中R表示氢原子、烷基、环烷基或芳基。
作为Z可以具有的取代基的烷基、烷基羰基、酰氧基、-COR、-COOR、-CON(R)2、-SO2R、-SO3R和-SO2N(R)2可以还具有取代基,并且该取代基包括卤素原子(优选地,氟原子)。
在具有由Z表示的多环烃结构的连接基团中,构成多环的碳(贡献至环形成的碳)可以是羰基碳。而且,如上所述,多环可以具有杂原子如氧原子和硫原子作为环成员。
由L1和L2表示的连接基团的实例包括-COO-、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-S-、-SO-、-SO2-、亚烷基(优选具有1至6的碳数)、亚环烷基(优选具有3至10的碳数)、亚烯基(优选具有2至6的碳数)和通过组合多个这些成员形成的连接基团,并且具有12以下的总碳数的连接基团是优选的。
L1优选为单键、亚烷基、-COO-、-OCO-、-CONH-、-NHCO-、-亚烷基-COO-、-亚烷基-OCO-、-亚烷基-CONH-、-亚烷基-NHCO-、-CO-、-O-、-SO2-或-亚烷基-O-,更优选单键、亚烷基、-亚烷基-COO-或-亚烷基-O-。
L2优选为单键、亚烷基、-COO-、-OCO-、-CONH-、-NHCO-、-COO-亚烷基-、-OCO-亚烷基-、-CONH-亚烷基-、-NHCO-亚烷基-、-CO-、-O-、-SO2-、-O-亚烷基-或-O-亚环烷基-,更优选单键、亚烷基、-COO-亚烷基-、-O-亚烷基-或-O-亚环烷基-。
在上面的描述中,左端的键“-”意指连接至L1中的主链侧上的酯键并且连接至L2中的Z,而右端的键“-”意指连接至L1中的Z并且连接至L2中与由(Ry1)(Ry2)(Ry3)C-表示的基团连接的酯键。
顺便提及,L1和L2可以连接至Z中构成多环的相同的原子。
n优选为1或2,更优选1。
在下面示例由式(I)表示的重复单元的具体实例,但本发明不限于此。在具体实例中,Xa表示氢原子、烷基、氰基或卤素原子。
Figure BDA0000439671320000511
Figure BDA0000439671320000521
对于树脂(A)的含有酸分解性基团的重复单元,可以使用一种类型,也可以组合使用两种以上类型。
在本发明中,树脂(A)优选以基于树脂中所有重复单元50摩尔%以上的量(在含有多种类型的重复单元的情况下,作为总量)含有带有酸分解性基团的重复单元,其中通过能够通过酸的作用分解以产生极性基的基团(酸分解性基团)的分解产生的消除材料的分子量(在产生多种类型的消除材料的情况下,分子量按摩尔分数的重均值(在下文中,有时称为“摩尔平均值”))为140以下。在形成负型图像的情况下,曝光区域保持为图案并且因此,通过使得消除材料具有小的分子量,可以避免图案部分的膜厚度上的减小。
在本发明中,“通过酸分解性基团的分解产生的消除材料”是指对应于能够通过酸的作用分解和离去并且通过酸的作用分解和消除的基团的材料。例如,在后面描述的重复单元(α)(在后面示例的实例中,最左上方的重复单元)的情况下,消除材料是指通过叔丁基部分的分解产生的烷烃(H2C=C(CH3)2)。
在本发明中,从防止图案部分的膜厚度减小的角度,通过酸分解性基团的分解产生的消除材料的分子量(在产生多种类型的消除材料的情况下,摩尔平均值)优选为100以下。
对通过酸分解性基团的分解而产生的消除材料的分子量的下限(在产生多种类型的消除材料的情况下,其平均值)没有特别地限定,但从使得酸分解性基团展现其功能的角度,下限优选为45以上,更优选55以上。
在本发明中,考虑到更容易保持作为曝光区域的图案部分的膜厚度,基于树脂中的所有重复单元,更优选以60摩尔%以上、再更优选65摩尔%以上,还再更优选70摩尔%以上的量(在含有多种类型的重复单元的情况下,作为总和)含有其中通过酸分解性基团的分解产生的消除材料的分子量为140以下的含有酸分解性基团的重复单元。对上限不特别地限定,但优选为90摩尔%以下,更优选85摩尔%以下。
下面示例其中通过酸分解性基团的分解而产生的消除材料的分子量为140以下的含有酸分解性基团的重复单元的具体实例,但本发明不限于此。
在具体实例中,Xa1表示氢原子、CH3、CF3或CH2OH。
Figure BDA0000439671320000541
重复单元(α)
消除材料的 消除材料的 消除材料的 消除材料的
分子量:56 分子量:98 分子量:70 分子量:84
Figure BDA0000439671320000542
消除材料的 消除材料的 消除材料的 消除材料的  消除材料的
分子量:82 分子量:96 分子量:96 分子量:124 分子量:138
基于树脂(A)中的所有重复单元,作为具有酸分解性基团的重复单元的总和的含量优选为20摩尔%以上,更优选30摩尔%以上,再更优选45摩尔%以上,还再更优选50摩尔%以上。
而且,基于树脂(A)中的所有重复单元,作为具有酸分解性基团的重复单元的总和的含量优选为90摩尔%以下,更优选85摩尔%以下。
在其中具有酸分解性基团的重复单元是由式(AI)表示的重复单元并且同时,特别是,Rx1至Rx3的每一个独立地为直链的或支链的烷基的情况下,基于树脂(A)的所有重复单元,由式(AI)表示的重复单元的含量优选为45摩尔%以上,更优选50摩尔%以上,再更优选55摩尔%以上。从形成好的图案的角度,上限为优选90摩尔%以下,更优选85摩尔%以下。在以上范围内,所述图案形成方法可以确保粗糙度性能、局部图案尺寸的均匀性,并且曝光范围更出色,并且可以更加抑制通过曝光形成的图案部分的膜厚度减小,即通常所说的膜损失。
树脂(A)还可以含有具有内酯结构的重复单元。
作为内酯结构,可以使用任何结构,只要它具有内酯结构即可,但5-至7元环内酯结构是优选的,并且另一个环结构与其稠合以形成双环或螺环结构的5-至7元环内酯结构是优选的。更优选的是含具有由下式(LC1-1)至(LC1-17)中的任一个表示的内酯结构的重复单元。内酯结构可以直接连接至主链。在这些内酯结构中,(LC1-1)、(LC1-4)、(LC1-5)、(LC1-6)、(LC1-13)、(LC1-14)和(LC1-17)是优选的,并且(LC1-4)的内酯结构是更优选的。依靠使用这种具体的内酯结构,LWR和显影缺陷改善。
Figure BDA0000439671320000551
内酯结构部分可以具有也可以不具有取代基(Rb2)。取代基(Rb2)的优选实例包括具有1至8的碳数的烷基、具有4至7的碳数的环烷基、具有1至8的碳数的烷氧基、具有2至8的碳数的烷氧基羰基、羧基、卤素原子、羟基、氰基和酸分解性基团。在这些中,具有1至4的碳数的烷基、氰基和酸分解性基团是更优选的。n2表示0至4的整数。当n2是2以上时,每个取代基(Rb2)可以与每个其他的取代基(Rb2)相同或不同并且同样,多个取代基(Rb2)可以组合在一起以形成环。
具有内酯基的重复单元通常具有旋光异构体,但可以使用任何旋光异构体。可以单独使用一种旋光异构体,也可以使用多种旋光异构体的混合物。在主要使用一种旋光异构体的情况下,其光学纯度(ee)优选为90%以上,更优选95%以上。
含有内酯结构的重复单元优选为由下式(AII)表示的重复单元:
Figure BDA0000439671320000561
在式(AII)中,Rb0表示氢原子、卤素原子或可以具有取代基的烷基(优选具有1至4的碳数)。
Rb0的烷基可以具有的取代基的优选实例包括羟基和卤素原子。Rb0的卤素原子包括氟原子、氯原子、溴原子和碘原子。Rb0优选为氢原子、甲基、羟甲基或三氟甲基,更优选氢原子或甲基。
Ab表示单键、亚烷基、具有单环或多环环烷基结构的二价连接基团、醚键、酯键、羰基,或通过组合这些成员形成的二价连接基团。Ab优选为单键或由-Ab1-CO2-表示的二价连接基团。
Ab1是直链或支链亚烷基或单环或多环亚环烷基,并且优选为亚甲基、亚乙基、亚环己基、亚金刚烷基或亚降冰片基。
V表示具有内酯结构的基团并且具体地表示,例如,具有由式(LC1-1)至(LC1-17)中的任一项表示的结构的基团。
在其中树脂(A)含具有内酯结构的重复单元的情况下,基于树脂(A)中的所有重复单元,具有内酯结构的重复单元的含量优选为0.5至80摩尔%,更优选1至65摩尔%,再更优选5至60摩尔%,还再更优选3至50摩尔%,并且最优选10至50摩尔%。
对于具有内酯结构的重复单元,可以使用一种类型,也可以组合使用两种以上的类型。
具有内酯结构的重复单元的具体实例示例在下面,但本发明不限于此。在下式中,Rx表示H、CH3、CH2OH或CF3
Figure BDA0000439671320000571
树脂(A)优选含具有羟基或氰基的重复单元。由于该重复单元,对基板的粘合性和对显影液的亲和性提高。具有羟基或氰基的重复单元优选为具有被羟基或氰基取代的脂环烃结构的重复单元并且优选不具有酸分解性基团。
而且,具有被羟基或氰基取代的脂环烃结构的重复单元优选与由式(AII)表示的重复单元不同。
被羟基或氰基取代的脂环烃结构中的脂环烃结构优选为金刚烷基、双金刚烷基(diamantyl group)或降冰片基。被羟基或氰基取代的脂环烃结构优选为由下式(VIIa)至(VIId)表示的部分结构:
在式(VIIa)至(VIIc)中,R2c至R4c各自独立地表示氢原子、羟基或氰基,条件是R2c至R4c中的至少一个表示羟基或氰基。其中R2c至R4c中的一个或两个成员是羟基并且余下的是氢原子的结构是优选的。在式(VIIa)中,更优选的是R2c至R4c中的两个成员是羟基并且余下的是氢原子。
具有由式(VIIa)至(VIId)表示的部分结构的重复单元包括由下式(AIIa)至(AIId)表示的重复单元:
Figure BDA0000439671320000582
在式(AIIa)至(AIId)中,R1c表示氢原子、甲基、三氟甲基或羟甲基。
R2c至R4c具有与式(VIIa)至(VIIc)中的R2c至R4c相同的含义。
树脂(A)可以含有也可以不含有具有羟基或氰基的重复单元,但在其中树脂(A)含具有羟基或氰基的重复单元的情况下,基于树脂(A)中的所有重复单元,具有羟基或氰基的重复单元的含量优选为1至50摩尔%,更优选1至45摩尔%,再更优选3至45摩尔%。
具有羟基或氰基的重复单元的具体实例如下所示,但本发明不限于此。
树脂(A)可以含具有酸基的重复单元。酸基包括羧基、磺酰胺基、磺酰亚胺基、双磺酰亚胺基和在α-位被吸电子基团取代的脂族醇(例如,六氟异丙醇基),并且优选的是含有具有羧基的重复单元。依靠含具有酸基的重复单元,在形成接触孔的用途中,分辨率增加。对于具有酸基的重复单元,优选的是:其中酸基直接连接至树脂的主链的全部重复单元,如丙烯酸或甲基丙烯酸的重复单元,其中酸基通过连接基团连接至树脂的主链的重复单元,以及其中通过在聚合时使用含酸基的聚合引发剂或链转移剂而将酸基引入至聚合物链的末端的重复单元。连接基团可以具有单环或多环环状烃结构。尤其是,丙烯酸或甲基丙烯酸的重复单元是优选的。
树脂(A)可以含有也可以不含有具有酸基的重复单元,但在含有重复单元的情况下,基于树脂(A)中的所有重复单元,具有酸基的重复单元的含量优选为10摩尔%以下,更优选5摩尔%以下。在其中树脂(A)含具有酸基的重复单元的情况下,树脂(A)中的含酸基重复单元的含量通常为1摩尔%以上。
下面示例具有酸基的重复单元的具体实例,但本发明不限于此。
在具体实例中,Rx表示H、CH3、CH2OH或CF3
用于在本发明中使用的树脂(A)可以还含有这样的重复单元,该重复单元具有无极性基团(例如,上述酸基、羟基或氰基)的脂环烃结构并且不展现出酸分解性。由于该重复单元,在浸渍曝光时可以减少低分子组分从抗蚀膜至浸渍液的溶解,并且此外,可以适当地调节在使用含有机溶剂的显影液显影时的树脂的溶解性。这种重复单元包括由式(IV)表示的重复单元:
在式(IV)中,R5表示具有至少一个环结构并且不具有极性基团的烃基。
Ra表示氢原子、烷基或-CH2-O-Ra2基团,其中Ra2表示氢原子、烷基或酰基。Ra优选为氢原子、甲基、羟甲基或三氟甲基,更优选氢原子或甲基。
R5具有的环状结构包括单环烃基和多环烃基。单环烃基的实例包括具有3至12的碳数的环烷基,如环戊基、环己基、环庚基和环辛基,以及具有3至12的碳数的环烯基,如环己烯基。单环烃基优选为具有3至7的碳数的单环烃基,更优选环戊基或环己基。
多环烃基包括集合环烃基和交联环烃基。集合环烃基的实例包括联环己基和全氢萘基。交联环烃环的实例包括双环烃环如蒎烷环、莰烷环、降蒎烷环、降冰片烷环和双环辛烷环(例如,双环[2.2.2]辛烷环、双环[3.2.1]辛烷环);三环烃环如高布雷烷环、金刚烷环、三环[5.2.1.02,6]癸烷环和三环[4.3.1.12,5]十一烷环;以及四环烃环如四环[4.4.0.12,5.17,10]十二烷环和全氢-1,4-亚甲基-5,8-亚甲基萘环。交联环烃环还包括稠合的环烃环,例如,通过稠合多个5至8元环烷烃环形成的稠合环,如全氢萘(萘烷)环、全氢蒽环、全氢菲环、全氢苊环、全氢芴环、全氢茚环和全氢非那烯环。
交联环烃环的优选实例包括:降冰片基、金刚烷基、双环辛基和三环[5,2,1,02,6]癸基。在这些交联环烃环中,降冰片基和金刚烷基是更优选的。
这些脂环烃基可以具有取代基,并且优选的取代基的实例包括:卤素原子、烷基、氢原子被取代的羟基和氢原子被取代的氨基。卤素原子优选为溴原子、氯原子或氟原子,并且烷基优选为甲基、乙基、丁基或叔丁基。该烷基还可以具有取代基,并且可以在烷基上进一步取代的取代基包括卤素原子、烷基、氢原子被取代的羟基以及氢原子被取代的氨基。
针对氢原子的取代基的实例包括:烷基、环烷基、芳烷基、取代的甲基、取代的乙基、烷氧羰基和芳烷氧基羰基。烷基优选为具有1至4的碳数的烷基;取代的甲基优选为甲氧基甲基、甲氧基硫代甲基、苄基氧基甲基、叔丁氧基甲基或2-甲氧基乙氧基甲基;取代的乙基优选为1-乙氧基乙基或1-甲基-1-甲氧基乙基;酰基优选为具有1至6的碳数的脂族酰基,如甲酰基、乙酰基、丙酰基、丁酰基、异丁酰基、戊酰基和特戊酰基;并且烷氧羰基优选是具有1至4的碳数的烷氧基羰基。
树脂(A)可以含有也可以不含有具有无极性基团的脂环烃结构并且不展现酸分解性的重复单元,但在含有该重复单元的情况下,基于树脂(A)中的全部重复单元,其含量优选为1至40摩尔%,更优选1至20摩尔%。
在下面给出具有无极性基团的脂环烃结构并且不表现出酸分解性的重复单元的具体实例,但是本发明不限于此。在所述式中,Ra表示H、CH3、CH2OH或CF3
Figure BDA0000439671320000621
在本发明的组合物中使用的树脂(A)除了上述重复结构单元外还可以含有不同重复结构单元,目的在于:控制耐干蚀性,对于标准显影液的适用性,对基板的附着性,抗蚀剂外形和抗蚀剂通常需要的性质,如分辨率、耐热性和灵敏度。
这种重复结构单元的实例包括,但是不限于,对应于下面描述的单体的重复结构单元。
借助于这种重复结构单元,可以精细地控制在本发明的组合物中使用的树脂所需的性能,尤其是:
(1)对于涂布溶剂的溶解性,
(2)成膜性(玻璃化转变点),
(3)碱可显影性,
(4)膜损耗(亲水、疏水或碱溶性基团的选择),
(5)未曝光区对基板的附着性,
(6)耐干蚀性等。
单体的实例包括具有一个可加成聚合不饱和键的化合物,所述化合物选自丙烯酸酯、甲基丙烯酸酯、丙烯酰胺、甲基丙烯酰胺、烯丙基化合物、乙烯基醚和乙烯基酯。
除这些之外,可以将可与对应于上述多种重复结构单元的单体共聚的可加成聚合不饱和化合物共聚。
在用于在本发明的组合物中使用的树脂(A)中,适当地设定所含有的相应重复结构单元的摩尔比以控制抗蚀剂的耐干蚀性,对于标准显影液的适用性,对基板的附着性,抗蚀剂外形和抗蚀剂通常所需的性能,如分辨率、耐热性和灵敏度。
用于在本发明中使用的树脂(A)的形式可以是无规型、嵌段型、梳型和星型中的任一种。树脂(A)可以例如通过对应于相应的结构的不饱和单体的自由基、阳离子或阴离子聚合合成。还可以通过聚合对应于各自结构的前体的不饱和单体并且之后进行聚合物反应而获得目标树脂。
在其中使用本发明的组合物用于ArF曝光的情况下,考虑到对ArF光的透过性,用于在本发明的组合物中使用的树脂(A)优选基本上不具有芳族环(具体地,树脂中的含有芳族基团的重复单元的比例优选为5摩尔%以下,更优选3摩尔%以下,并且理想地0摩尔%,换言之,树脂不具有芳族基团)。树脂(A)优选具有单环或多环脂环烃结构。
而且,在其中本发明的组合物含有后面描述的树脂(E)的情况下,考虑到与树脂(E)的相容性,树脂(A)优选不含有氟原子并且不含有硅原子。
用于在本发明的组合物中使用的树脂(A)优选为其中所有重复单元由(甲基)丙烯酸酯系重复单元组成的树脂。在这种情况下,所有重复单元可以是甲基丙烯酸酯系重复单元,所有重复单元可以是丙烯酸酯系重复单元,或者所有重复单元可以由甲基丙烯酸酯系重复单元和丙烯酸酯系重复单元组成,但基于所有重复单元,丙烯酸酯系重复单元的含量优选为50摩尔%以下。还优选的是树脂是含有以下各项的共聚物:20至50摩尔%的含有酸分解性基团的(甲基)丙烯酸酯系重复单元,20至50摩尔%的含有内酯基的(甲基)丙烯酸酯系重复单元,5至30摩尔%的具有被羟基或氰基取代的脂环烃结构的(甲基)丙烯酸酯系重复单元,以及0至20摩尔%的其他(甲基)丙烯酸酯系重复单元。
在将本发明的组合物用KrF准分子激光、电子束、X射线或50nm以下波长的高能束(例如,EUV)照射的情况下,树脂(A)优选还含有羟基苯乙烯系重复单元。更优选的是含有羟基苯乙烯系重复单元、通过酸分解性基团保护的羟基苯乙烯系重复单元以及可酸解重复单元如(甲基)丙烯酸叔烷基酯。
具有酸分解性基团的羟基苯乙烯系重复单元的优选实例包括由叔丁氧基羰基氧基苯乙烯、1-烷氧基乙氧基苯乙烯和(甲基)丙烯酸叔烷基酯组成的重复单元。由(甲基)丙烯酸2-烷基-2-金刚烷基酯和(甲基)丙烯酸二烷基(1-金刚烷基)甲基酯组成的重复单元是更优选的。
用于在本发明中使用的树脂(A)可以通过常规方法合成(例如,自由基聚合)。一般合成方法的实例包括将单体物种和引发剂溶解在溶剂中并加热溶液从而进行聚合的分批聚合法,以及在1至10小时内将含有单体物种和引发剂的溶液滴加至加热的溶剂中的滴加聚合法。滴加聚合方法是优选的。反应溶剂的实例包括四氢呋喃、1,4-二烷、醚如二异丙基醚;酮如甲基乙基酮和甲基异丁酮;酯溶剂如乙酸乙酯;酰胺溶剂如二甲基甲酰胺和二甲基乙酰胺;以及后述的能够溶解本发明的组合物的溶剂,如丙二醇单甲醚乙酸酯、丙二醇单甲醚和环己酮。更优选使用与在本发明的感光性组合物中所使用的溶剂相同的溶剂进行聚合。通过使用相同的溶剂,可以抑制在储存过程中产生颗粒。
聚合反应优选在惰性气体气氛如氮或氩中进行。对于聚合引发剂,使用可商购的自由基引发剂(例如,偶氮系引发剂、过氧化物)引发聚合。自由基引发剂优选为偶氮系引发剂,并且具有酯基、氰基或羧基的偶氮系引发剂是优选的。引发剂的优选实例包括偶氮二异丁腈、偶氮双二甲基戊腈和2,2′-偶氮双(2-甲基丙酸)二甲酯。如果需要,另外地或逐份地加入引发剂。在反应完成之后,将反应溶液倒入溶剂中,并且通过粉末、固体或其他回收方法收集所需的聚合物。反应中的浓度为5至50质量%,优选10至30质量%,并且反应温度通常为10至150℃,优选30至120℃,更优选60至100℃。
在反应完成之后,允许反应溶液冷却至室温并纯化。纯化可以通过常规方法进行,例如,施加水洗涤或将其与合适的溶剂组合以移除残留的单体或低聚物组分的液体-液体萃取法;在溶液状态下的纯化方法,如萃取并仅移除分子量不高于特定值的聚合物的超滤;将树脂溶液滴加至不良溶剂以使树脂在不良溶剂中固化并从而移除残留单体等的再沉淀法;以及在固态下的纯化方法,如在浆液通过过滤分离之后将树脂浆液用不良溶剂洗涤。例如,通过将反应溶液与树脂在其中难溶或不溶的溶剂(不良溶剂)接触而使树脂作为固体沉淀,所述溶剂的以体积计的量为反应溶液的10倍以下,优选10至5倍。
在从聚合物溶液沉淀或再沉淀的操作中所使用的溶剂(沉淀或再沉淀溶剂)只要对聚合物是不良溶剂就足够了,并且可以使用的溶剂可以根据聚合物的种类适当地选自:烃、卤代烃、硝基化合物、醚、酮、酯、碳酸酯、醇、羧酸、水、含有这种溶剂的混合溶剂等。在这些溶剂中,作为沉淀或再沉淀溶剂,至少含有醇(特别是,甲醇等)或水的溶剂是优选的。
可以通过考虑效率、产率等适当地选择所使用的沉淀或再沉淀溶剂的量,但是通常,所使用的量为相对于每100质量份聚合物溶液为100至10,000质量份,优选200至2,000质量份,更优选300至1,000质量份。
可以通过考虑效率或可操作性等适当地选择沉淀或再沉淀的温度,但是它通常为大约0至50℃,优选在室温附近(例如,大约20至35℃)。可以使用通常采用的混合容器如搅拌槽通过已知方法如间歇系统和连续系统进行沉淀或再沉淀操作。
通常对沉淀的或再沉淀的聚合物进行通常采用的固-液分离如过滤和离心,之后干燥并使用。使用耐溶剂过滤器元件优选在压力下进行过滤。干燥在大气压或减压下(优选在减压下)在大约30至100℃,优选在大约30至50℃进行。
附带地,在将树脂沉淀并分离之后,可以将树脂再次溶解在溶剂中并之后使其与所述树脂在其中难溶或不溶的溶剂接触。换言之,可以使用包括以下步骤的方法:在自由基聚合反应完成之后,使聚合物与所述聚合物在其中难溶或不溶的溶剂接触,以沉淀树脂(步骤a);将树脂从溶液中分离(步骤b);将树脂重新溶解在溶剂中以制备树脂溶液A(步骤c);使树脂溶液A与所述树脂在其中难溶或不溶的溶剂接触,并且所述溶剂以体积计的量少于树脂溶液A的10倍(优选5倍以下),从而沉淀树脂固体(步骤d);以及将所沉淀的树脂分离(步骤e)。
通过GPC方法,以聚苯乙烯计,用于在本发明中使用的树脂(A)的重均分子量优选为1,000至200,000,更优选2,000至20,000,再更优选3,000至15,000,还再更优选3,000至10,000。当重均分子量为1,000至200,000时,可以抑制耐热性和耐干蚀性的下降,并且同时可以防止成膜性归因于显影性的减弱或粘度的增加而变差。
多分散性(分子量分布)通常为1.0至3.0,优选1.0至2.6,更优选1.0至2.0,再更优选1.4至2.0。当分子量分布较小时,分辨率和抗蚀剂外形更出色,抗蚀剂图案的侧壁更光滑,并且粗糙度更加改善。
在本发明的树脂组合物中,基于全部固体含量,树脂(A)在整个组合物中的混合比优选为30至99质量%,更优选60至95质量%。
对于在本发明中使用的树脂(A),可以使用一种类型,也可以组合使用多种类型。
[2](B)能够在用光化射线或辐射照射时产生酸的化合物
用于在本发明中使用的组合物含有(B)能够在用光化射线或辐射照射时产生酸的化合物(在下文中,有时称为“酸生成剂(B)”)。能够在用光化射线或辐射照射时产生酸的化合物(B)优选为能够在用光化射线或辐射照射时产生有机酸的化合物。
可以使用的酸生成剂可以适当地选自用于阳离子光聚合的光引发剂、用于自由基光聚合的光引发剂、用于染料的光脱色剂、光退色剂、用于微抗蚀剂等的已知的能够在用光化射线或辐射照射时产生酸的化合物,以及它们的混合物。
其实例包括重氮盐、
Figure BDA0000439671320000662
盐、锍盐、碘
Figure BDA0000439671320000663
盐、酰亚胺基磺酸盐、肟磺酸盐、重氮基二砜、二砜和邻-硝基苄基磺酸盐。
在酸生成剂中,优选的化合物包括由下式(ZI)、(ZII)和(ZIII)表示的化合物:
Figure BDA0000439671320000661
在式(ZI)中,R201、R202和R203各自独立地表示有机基团。
作为R201、R202和R203的有机基团的碳数通常为1至30,优选1至20。
R201至R203中的两个成员可以结合以形成环结构,并且该环可以在其中含有氧原子、硫原子、酯键、酰胺键或羰基。通过结合R201至R203中的两个成员形成的基团的实例包括亚烷基(例如,亚丁基、亚戊基)。
Z-表示非亲核阴离子。
作为Z-的非亲核阴离子的实例包括磺酸根阴离子、羧酸根阴离子、磺酰亚胺阴离子、双(烷基磺酰基)亚胺阴离子和三(烷基磺酰基)甲基阴离子。
非亲核阴离子是具有极低的导致亲核反应的能力的阴离子并且该阴离子可以抑制归因于分子内亲核反应的随老化的分解。归因于该阴离子,抗蚀剂组合物的老化稳定性提高。
磺酸根阴离子的实例包括脂族磺酸根阴离子,芳族磺酸根阴离子和樟脑磺酸根阴离子。
羧酸根阴离子的实例包括脂族羧酸根阴离子、芳族羧酸根阴离子和芳烷基羧酸根阴离子。
脂族磺酸根阴离子和脂族羧酸根中的脂族部分可以是烷基或环烷基,但优选为具有1至30的碳数的烷基或具有3至30的碳数的环烷基,并且其实例包括甲基、乙基、丙基、异丙基、正丁基、异丁基、仲丁基、戊基、新戊基、己基、庚基、辛基、壬基、癸基、十一烷基、十二烷基、十三烷基、十四烷基、十五烷基、十六烷基、十七烷基、十八烷基、十九烷基、二十烷基、环丙基、环戊基、环己基、金刚烷基、降冰片基和冰片基。
芳族磺酸根阴离子和芳族羧酸根阴离子的芳族基团优选为具有6至14的碳数的芳基,并且其实例包括苯基、甲苯基和萘基。
脂族磺酸根阴离子和芳族磺酸根阴离子的烷基、环烷基和芳基可以具有取代基。脂族磺酸根阴离子和芳族磺酸根阴离子中的烷基、环烷基和芳基的取代基的实例包括硝基、卤素原子(例如,氟原子、氯原子、溴原子、碘原子)、羧基、羟基、氨基、氰基、烷氧基(优选具有1至15的碳数)、环烷基(优选具有3至15的碳数)、芳基(优选具有6至14的碳数)、烷氧基羰基(优选具有2至7的碳数)、酰基(优选具有2至12的碳数)、烷氧基羰基氧基(优选具有2至7的碳数)、烷基硫基(优选具有1至15的碳数)、烷基磺酰基(优选具有1至15的碳数)、烷基亚氨基磺酰基(优选具有1至15的碳数)、芳氧基磺酰基(优选具有6至20的碳数)、烷基芳氧基磺酰基(优选具有7至20的碳数)、环烷基芳氧基磺酰基(优选具有10至20的碳数)、烷氧基烷氧基(优选具有5至20的碳数)和环烷基烷氧基烷氧基(优选具有8至20的碳数)。每个基团中的芳基和环结构还可以具有烷基(优选具有1至15的碳数)或环烷基(优选具有3至15的碳数)作为取代基。
芳烷基羧酸根阴离子中的芳烷基优选为具有7至12的碳数的芳烷基,并且其实例包括苄基、苯乙基、萘基甲基、萘基乙基和萘基丁基。
脂族羧酸根阴离子、芳族羧酸根阴离子和芳烷基羧酸根阴离子中的烷基、环烷基、芳基和芳烷基可以具有取代基。取代基的实例包括与芳族磺酸根阴离子中的那些相同的卤素原子、烷基、环烷基、烷氧基和烷基硫基。
磺酰亚胺阴离子的实例包括糖精阴离子。
双(烷基磺酰基)亚胺阴离子和三(烷基磺酰基)次甲基阴离子中的烷基优选为具有1至5的碳数的烷基,并且其实例包括甲基、乙基、丙基、异丙基、正丁基、异丁基、仲丁基、戊基和新戊基。这种烷基上的取代基的实例包括卤素原子、卤素原子取代的烷基、烷氧基、烷基硫基、烷氧基磺酰基、芳氧基磺酰基和环烷基芳氧基磺酰基,并且氟原子取代的烷基是优选的。
非亲核阴离子的其他实例包括氟化的磷(例如,PF6 -)、氟化的硼(例如,BF4 -)和氟化的锑(例如,SbF6 -)。
Z-的非亲核阴离子优选为至少在磺酸的α-位被氟原子取代的脂族磺酸根阴离子、被氟原子或含氟原子基团取代的芳族磺酸根阴离子、其中烷基被氟原子取代的双(烷基磺酰基)亚胺阴离子,或者其中烷基被氟原子取代的三(烷基磺酰基)次甲基阴离子。非亲核阴离子更优选为具有4至8的碳数的全氟脂族磺酸根阴离子或具有氟原子的苯磺酸根阴离子,再更优选九氟丁磺酸根阴离子、全氟辛磺酸根阴离子、五氟苯磺酸根阴离子或3,5-双(三氟甲基)苯磺酸根阴离子。
酸生成剂优选为由下式(III)或(IV)表示的能够在用光化射线或辐射照射时产生酸的化合物。由下式(III)或(IV)表示的能够产生酸的化合物具有环状有机基团,以使得分辨率和粗糙度性能可以进一步提高。
上面描述的非亲核阴离子可以是能够产生由下式(III)或(IV)表示的有机酸的阴离子:
Figure BDA0000439671320000691
在该式中,每个Xf独立地表示氟原子或被至少一个氟原子取代的烷基。
R1和R2的每一个独立地表示氢原子、氟原子或烷基。
每个L独立地表示二价连接基团。
Cy表示环状有机基团。
Rf表示含氟原子基团。
x表示1至20的整数。
y表示0至10的整数。
z表示0至10的整数。
Xf表示氟原子或被至少一个氟原子取代的烷基。烷基的碳数优选为1至10,更优选1至4。而且,被至少一个氟原子取代的烷基优选为全氟烷基。
Xf优选为氟原子或具有1至4的碳数的全氟烷基。具体地,Xf优选为氟原子、CF3、C2F5、C3F7、C4F9、C5F11、C6F13、C7F15、C8F17、CH2CF3、CH2CH2CF3、CH2C2F5、CH2CH2C2F5、CH2C3F7、CH2CH2C3F7、CH2C4F9或CH2CH2C4F9,更优选氟原子或CF3,并且再更优选的是两个Xf都是氟原子。
R1和R2的每一个独立地表示氢原子、氟原子或烷基。烷基可以具有取代基(优选氟原子)并且优选为具有1至4的碳数的烷基,更优选具有1至4的碳数的全氟烷基。具有R1和R2的取代基的烷基的具体实例包括CF3、C2F5、C3F7、C4F9、C5F11、C6F13、C7F15、C8F17、CH2CF3、CH2CH2CF3、CH2C2F5、CH2CH2C2F5、CH2C3F7、CH2CH2C3F7、CH2C4F9和CH2CH2C4F9,并且CF3是优选的。
L表示二价连接基团。二价连接基团的实例包括-COO-、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-S-、-SO-、-SO2-、亚烷基(优选具有1至6的碳数)、亚环烷基(优选具有3至10的碳数)、亚烯基(优选具有2至6的碳数)和通过组合多个这些成员形成的二价连接基团。这些中,-COO-、-OCO-、-CONH-、-NHCO-、-CO-、-O-、-SO2-、-COO-亚烷基-、-OCO-亚烷基-、-CONH-亚烷基-和-NHCO-亚烷基-是优选的,并且-COO-、-OCO-、-CONH-、-SO2-、-COO-亚烷基-和-OCO-亚烷基-是更优选的。
Cy表示环状有机基团。环状有机基团的实例包括脂环基、芳基和杂环基。
脂环基可以是单环或多环的。单环脂环基包括,例如,单环环烷基如环戊基、环己基和环辛基。多环脂环基包括,例如,多环烷基如降冰片基、三环癸基、四环癸基、四环十二烷基和金刚烷基。首先,从抑制在PEB(曝光后烘烤)步骤过程中膜中的扩散和提高MEEF(掩模误差改善因子)的角度,具有碳数为7以上的大体积结构的脂环基,如降冰片基、三环癸基、四环癸基、四环十二烷基和金刚烷基是优选的。
芳基可以是单环或多环。芳基的实例包括苯基、萘基、菲基和蒽基。这些中,因为其在193nm的相对低的光吸光度,萘基是优选的。
杂环基可以是单环或多环,但多环杂环基可以更加抑制酸的扩散。杂环基可以具有芳香性也可以不具有芳香性。具有芳香性的杂环的实例包括呋喃环、噻吩环、苯并呋喃环、苯并噻吩环、二苯并呋喃环、二苯并噻吩环和吡啶环。不具有芳香性的杂环的实例包括四氢吡喃环、内酯环和十氢异喹啉环。杂环基中的杂环优选为呋喃环、噻吩环、吡啶环或十氢异喹啉环。内酯环的实例包括上面的树脂(A)中示例的内酯结构。
上述环状有机基团可以具有取代基,并且取代基的实例包括烷基(可以是直链的或支链的,优选具有1至12的碳数)、环烷基(可以是单环、多环或螺环的,优选具有3至20的碳数)、芳基(优选具有6至14的碳数)、羟基、烷氧基、酯基、酰胺基、氨基甲酸酯基、脲基、硫代醚基、亚磺酰胺基和磺酸酯基。顺便提及,构成环状有机基团的碳(贡献于环形成的碳)可以是羰基碳。
x优选为1至8,更优选1至4,再更优选1。y优选为0至4,更优选0。z优选为0至8,更优选0至4。
由Rf表示的含氟原子基团包括,例如,具有至少一个氟原子的烷基、具有至少一个氟原子的环烷基以及具有至少一个氟原子的芳基。
烷基、环烷基和芳基可以被氟原子取代也可以被另一个含氟原子取代基取代。在其中Rf是具有至少一个氟原子的环烷基或具有至少一个氟原子的芳基的情况下,另一个含氟取代基包括,例如,被至少一个氟原子取代的烷基。
而且,烷基、环烷基和芳基还可以被无氟原子取代基取代。该取代基的实例包括在上面对于Cy描述的那些中不含有氟原子的那些。
由Rf表示的具有至少一个氟原子的烷基的实例与上面作为由Xf表示的被至少一个氟原子取代的烷基描述的那些相同。由Rf表示的具有至少一个氟原子的环烷基的实例包括全氟环戊基和全氟环己基。由Rf表示的具有至少一个氟原子的芳基的实例包括全氟苯基。
由R201、R202和R203的有机基团包括,例如,后面描述的化合物(ZI-1)、(ZI-2)、(ZI-3)和(ZI-4)中的相应的基团。
所述化合物可以是具有多个由式(ZI)表示的结构的化合物。例如,该化合物可以是具有下列结构的化合物:其中由式(ZI)表示的化合物中R201至R203的至少一个通过单键或连接基团结合至另一个由式(ZI)表示的化合物中的R201至R203中的至少一个。
下面描述的化合物(ZI-1)、(ZI-2)、(ZI-3)和(ZI-4)更优选作为组分(ZI)。
化合物(ZI-1)是其中式(ZI)中的R201至R203中的至少一个是芳基的芳基锍化合物,换言之,具有芳基锍作为阳离子的化合物。
在芳基锍化合物中,R201至R203全部可以是芳基或R201至R203的一部分可以是芳基,余下的是烷基或环烷基。
芳基锍化合物的实例包括三芳基锍化合物、二芳基烷基锍化合物、芳基二烷基锍化合物、二芳基环烷基锍化合物和芳基二环烷基锍化合物。
芳基锍化合物中的芳基优选为苯基或萘基,更优选苯基。芳基可以是具有含氧原子、氮原子、硫原子等的杂环结构的芳基。杂环结构的实例包括吡咯残基、呋喃残基、噻吩残基、吲哚残基、苯并呋喃残基和苯并噻吩残基。在其中芳基锍化合物具有两个以上芳基的情况下,这两个以上芳基可以是相同的或不同的。
如果需要,芳基锍化合物具有的烷基或环烷基优选为具有1至15的碳数的直链的或支链的烷基或具有3至15的碳数的环烷基,并且其实例包括甲基、乙基、丙基、正丁基、仲丁基、叔丁基、环丙基、环丁基和环己基。
R201至R203的芳基、烷基和环烷基可以具有以下基团作为取代基:烷基(例如,具有1至15的碳数)、环烷基(例如,具有3至15的碳数)、芳基(例如,具有6至14的碳数)、烷氧基(例如,具有1至15的碳数)、卤素原子、羟基或苯硫基。取代基优选为具有1至12的碳数的直链的或支链烷基、具有3至12的碳数的环烷基,或具有1至12的碳数的或直链的、支链的或环状烷氧基,更优选具有1至4的碳数的烷基,或具有1至4的碳数的烷氧基。取代基可以在三个成员R201至R203中的任一个上取代,也可以在所有这三个成员上取代。在其中R201至R203是芳基的情况下,取代基优选在芳基的对位取代。
下面描述化合物(ZI-2)。
化合物(ZI-2)是其中式(ZI)中的R201至R203的每一个独立地表示无芳族环的有机基团的化合物。如本文所使用的芳族环包括含有杂原子的芳族环。
作为R201至R203的无芳族环的有机基团通常具有1至30,优选1至20的碳数。
R201至R203的每一个独立地优选为烷基、环烷基、烯丙基或乙烯基,更优选直链或支链2-氧代烷基、2-氧代环烷基或烷氧基羰基甲基,再更优选直链或支链2-氧代烷基。
R201至R203的烷基和环烷基优选为具有1至10的碳数的直链或支链烷基(例如,甲基、乙基、丙基、丁基、戊基),以及具有3至10的碳数的环烷基(例如,环戊基、环己基、降冰片基)。烷基更优选为2-氧代烷基或烷氧基羰基甲基。环烷基更优选为2-氧代环烷基。
2-氧代烷基可以是直链的或支链的并且优选为在上述烷基的2位具有>C=O的基团。
2-氧代环烷基优选为在上述环烷基的2位具有>C=O的基团。
烷氧基羰基甲基中的烷氧基优选为具有1至5的碳数的烷氧基(例如,甲氧基、乙氧基、丙氧基、丁氧基、戊氧基)。
R201至R203可以进一步被卤素原子、烷氧基(例如,具有1至5的碳数)、羟基、氰基或硝基取代。
下面描述化合物(ZI-3)。
化合物(ZI-3)是由下式(ZI-3)表示的化合物,并且这是具有苯甲酰基锍盐结构的化合物。
Figure BDA0000439671320000731
在式(ZI-3)中,R1c至R5c的每一个独立地表示氢原子、烷基、环烷基、芳基、烷氧基、芳氧基、烷氧基羰基、烷基羰基氧基、环烷基羰基氧基、卤素原子、羟基、硝基、烷基硫基或芳基硫基。
R6c和R7c的每一个独立地表示氢原子、烷基、环烷基、卤素原子、氰基或芳基。
Rx和Ry的每一个独立地表示烷基、环烷基、2-氧代烷基、2-氧代环烷基、烷氧基羰基烷基、烯丙基或乙烯基。
R1c至R5c中的任意两个以上成员、R5c和R6c的对、R6c和R7c的对、R5c和Rx的对,或Rx和Ry的对可以组合在一起以形成环结构。该环结构可以含有氧原子、硫原子、酮基、酯键或酰胺键。
上面的环结构包括芳族或非芳族烃环、芳族或非芳族杂环和通过组合这些环的两个以上形成的多环稠合环。环结构包括3-至10元环并且优选为4-至8元环,更优选5-或6元环。
通过组合R1c至R5c中的任意两个以上成员,R6c和R7c的对,或Rx和Ry的对形成的基团的实例包括亚丁基和亚戊基。
通过组合R5c和R6c的对或R5c和Rx的对形成的基团优选为单键或亚烷基,并且亚烷基的实例包括亚甲基和亚乙基。
Zc-表示非亲核阴离子,并且其实例是与式(ZI)中的Z-的非亲核阴离子的那些相同的。
作为R1c至R7c的烷基可以或者是直链的或者是支链的并且是,例如,具有1至20的碳数的烷基,优选具有1至12的碳数的直链的或支链的烷基(如甲基、乙基、直链的或支链的丙基、直链的或支链的丁基或直链的或支链的戊基)。环烷基包括,例如,具有3至10的碳数的环烷基(例如,环戊基、环己基)。
作为R1c至R5c的芳基优选为具有5至15的碳数的芳基,并且其实例包括苯基和萘基。
作为R1c至R5c的烷氧基可以是直链的、支链的或环状的并且是,例如,具有1至10的碳数的烷氧基,优选具有1至5的碳数的直链的或支链的烷氧基(如甲氧基、乙氧基、直链的或支链的丙氧基、直链的或支链的丁氧基,或者直链的或支链的戊氧基),或者具有3至10的碳数的环烷氧基(如环戊氧基或环己氧基)。
作为R1c至R5c的烷氧基羰基中的烷氧基的具体实例是与R1c至R5c的烷氧基的具体实例相同的。
作为R1c至R5c的烷基羰基氧基和烷基硫基中的烷基的具体实例是与R1c至R5c的烷基的具体实例相同的。
作为R1c至R5c的环烷基羰基氧基中的环烷基的具体实例是与R1c至R5c的环烷基的具体实例相同的。
作为R1c至R5c的芳氧基和芳基硫基中的芳基的具体实例是与R1c至R5c的芳基的具体实例相同的。
其中R1c至R5c的任一个是直链的或支链的烷基、环烷基、或直链的、支链的或环状烷氧基的化合物是优选的,并且其中R1c至R5c的碳数的总和为2至15的化合物是更优选的。归因于这种化合物,溶剂溶解性更加提高并且可以抑制储存过程中粒子的产生。
可以通过彼此组合R1c至R5c中的任意两个以上成员形成的环结构优选为5-或6元环,更优选6元环(如苯环)。
可以通过彼此组合R5c和R6c形成的环结构包括与羰基碳原子和式(I)中的碳原子通过彼此组合R5c和R6c以构成单键或亚烷基(如亚甲基或亚乙基)一起形成的4元以上成员的环(优选5-或6元环)。
作为R6c和R7c的芳基优选为具有5至15的碳数的芳基,并且其实例包括苯基和萘基。
其中R6c和R7c中的两个都是烷基的实施方案是优选的,其中R6c和R7c的每一个是具有1至4的碳数的直链的或支链的烷基的实施方案是更优选的,并且其中两者都是甲基的实施方案是再更优选的。
在其中R6c和R7c组合以形成环的情况下,通过组合R6c和R7c形成的基团优选为具有2至10的碳数的亚烷基,并且其实例包括亚乙基、亚丙基、亚丁基、亚戊基和亚己基。而且,通过组合R6c和R7c形成的环在环中可以含有杂原子如氧原子。
作为Rx和Ry的烷基和环烷基的实例与R1c至R7c中的烷基和环烷基的那些相同。
作为Rx和Ry的2-氧代烷基和2-氧代环烷基的实例包括在作为R1c至R7c的烷基或环烷基的在2-位具有>C=O的基团。
作为Rx和Ry的烷氧基羰基烷基中的烷氧基的实例与R1c至R5c中的烷氧基的那些相同。烷基为,例如,具有1至12的碳数的烷基,优选具有1至5的碳数的直链烷基(如甲基或乙基)。
对作为Rx和Ry的烯丙基没有特别地限定,但优选为未取代的烯丙基或被单环或多环烷基(优选具有3至10的碳数的环烷基)取代的烯丙基。
对作为Rx和Ry的乙烯基没有特别地限定但优选为未取代的乙烯基或被单环或多环烷基(优选具有3至10的碳数的环烷基)取代的乙烯基。
可以通过彼此组合R5c和Rx形成的环结构包括通过彼此组合R5c和Rx以构成单键或亚烷基(如亚甲基或亚乙基)与式(I)中的硫原子和羰基碳原子一起形成的5元以上成员的环(优选5元环)。
可以通过将Rx和Ry彼此组合形成的环结构包括由二价Rx和Ry(例如,亚甲基、亚乙基或亚丙基)与式(ZI-3)中的硫原子一起形成的5-或6元环,优选5元环(换言之,四氢噻吩环)。
Rx和Ry的每一个优选为具有4以上,更优选6以上,再更优选8以上的碳数的烷基或环烷基。
R1c至R7c、Rx和Ry的每一个可以还具有取代基,并且这种取代基的实例包括卤素原子(例如,氟原子)、羟基、羧基、氰基、硝基、烷基、环烷基、芳基、烷氧基、芳氧基、酰基、芳基羰基、烷氧基烷基、芳氧基烷基、烷氧基羰基、芳氧基羰基、烷氧基羰基氧基和芳氧基羰基氧基。
在上面的式(ZI-3)中,更优选的是R1c、R2c、R4c和R5c的每一个独立地表示氢原子并且R3c表示除了氢原子之外的基团,换言之,表示烷基、环烷基、芳基、烷氧基、芳氧基、烷氧基羰基、烷基羰基氧基、环烷基羰基氧基、卤素原子、羟基、硝基、烷基硫基或芳基硫基。
用于在本发明中使用的化合物(ZI-2)或(ZI-3)中的阳离子的实例包括JP-A-2010-256842的第[0130]至[0134]段和JP-A-2011-76056的第[0136]至[0140]段中描述的阳离子。
下面描述化合物(ZI-4)。
化合物(ZI-4)由下式(ZI-4)表示:
Figure BDA0000439671320000761
在式(ZI-4)中,R13表示氢原子、氟原子、羟基、烷基、环烷基、烷氧基、烷氧基羰基,或具有环烷基的基团。这些基团可以具有取代基。
当存在多个R14时,每个R14独立地表示羟基、烷基、环烷基、烷氧基、烷氧基羰基、烷基羰基、烷基磺酰基、环烷基磺酰基,或具有环烷基的基团。这些基团可以具有取代基。
每个R15独立地表示烷基、环烷基或萘基。两个R15可以彼此组合以形成环。这些基团可以具有取代基。
1表示0至2的整数。
r表示0至8的整数。
Z-表示非亲核阴离子,并且其实例与式(ZI)中的Z-的亲核阴离子的那些相同。
在式(ZI-4)中,R13、R14和R15的烷基优选是具有1至10的碳数的直链的或支链的烷基,并且其优选的实例包括甲基、乙基、正丁基和叔丁基。
R13、R14和R15的环烷基包括单环或多环烷基(优选具有3至20的碳数的环烷基)并且优选为环丙基、环戊基、环己基、环庚基或环辛基等。
R13和R14的烷氧基优选是具有1至10的碳数的直链的或支链的烷氧基,并且其优选的实例包括甲氧基、乙氧基、正丙氧基和正丁氧基。
R13和R14的烷氧基羰基优选是具有2至11的碳数的直链的或支链的烷氧基羰基,并且其优选的实例包括甲氧基羰基、乙氧基羰基和正丁氧基羰基。
R13和R14的具有环烷基的基团包括单环或多环环烷基(优选具有3至20的碳数的环烷基),并且其实例包括单环或多环环烷氧基和具有单环或多环环烷基的烷氧基。这些基团可以还具有取代基。
R13和R14的单环或多环环烷氧基优选具有7以上的总碳数,更优选7至15的总碳数,并且优选具有单环环烷基。具有7以上的总碳数的单环环烷氧基是指这样的单环环烷氧基:其中环烷氧基如环丙氧基、环丁氧基、环戊氧基、环己氧基、环庚氧基、环辛氧基和环十二烷氧基任意地具有取代基如烷基(例如,甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、十二烷基、2-乙基己基、异丙基、仲丁基、叔丁基、异戊基)、羟基、卤素原子(例如,氟、氯、溴、碘)、硝基、氰基、酰胺基、亚磺酰氨基、烷氧基(例如,甲氧基、乙氧基、羟基乙氧基、丙氧基、羟基丙氧基、丁氧基)、烷氧基羰基(例如,甲氧基羰基、乙氧基羰基)、酰基(例如,甲酰基、乙酰基、苯甲酰基)、酰氧基(例如,乙酰氧基、丁酰氧基)和羧基,并且其中包括环烷基上的任意取代基的碳数的总碳数为7以上。
此外,具有7以上的总碳数的多环烷氧基的实例包括降冰片基氧基、三环癸氧基、四环癸氧基和金刚烷氧基。
R13和R14的具有单环或多环环烷基的烷氧基优选具有7以上的总碳数,更优选7至15的总碳数,并且优选为具有单环环烷基的烷氧基。具有7以上的总碳数并且具有单环环烷基的烷氧基是指这样的烷氧基:其中在烷氧基如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基、庚氧基、辛氧基、十二烷氧基、2-乙基己氧基、异丙氧基、仲丁氧基、叔丁氧基和异戊氧基上被上述可以具有取代基的单环环烷基取代,并且其中包括取代基的碳数的总碳数为7以上。其实例包括环己基甲氧基、环戊基乙氧基和环己基乙氧基,并且环己基甲氧基是优选的。
具有7以上的总碳数并且具有多环环烷基的烷氧基的实例包括降冰片基甲氧基、降冰片基乙氧基、三环癸基甲氧基、三环癸基乙氧基、四环癸基甲氧基、四环癸基乙氧基、金刚烷基甲氧基和金刚烷基乙氧基,并且降冰片基甲氧基和降冰片基乙氧基是优选的。
R14的烷基羰基中的烷基的具体实例与R13至R15的烷基的那些相同。
R14的烷基磺酰基或环烷基磺酰基是优选具有1至10的碳数的直链的、支链的或环状烷基磺酰基,并且其优选的实例包括甲磺酰基、乙磺酰基、正丙磺酰基、正丁磺酰基、环戊磺酰基和环己磺酰基。
在以上基团的每一个上可以取代的取代基的实例包括卤素原子(例如,氟原子)、羟基、羧基、氰基、硝基、烷氧基、烷氧基烷基、烷氧基羰基和烷氧基羰基氧基。
烷氧基的实例包括具有1至20的碳数的直链的、支链的或环状烷氧基,如甲氧基、乙氧基、正丙氧基、异丙氧基、正丁氧基、2-甲基丙氧基、1-甲基丙氧基、叔丁氧基、环戊氧基和环己氧基。
烷氧基烷基的实例包括具有2至21的碳数的直链的、支链的或环状烷氧基烷基,如甲氧基甲基、乙氧基甲基、1-甲氧基乙基、2-甲氧基乙基、1-乙氧基乙基和2-乙氧基乙基。
烷氧基羰基的实例包括具有2至21的碳数的直链的、支链的或环状烷氧基羰基,如甲氧基羰基、乙氧基羰基、正丙氧基羰基、异丙氧基羰基、正丁氧基羰基、2-甲基丙氧基羰基、1-甲基丙氧基羰基、叔丁氧基羰基、环戊氧基羰基和环己氧基羰基。
烷氧基羰基氧基的实例包括具有2至21的碳数的直链的、支链的或环状烷氧基羰基氧基,如甲氧基羰基氧基、乙氧基羰基氧基、正丙氧基羰基氧基、异丙氧基羰基氧基、正丁氧基羰基氧基、叔丁氧基羰基氧基、环戊氧基羰基氧基和环己氧基羰基氧基。
可以通过两个R15彼此组合形成的环结构包括通过两个R15与式(ZI-4)中的硫原子一起形成并且可以与芳基或环烷基稠合的5-或6元环,优选5元环(换言之,四氢噻吩环)。二价R15可以具有取代基,并且取代基的实例包括羟基、羧基、氰基、硝基、烷基、环烷基、烷氧基、烷氧基烷基、烷氧基羰基和烷氧基羰基氧基。对于环结构上的取代基,可以存在多个取代基,并且它们可以彼此组合以形成环(芳族或非芳族烃环、芳族或非芳族杂环环或通过组合这些环的两个以上形成的多环稠合环)。
在式(ZI-4)中,R15优选为例如,甲基、乙基、萘基,或当组合两个R15时能够与硫原子一起形成四氢噻吩环结构的二价基团。
在R13和R14上可以取代的取代基优选为羟基、烷氧基、烷氧基羰基或卤素原子(尤其是氟原子)。
1优选为0或1,更优选1。
r优选为0至2。
用于在本发明中使用的由式(ZI-4)表示的化合物中的阳离子的实例包括JP-A-2010-256842的第[0121]、[0123]和[0124]段和JP-A-2011-76056的第[0127]、[0129]和[0130]段中描述的阳离子。
下面描述式(ZII)和(ZIII)。
在式(ZII)和(ZIII)中,R204至R207的每一个独立地表示芳基、烷基或环烷基。
R204至R207的芳基优选为苯基或萘基,更优选苯基。R204至R207的芳基可以是具有含有氧原子、氮原子、硫原子等的杂环结构的芳基。具有杂环结构的芳基的框架的实例包括吡咯、呋喃、噻吩、吲哚、苯并呋喃和苯并噻吩。
R204至R207中的烷基或环烷基优选为具有1至10的碳数的直链的或支链的烷基(例如,甲基、乙基、丙基、丁基、戊基)或具有3至10的碳数的环烷基(例如,环戊基、环己基、降冰片基)。
R204至R207的芳基、烷基和环烷基可以具有取代基。R204至R207的芳基、烷基和环烷基可以具有的取代基的实例包括烷基(例如,具有1至15的碳数)、环烷基(例如,具有3至15的碳数)、芳基(例如,具有6至15的碳数)、烷氧基(例如,具有1至15的碳数)、卤素原子、羟基和苯基硫基。
Z-表示非亲核阴离子,并且其实例与式(ZI)中的Z-的非亲核阴离子的那些相同。
酸生成剂的其他实例包括由下式(ZIV)、(ZV)和(ZVI)表示的化合物:
Figure BDA0000439671320000801
在式(ZIV)至(ZVI)中,Ar3和Ar4的每一个独立地表示芳基。
R208、R209和R210的每一个独立地表示烷基、环烷基或芳基。
A表示亚烷基、亚烯基或亚芳基。
Ar3、Ar4、R208、R209和R210的芳基的具体实例与式(ZI-1)中作为R201、R202和R203的芳基的具体实例相同。
R208、R209和R210的烷基和环烷基的具体实例与式(ZI-2)中的R201、R202和R203的烷基和环烷基的具体实例相同。
A的亚烷基包括具有1至12的碳数的亚烷基(例如,亚甲基、亚乙基、亚丙基、亚异丙基、亚丁基、亚异丁基);A的亚烯基包括具有2至12的碳数的亚烯基(例如,亚乙烯基、亚丙烯基、亚丁烯基);和A的亚芳基包括具有6至10的碳数的亚芳基(例如,亚苯基、亚甲苯基、亚萘基)。
在酸生成剂中,更优选的是由式(ZI)至(ZIII)表示的化合物。
而且,酸生成剂优选为产生具有一个磺酸基或亚胺基的酸的化合物,更优选产生单价全氟烷烃磺酸的化合物、产生被单价氟原子或含氟原子基团取代的芳族磺酸的化合物,或产生被单价氟原子或含氟原子基团取代的亚胺酸(imide acid)的化合物,再更优选氟取代的烷烃磺酸、氟-取代的苯磺酸、氟-取代的亚胺酸或氟-取代的甲酸的锍盐。尤其是,可以使用的酸生成剂优选为生成氟-取代的烷烃磺酸、氟-取代的苯磺酸或氟-取代的亚胺酸的化合物,其所产生的酸的pKa为-1以下,并且在这种情况下,灵敏度提高。
在酸生成剂中,下面示例特别优选的实例。
Figure BDA0000439671320000811
酸生成剂可以通过已知方法合成,例如,可以根据JP-A-2007-161707中描述的方法合成。
对于酸生成剂,可以单独使用一种类型,也可以组合使用两种以上类型。
基于化学增幅型抗蚀剂组合物的全部固体含量,组合物中能够在用光化射线或辐射照射时产生酸的化合物的含量优选为0.1至30质量%,更优选0.5至25质量%,再更优选3至20质量%,还再更优选3至15质量%。
在其中酸生成剂由式(ZI-3)或(ZI-4)表示的情况下,基于组合物的全部固体含量,其含量优选为5至35质量%,更优选8至30质量%,再更优选9至30质量%,还再更优选9至25质量%。
[3-1](C)当用光化射线或辐射照射时其碱度降低的碱性化合物或铵盐化合物
用于在本发明中使用的化学增幅型抗蚀剂组合物优选含有当用光化射线或辐射照射时其碱度降低的碱性化合物或铵盐化合物(在下文中,有时称为“化合物(C)”)。
化合物(C)优选为(C-1)具有碱性官能团或铵基以及当用光化射线或辐射照射时能够产生酸性官能团的基团的化合物。换言之,化合物(C)优选为具有碱性官能团并且当用光化射线或辐射照射时能够产生酸性官能团的基团的碱性化合物,或具有铵基和当用光化射线或辐射照射时能够产生酸性官能团的基团的铵盐化合物。
归因于化合物(C)或(C-1)当用光化射线或辐射照射时的分解和碱度上的降低而产生的化合物包括由下式(PA-I)、(PA-II)和(PA-III)表示的化合物,并且从在LWR、局部图案尺寸的均匀性和DOF的全部方面可以以高水平获得出色的效果的角度,由式(PA-II)和(PA-III)表示的化合物是优选的。
下面描述由式(PA-I)表示的化合物。
Q-A1-(X)n-B-R(PA-I)
在式(PA-I)中,A1表示单键或二价连接基团。
Q表示-SO3H或-CO2H。Q对应于当用光化射线或辐射照射时产生的酸性官能团。
X表示-SO2-或-CO-。
n表示0或1。
B表示单键、氧原子或-N(Rx)-。
Rx表示氢原子或单价有机基团。
R表示具有碱性官能团的单价有机基团,或具有铵基的单价有机基团。
A1中的二价连接基团优选为具有2至12的碳数的二价连接基团,并且其实例包括亚烷基和亚苯基。具有至少一个氟原子的亚烷基是更优选的,并且其碳数优选为2至6,更优选2至4。亚烷基链可以含有连接基团如氧原子和硫原子。亚烷基优选为其中氢原子的30至100数量%被氟原子取代的亚烷基,更优选其中连接至Q部分的碳原子具有氟原子的亚烷基,再更优选亚全氟烷基,还再更优选亚全氟乙基、亚全氟丙基或亚全氟丁基。
Rx中的单价有机基团优选为具有4至30的碳数的单价有机基团,并且其实例包括烷基、环烷基、芳基、芳烷基和烯基。
Rx中的烷基可以具有取代基并且优选为具有1至20的碳数的直链的或支链的烷基,并且烷基链可以含有氧原子、硫原子或氮原子。
顺便提及,具有取代基的烷基特别包括其中在直链的或支链的烷基上被环烷基取代的基团(例如,金刚烷基甲基、金刚烷基乙基、环己基乙基和樟脑残基)。
Rx中的环烷基可以具有取代基并且优选为具有3至20的碳数的环烷基,并且环烷基在环中可以含有氧原子。
Rx中的芳基可以具有取代基并且优选为具有6至14的碳数的芳基。
Rx中的芳烷基可以具有取代基并且优选为具有7至20的碳数的芳烷基。
Rx中的烯基可以具有取代基并且包括,例如,在作为Rx描述的烷基的任意位置具有双键的基团。
碱性官能团的部分结构的优选实例包括冠醚结构、伯至叔胺结构和含氮杂环结构(例如,吡啶、咪唑、吡嗪)。
铵基的部分结构的优选实例包括伯至叔铵结构、吡啶
Figure BDA0000439671320000861
结构、咪唑烷结构和吡嗪结构。
碱性官能团优选为具有氮原子的官能团,更优选具有伯至叔氨基的结构,或含氮杂环结构。在这些结构中,从提高碱度的角度,优选的是与该结构中含有的氮原子相邻的所有原子是碳原子或氢原子。而且,考虑到提高碱度,吸电子官能团(如羰基、磺酰基、氰基和卤素原子)优选不直接连接至氮原子。
含有这种结构的单价有机基团(基团R)中的单价有机基团优选为具有4至30的碳数的有机基团,并且其实例包括烷基、环烷基、芳基、芳烷基和烯基。这些基团的每一个可以具有取代基。
R的含碱性官能团或铵基的烷基、环烷基、芳基、芳烷基和烯基中的烷基、环烷基、芳基、芳烷基和烯基与对于Rx描述的烷基、环烷基、芳基、芳烷基和烯基相同。
以上基团的每一个可以具有的取代基的实例包括卤素原子、羟基、硝基、氰基、羧基、羰基、环烷基(优选具有3至10的碳数)、芳基(优选具有6至14的碳数)、烷氧基(优选具有1至10的碳数)、酰基(优选具有2至20的碳数)、酰氧基(优选具有2至10的碳数)、烷氧基羰基(优选具有2至20的碳数)和氨基酰基(优选具有2至20的碳数)。芳基、环烷基等中的环状结构还可以具有烷基(优选具有1至20的碳数)作为取代基。氨基酰基还可以具有一个或两个烷基(优选具有1至20的碳数)作为取代基。
在其中B是-N(Rx)-的情况下,R和Rx优选组合在一起以形成环。依靠形成环结构,稳定性提高并且使用该化合物的组合物在储存稳定性上也提高。构成环的碳的数目优选为4至20,并且环可以是单环或多环并且可以含有氧原子、硫原子或氮原子。
单环结构的实例包括含有氮原子的4-至8元环。多环结构的实例包括由两个单环结构或三个以上单环结构的组合组成的结构。单环结构和多环结构可以具有取代基,并且取代基的优选实例包括卤素原子、羟基、氰基、羧基、羰基、环烷基(优选具有3至10的碳数)、芳基(优选具有6至14的碳数)、烷氧基(优选具有1至10的碳数)、酰基(优选具有2至15的碳数)、酰氧基(优选具有2至15的碳数)、烷氧基羰基(优选具有2至15的碳数)和氨基酰基(优选具有2至20的碳数)。芳基、环烷基等中的环状结构还可以具有烷基(优选具有1至15的碳数)作为取代基。氨基酰基可以具有一个或两个烷基(优选具有1至15的碳数)作为取代基。
在由式(PA-I)表示的化合物中,可以使用一般的磺酰胺化反应合成其中Q部分是磺酸的化合物。例如,该化合物可以通过使双-磺酰卤化合物的一个磺酰卤部分与胺化合物选择性地反应以形成亚磺基酰胺键并且之后水解另一个磺酰卤部分的方法,或使环状磺酸酐通过与胺化合物反应而开环的方法获得。
下面描述由式(PA-II)表示的化合物。
Q1-X1-NH-X2-Q2(PA-II)
在式(PA-II)中,Q1和Q2的每一个独立地表示单价有机基团,条件是Q1和Q2中的任一个具有碱性官能团。还可能的是Q1和Q2组合在一起以形成环并且所形成的环具有碱性官能团。
X1和X2的每一个独立地表示-CO-或-SO2-。
这里,-NH-对应于当用光化射线或辐射照射时产生的酸性官能团。
式(PA-II)中作为Q1和Q2的单价有机基团优选为具有1至40的碳数的单价有机基团,并且其实例包括烷基、环烷基、芳基、芳烷基和烯基。
Q1和Q2中的烷基可以具有取代基并且优选为具有1至30的碳数的直链的或支链的烷基,并且烷基链可以含有氧原子、硫原子或氮原子。
Q1和Q2中的环烷基可以具有取代基并且优选为具有3至20的碳数的环烷基,并且环烷基可以在环中含有氧原子或氮原子。
Q1和Q2中的芳基可以具有取代基并且优选为具有6至14的碳数的芳基。
Q1和Q2中的芳烷基可以具有取代基并且优选为具有7至20的碳数的芳烷基。
Q1和Q2中的烯基可以具有取代基并且包括在上面的烷基的任意位置具有双键的基团。
这些基团的每一个可以具有的取代基的实例包括卤素原子、羟基、硝基、氰基、羧基、羰基、环烷基(优选具有3至10的碳数)、芳基(优选具有6至14的碳数)、烷氧基(优选具有1至10的碳数)、酰基(优选具有2至20的碳数)、酰氧基(优选具有2至10的碳数)、烷氧基羰基(优选具有2至20的碳数)和氨基酰基(优选具有2至10的碳数)。芳基、环烷基等中的环状结构还可以具有烷基(优选具有1至10的碳数)作为取代基。氨基酰基还可以具有烷基(优选具有1至10的碳数)作为取代基。具有取代基的烷基包括,例如,全氟烷基如全氟甲基、全氟乙基、全氟丙基和全氟丁基。
Q1或Q2的至少任一个中含有的碱性官能团的优选部分结构与式(PA-I)的R中含有的碱性官能团的那些相同。
其中Q1和Q2组合在一起以形成环并且所形成的环具有碱性官能团的结构包括,例如,其中Q1和Q2的有机基团通过亚烷基、氧基、亚氨基等连接在一起的结构。
在式(PA-II)中,X1和X2的至少任一个优选为-SO2-。
下面描述由式(PA-III)表示的化合物。
Q1-X1-NH-X2-A2-(X3)m-B-Q3(PA-III)
在式(PA-III)中,Q1和Q3的每一个独立地表示单价有机基团,条件是Q1和Q3的任一个具有碱性官能团。还可能的是Q1和Q3组合在一起以形成环并且所形成的环具有碱性官能团。
X1、X2和X3的每一个独立地表示-CO-或-SO2-。
A2表示二价连接基团。
B表示单键、氧原子或-N(Qx)-。
Qx表示氢原子或单价有机基团。
在其中B是-N(Qx)-的情况下,Q3和Qx可以组合以形成环。
m表示0或1。
这里,-NH-对应于当用光化射线或辐射照射时产生的酸性官能团。
Q1具有与式(PA-II)中的Q1相同的含义。
Q3的有机基团的实例与式(PA-II)中的Q1和Q2的有机基团的那些相同。
其中Q1和Q3组合以形成环并且所形成的环具有碱性官能团的结构包括,例如,其中Q1和Q3的有机基团进一步通过亚烷基、氧基、亚氨基等连接的结构。
A2中的二价连接基团优选为具有1至8的碳数并且含有氟原子的二价连接基团,并且其实例包括具有1至8的碳数的含氟原子的亚烷基,以及含氟原子的亚苯基。含氟原子的亚烷基是更优选的,并且其碳数优选为2至6,更优选2至4。亚烷基链可以含有连接基团如氧原子和硫原子。亚烷基优选为其中氢原子的30至100数量%被氟原子取代的亚烷基,更优选亚全氟烷基,再更优选具有2至4的碳数的亚全氟烷基。
Qx中的单价有机基团优选为具有4至30的碳数的有机基团,并且其实例包括烷基、环烷基、芳基、芳烷基和烯基。烷基、环烷基、芳基、芳烷基和烯基的实例与用于式(PA-I)中的Rx的那些相同。
在式(PA-III)中,X1、X2和X3的每一个优选为-SO2-。
化合物(C)优选为由式(PA-I)、(PA-II)或(PA-III)表示的化合物的锍盐化合物,或由式(PA-I)、(PA-II)或(PA-III)表示的化合物的碘
Figure BDA0000439671320000902
盐化合物,更优选由下式(PA1)或(PA2)表示的化合物:
Figure BDA0000439671320000901
在式(PA1)中,R′201、R′202和R′203的每一个独立地表示有机基团,并且其具体实例与用于组分(B)中的式ZI的R201、R202和R203的那些相同。
X-表示得自由式(PA-I)表示的化合物的-SO3H部分或-COOH部分中的氢原子的消除的磺酸根或羧酸根阴离子,或得自由式(PA-II)或(PA-III)表示的化合物的-NH-部分中的氢原子的消除的阴离子。
在式(PA2)中,R′204和R′205的每一个独立地表示芳基、烷基或环烷基,并且其具体实例与用于组分(B)中的式ZII的R204和R205的那些相同。
X-表示得自由式(PA-I)表示的化合物的-SO3H部分或-COOH部分中的氢原子的消除的磺酸根或羧酸根阴离子,或得自由式(PA-II)或(PA-III)表示的化合物的-NH-部分中的氢原子的消除的阴离子。
化合物(C)当用光化射线或辐射照射时分解以产生,例如,由式(PA-I)、(PA-II)或(PA-III)表示的化合物。
由式(PA-I)表示的化合物是与碱性官能团或铵基一起具有磺酸或羧酸基的化合物,并且从而在碱度上降低或丧失,或与化合物(C)比较从碱性改变为酸性。
由式(PA-II)或(PA-III)表示的化合物是与碱性官能团一起具有有机磺酰亚氨基或有机羰基亚氨基并且从而与化合物(C)比较在碱度上减少或消除或从碱性改变为酸性的化合物。
在本发明中,表达“当用光化射线或辐射照射时碱度降低”意指对于化合物(C)的质子(当用光化射线或辐射照射时产生的酸)的受体性质由用光化射线或辐射照射所致而降低。表达“受体性质降低”意指使得由含有碱性官能团的化合物产生的作为质子加合物的非共价键配合物与质子的平衡反应发生时或当使得含有铵基的化合物的抗衡阳离子与质子交换的反应发生时,化学平衡中的平衡常数降低。
以这种方式,在抗蚀剂膜中含有当用光化射线或辐射照射时其碱度降低的化合物(C),以使得在未曝光区中,化合物(C)的受体性质可以充分地给出,并且可以抑制从曝光区域等扩散的酸与树脂(A)之间的不希望的反应,而在曝光区域中,化合物(C)的受体性质降低并且酸与树脂(A)的所希望的反应可靠地出现。这种操作机制被认为贡献于获得在线宽变化(LWR)、局部图案尺寸的均匀性、聚焦范围(DOF)和图案外形方面出色的图案。
顺便提及,碱度可以通过测量pH确认,或者可以使用可商购的软件计算出计算值。
下面示例当用光化射线或辐射照射时能够产生由式(PA-I)表示的化合物的化合物(C)的具体实例,但本发明不限于此。
Figure BDA0000439671320000921
Figure BDA0000439671320000931
Figure BDA0000439671320000941
Figure BDA0000439671320000951
这些化合物可以从由式(PA-I)表示的化合物或其锂、钠或钾盐以及碘
Figure BDA0000439671320000961
或锍的氢氧化物、溴化物、氯化物等,通过采用JP-T-11-501909(术语“JP-T”如本文所使用的意指“PCT专利申请的已公开日文翻译”)或JP-A-2003-246786中描述的盐交换方法容易地合成。合成还可以根据JP-A-7-333851中描述的合成方法进行。
下面示例当用光化射线或辐射照射时能够产生由式(PA-II)或(PA-III)表示的化合物的化合物(C)的具体实例,但本发明不限于此。
Figure BDA0000439671320000971
Figure BDA0000439671320000991
Figure BDA0000439671320001001
Figure BDA0000439671320001011
这些化合物可以使用一般的磺酸酯化反应或磺酰胺化反应简单地合成。例如,所述化合物可以通过使双-磺酰卤化合物的一个磺酰卤部分与含有由式(PA-II)或(PA-III)表示的部分结构的胺、醇等选择性地反应以形成亚磺基酰胺键或磺酸酯键并且之后将另一个磺酰卤部分水解的方法,或者将环状磺酸酐通过含有由式(PA-II)表示的部分结构的胺或醇开环的方法获得。含有由式(PA-II)或(PA-III)表示的部分结构的胺或醇可以通过使胺或醇与酸酐(例如,(R′O2C)2O、(R′SO2)2O)或酰氯化合物(例如,R′O2CCl、R′SO2Cl)(R′是,例如,甲基、正辛基或三氟甲基)在碱性条件下反应而合成。
尤其是,化合物(C)的合成可以根据JP-A-2006-330098和JP-A-2011-100105中的合成例等进行。
化合物(C)的分子量优选为500至1,000。
用于在本发明中使用的化学增幅型抗蚀剂组合物可以含有也可以不含有化合物(C),但在含有化合物(C)的情况下,基于化学增幅型抗蚀剂组合物的固体含量,其含量优选为0.1至20质量%,更优选0.1至10质量%。
[3-2](C′)碱性化合物
用于在本发明中使用的化学增幅型抗蚀剂组合物可以含有碱性化合物(C′)以便减少性能上随着从曝光至加热的老化的改变。
优选的碱性化合物包括具有由下式(A)至(E)表示的结构的化合物:
在式(A)和(E)中,可以相同或不同的R200、R201和R202的每一个表示氢原子、烷基(优选具有1至20的碳数),环烷基(优选具有3至20的碳数)或芳基(具有6至20的碳数),并且R201和R202可以组合在一起以形成环。可以相同或不同的R203、R204、R205和R206的每一个表示具有1至20的碳数的烷基。
对于烷基,具有取代基的烷基优选为具有1至20的碳数的氨基烷基、具有1至20的碳数的羟基烷基,或具有1至20的碳数的氰基烷基。
式(A)和(E)中的烷基更优选是未取代的。
该化合物的优选实例包括胍、氨基吡咯烷、吡唑、吡唑啉、哌嗪、氨基吗啉、氨基烷基吗啉和哌啶。该化合物的更优选实例包括具有咪唑结构、二氮杂双环结构、氢氧化
Figure BDA0000439671320001032
结构、羧酸
Figure BDA0000439671320001033
结构、三烷基胺结构、苯胺结构或吡啶结构的化合物;具有羟基和/或醚键的烷基胺衍生物;和具有羟基和/或醚键的苯胺衍生物。
具有咪唑结构的化合物的实例包括咪唑、2,4,5-三苯基咪唑和苯并咪唑。具有二氮杂双环结构的化合物的实例包括1,4-二氮杂双环[2,2,2]辛烷、1,5-二氮杂双环[4,3,0]壬-5-烯和1,8-二氮杂双环[5,4,0]十一碳-7-烯。具有氢氧化
Figure BDA0000439671320001042
结构的化合物的实例包括三芳基氢氧化锍、苯甲酰基氢氧化锍和具有2-氧代烷基的氢氧化锍,具体地,三苯基氢氧化锍、三(叔丁基苯基)氢氧化锍、双(叔丁基苯基)氢氧化碘、苯甲酰基氢氧化噻吩
Figure BDA0000439671320001046
和2-氧代丙基氢氧化噻吩
Figure BDA0000439671320001043
。具有羧酸
Figure BDA0000439671320001044
结构的化合物是其中具有氢氧化
Figure BDA0000439671320001047
结构的化合物的阴离子部分成为羧酸根的化合物,并且其实例包括乙酸盐、金刚烷-1-羧酸盐和全氟烷基羧酸盐。具有三烷基胺结构的化合物的实例包括三(正丁基)胺和三(正辛基)胺。具有苯胺结构的化合物的实例包括2,6-二异丙基苯胺、N,N-二甲基苯胺、N,N-二丁基苯胺和N,N-二己基苯胺。具有羟基和/或醚键的烷基胺衍生物的实例包括乙醇胺、二乙醇胺、三乙醇胺和三(甲氧基乙氧基乙基)胺。具有羟基和/或醚键的苯胺衍生物的实例包括N,N-双(羟乙基)苯胺。
其他优选的碱性化合物包括含有苯氧基的胺化合物、含有苯氧基的铵盐化合物、含有磺酸酯基的胺化合物以及含有磺酸酯基的铵盐化合物。
在含有苯氧基的胺化合物、含有苯氧基的铵盐化合物、含有磺酸酯基的胺化合物和含有磺酸酯基的铵盐化合物中,至少一个烷基优选连接至氮原子,另外,烷基链优选在其中含有氧原子以形成亚烷氧基。分子中亚烷氧基的数目为1以上,优选3至9,更优选4至6。在亚烷氧基中,具有-CH2CH2O-、-CH(CH3)CH2O-或-CH2CH2CH2O-的结构的那些是优选的。
含有苯氧基的胺化合物、含有苯氧基的铵盐化合物、含有磺酸酯基团的胺化合物和含有磺酸酯基团的铵盐化合物的具体实例包括,但是不限于,美国专利申请公开2007/0224539的第[0066]段中示例的化合物(Cl-1)至(C3-3)。
具有能够通过酸的作用离去的基团的含氮有机化合物也可以作为一类碱性化合物使用。该化合物的实例包括由下式(F)表示的化合物。顺便提及,由下式(F)表示的化合物作为能够通过酸的作用消除离去的基团的结果而在体系中展现有效的碱度。
Figure BDA0000439671320001041
在式(F)中,每个Ra独立地表示氢原子、烷基、环烷基、芳基或芳烷基。而且,当n=2时,两个Ra可以相同或不同,并且两个Ra可以彼此组合以形成二价杂环烃基(优选具有20以下的碳数)或其衍生物。
每个Rb独立地表示氢原子、烷基、环烷基、芳基或芳烷基,条件是在-C(Rb)(Rb)(Rb)中,当一个或多个Rb是氢原子时,余下的Rb的至少一个是环丙基或1-烷氧基烷基。
至少两个Rb可以组合以形成脂环烃基、芳族烃基、杂环烃基,或其衍生物。
n表示0至2的整数,m表示1至3的整数,并且n+m=3。
在式(F)中,由Ra和Rb表示的烷基、环烷基、芳基和芳烷基的每一个可以被官能团如羟基、氰基、氨基、吡咯烷基、哌啶子基、吗啉基和氧基、烷氧基或卤素原子取代。
由式(F)表示的化合物的具体实例在下面示例,但本发明不限于此。
Figure BDA0000439671320001061
由式(F)表示的化合物可以通过参考,例如,JP-A-2009-199021合成。
碱性化合物(C′)的分子量优选为250至2,000,更优选400至1,000。考虑到LWR的更多减少和局部图案尺寸的均匀性,碱性化合物的分子量优选为400以上,更优选500以上,再更优选600以上。
这种碱性化合物(C′)可以与化合物(C)组合使用,以及单独使用一种碱性化合物,或组合使用两种以上碱性化合物。
用于在本发明中使用的化学增幅型抗蚀剂组合物可以含有也可以不含有碱性化合物(C′),但在含有碱性化合物的情况下,基于化学增幅型抗蚀剂组合物的固体含量,其使用量通常为0.001至10质量%,优选0.01至5质量%。
在组合物中使用的酸生成剂与碱性化合物之间的比例优选为酸生成剂/碱性化合物(摩尔比)=2.5至300。换言之,考虑到灵敏度和分辨率,摩尔比优选为2.5以上,并且从抑制分辨率降低(所述分辨率降低归因于抗蚀剂图案随着曝光之后直至热处理的老化的增厚)的角度,优选为300以下。酸生成剂/碱性化合物(摩尔比)更优选为5.0至200,再更优选7.0至150。
[4](D)溶剂
在本发明的化学增幅型抗蚀剂树脂组合物的制备中可以使用的溶剂的实例包括有机溶剂如烷撑二醇单烷基醚羧酸酯、烷撑二醇单烷基醚、乳酸烷基酯、烷氧基丙酸烷基酯、环状内酯(优选具有4至10的碳数)、可以具有环的单酮化合物(优选具有4至10的碳数)、碳酸亚烷基酯、烷氧基乙酸烷基酯和丙酮酸烷基酯。
这些溶剂的具体实例包括美国专利申请公开号2008/0187860的第[0441]至[0455]段中描述的那些。
在本发明中,可以使用通过混合在结构中含有羟基的溶剂和不含有羟基的溶剂所制备的混合溶剂作为有机溶剂。
含有羟基的溶剂和不含有羟基的溶剂可以适当地选自上面示例的化合物,但含有羟基的溶剂优选为烷撑二醇单烷基醚、乳酸烷基酯等,更优选丙二醇单甲醚(PGME,另一个名称:1-甲氧基-2-丙醇)或乳酸乙酯。不含有羟基的溶剂优选为烷撑二醇单烷基醚乙酸酯、烷氧基丙酸烷基酯、可以含有环的单酮化合物、环状内酯、乙酸烷基酯等,更优选丙二醇单甲醚乙酸酯(PGMEA,另一个名称:1-甲氧基-2-乙酰氧基丙烷)、乙氧基丙酸乙酯、2-庚酮、γ-丁内酯、环己酮或乙酸丁酯,并且最优选丙二醇单甲醚乙酸酯、乙氧基丙酸乙酯或2-庚酮。
含有羟基的溶剂与不含有羟基的溶剂的混合比(以质量计)为1/99至99/1,优选10/90至90/10,更优选20/80至60/40。考虑到涂布均匀性,其中以50质量%以上的比例含有不含有羟基的溶剂的混合溶剂是特别优选的。
溶剂优选含有丙二醇单甲醚乙酸酯并且优选为单独由丙二醇单甲醚乙酸酯组成的溶剂或两种以上类型的含有丙二醇单甲醚乙酸酯的溶剂组成的混合溶剂。
[5](E)疏水性树脂
用于在本发明中使用的化学增幅型抗蚀剂组合物可以含有具有至少氟原子或硅原子的疏水性树脂(在下文中,有时称为“疏水性树脂(E)”或简称为“树脂(E)”),特别是当将该组合物应用至浸渍曝光时。疏水性树脂(E)不均匀地分散至膜的表面层,从而当浸渍介质是水时,抗蚀剂膜表面与水的静态/动态接触角以及浸渍液的可流动性可以提高。
疏水性树脂(E)优选设计为,如上所述,不均匀地分布至界面,但与表面活性剂不同,不需要在分子中必要地具有亲水性基团并且可以不贡献于极性/非极性物质的不均匀混合。
疏水性树脂(E)典型地含有氟原子和/或硅原子。在树脂的主链中可以含有或者在侧链中可以含有疏水性树脂(E)中的氟原子和/或硅原子。
在其中疏水性树脂(E)含有氟原子的情况下,树脂优选含有含氟原子的烷基、含氟原子的环烷基或含氟原子的芳基作为含氟原子的部分结构。
含氟原子的烷基(优选具有1至10的碳数,更优选1至4的碳数)为具有至少一个氢原子被氟原子取代并且还可以具有除氟原子之外的取代基的直链的或支链的烷基。
含氟原子的环烷基是具有至少一个氢原子被氟原子取代并且还可以具有除氟原子之外的取代基的单环或多环环烷基。
含氟原子的芳基是具有至少一个氢原子被氟原子取代并且还可以具有除氟原子之外的取代基的芳基如苯基或萘基。
优选的含氟原子的烷基、含氟原子的环烷基和含氟原子的芳基包括由下式(F2)至(F4)表示的基团,但本发明不限于此。
Figure BDA0000439671320001101
在式(F2)至(F4)中,R57至R68的每一个独立地表示氢原子、氟原子或烷基(直链的或支链的),条件是R57至R61中的至少一个、R62至R64中的至少一个和R65至R68中的至少一个各自独立地表示氟原子或具有至少一个氢原子被氟原子取代的烷基(优选具有1至4的碳数)。
优选的是所有R57至R61和R65至R67是氟原子。R62、R63和R68的每一个优选为具有至少一个氢原子被氟原子取代的烷基(优选具有1至4的碳数),更优选具有1至4的碳数的全氟烷基。R62和R63可以彼此组合以形成环。
由式(F2)表示的基团的具体实例包括对氟苯基、五氟苯基和3,5-二(三氟甲基)苯基。
由式(F3)表示的基团的具体实例包括三氟甲基、五氟丙基、五氟乙基、七氟丁基、六氟异丙基、七氟异丙基、六氟(2-甲基)异丙基、九氟丁基、八氟异丁基、九氟己基、九氟-叔丁基、全氟异戊基、全氟辛基、全氟(三甲基)己基、2,2,3,3-四氟环丁基和全氟环己基。六氟异丙基、七氟异丙基、六氟(2-甲基)异丙基、八氟异丁基、九氟-叔丁基和全氟异戊基是优选的,并且六氟异丙基和七氟异丙基是更优选的。
由式(F4)表示的基团的具体实例包括-C(CF3)2OH、-C(C2F5)2OH、-C(CF3)(CH3)OH和-CH(CF3)OH,并且-C(CF3)2OH是优选的。
含氟原子的部分结构可以直接连接至主链也可以通过选自由以下各项组成的组的基团连接至主链:亚烷基、亚苯基、醚键、硫代醚键、羰基、酯键、酰胺键、氨基甲酸酯键和亚脲基键,或通过组合这些基团和键中的两个以上形成的基团。
具有氟原子的合适的重复单元包括以下各项。
Figure BDA0000439671320001111
在该式中,R10和R11的每一个独立地表示氢原子、氟原子或烷基。烷基优选为具有1至4的碳数并且可以具有取代基的直链的或支链的烷基,并且具有取代基的烷基尤其包括氟化烷基。
W3至W6的每一个独立地表示具有至少一个或多个氟原子的有机基团,并且该基团具体地包括(F2)至(F4)的原子团。
除了这些之外,疏水性树脂(E)可以含有如下所示的单元作为具有氟原子的重复单元。
Figure BDA0000439671320001112
在该式中,R4至R7的每一个独立地表示氢原子、氟原子或烷基。烷基优选为具有1至4的碳数并且可以具有取代基的直链的或支链的烷基,并且具有取代基的烷基包括,尤其是,氟化烷基。
然而,R4至R7的至少一个表示氟原子。R4和R5,或者R6和R7可以形成环。
W2表示具有至少一个氟原子的有机基团,并且所述基团具体地包括(F2)至(F4)的原子团。
L2表示单键或二价连接基团。二价连接基团是取代的或未取代的亚芳基、取代的或未取代的亚烷基、取代的或未取代的亚环烷基、-O-、-SO2-、-CO-、-N(R)-(其中R表示氢原子或烷基)、-NHSO2-,或通过组合多个这些基团形成的二价连接基团。
Q表示脂环结构。脂环结构可以具有取代基并且可以是单环的或多环的,并且在多环结构的情况下,该结构可以是交联结构。单环结构优选为具有3至8的碳数的环烷基,并且其实例包括环戊基、环己基、环丁基和环辛基。多环结构的实例包括具有5以上的碳数的具有双环、三环或四环结构的基团。具有6至20的碳数的环烷基是优选的,并且其实例包括金刚烷基、降冰片基、二环戊基、三环癸基和四环十二烷基。环烷基中的碳原子的一部分可以被杂原子如氧原子取代。首先,Q优选是例如,降冰片基、三环癸基或四环十二烷基。
下面示例具有氟原子的重复单元的具体实例,但本发明不限于此。
在具体实例中,X1表示氢原子、-CH3、-F或-CF3。X2表示-F或-CF3
Figure BDA0000439671320001141
疏水性树脂(E)可以含有硅原子。所述树脂优选具有烷基硅烷基结构(优选三烷基硅烷基)或环状硅氧烷结构作为含有硅原子的部分结构。
烷基硅烷基结构和环状硅氧烷结构的具体实例包括由下式(CS-1)至(CS-3)表示的基团:
Figure BDA0000439671320001142
在式(CS-1)至(CS-3)中,R12至R26的每一个独立地表示直链的或支链的烷基(优选具有1至20的碳数)或环烷基(优选具有3至20的碳数)。
L3至L5的每一个表示单键或二价连接基团。二价连接基团是选自由以下各项组成的组的单独的成员或两个以上成员的组合(优选具有12以下的总碳数):亚烷基、亚苯基、醚键、硫代醚键、羰基、酯键、酰胺键、氨基甲酸酯键和脲键。
n表示1至5的整数。n优选为2至4的整数。
下面示例具有由式(CS-1)至(CS-3)表示的基团的重复单元的具体实例,但本发明不限于此。在具体实例中,X1表示氢原子、-CH3、-F或-CF3
Figure BDA0000439671320001151
此外,疏水性树脂(E)可以含有选自由以下(x)至(z)组成的组的至少一个基团:
(x)酸基,
(y)含有内酯结构的基团、酸酐基或酰亚胺基,和
(z)能够通过酸的作用分解的基团。
酸基(x)的实例包括酚羟基、羧酸基、氟化的醇基、磺酸基、氨磺酰基、磺酰亚胺基、(烷基磺酰基)(烷基羰基)亚甲基、(烷基磺酰基)(烷基羰基)亚胺基、双(烷基羰基)亚甲基、双(烷基羰基)亚胺基、双(烷基磺酰基)亚甲基、双(烷基磺酰基)亚胺基、三(烷基羰基)亚甲基和三(烷基磺酰基)亚甲基。
优选的酸基团是氟化的醇基(优选六氟异丙醇)、磺亚胺基和双(烷基羰基)亚甲基。
具有(x)酸基的重复单元包括,例如,其中酸基直接连接至树脂的主链的重复单元,如通过丙烯酸或甲基丙烯酸形成的重复单元,以及其中酸基通过连接基团连接至树脂的主链的重复单元,并且还可以将酸基通过在聚合中使用含酸基聚合引发剂或链转移剂引入至聚合物链的末端。全部这些情况是优选的。具有(x)酸基的重复单元可以至少具有氟原子或硅原子。
基于疏水性树脂(E)中的所有重复单元,具有(x)酸基的重复单元的含量优选为1至50摩尔%,更优选3至35摩尔%,再更优选5至20摩尔%。
在下面示例具有(x)酸基的重复单元的具体实例,但本发明不限于此。在该式中,Rx表示氢原子、CH3、CF3或CH2OH。
Figure BDA0000439671320001171
Figure BDA0000439671320001181
(y)含有内酯结构的基团、酸酐基团或酸亚胺基优选为含有内酯结构的基团。
含有这种基团的重复单元是,例如,其中基团直接连接至树脂的主链的重复单元,如通过丙烯酸酯或甲基丙烯酸酯形成的重复单元。该重复单元可以是其中基团通过连接基团连接至树脂的主链的重复单元。备选地,在该重复单元中,可以将基团通过在聚合中使用含有该基团的聚合引发剂或链转移剂引入至树脂的末端。
具有含内酯结构的基团的重复单元的实例与上面在酸分解性树脂(A)的段落中描述的具有内酯结构的重复单元的那些相同。
基于疏水性树脂中的所有重复单元,具有含内酯结构的基团、酸酐基或酸亚胺基的重复单元的含量优选为1至100摩尔%,更优选3至98摩尔%,再更优选5至95摩尔%。
疏水性树脂(E)中含有的具有(z)能够通过酸的作用分解的基团的重复单元的实例与对于树脂(A)描述的具有酸分解性基团的重复单元的那些相同。具有(z)能够通过酸的作用分解的基团的重复单元可以至少含有氟原子或硅原子。在疏水性树脂(E)中,基于树脂(E)中的所有重复单元,具有(z)能够通过酸的作用分解的基团的重复单元的含量优选为1至80摩尔%,更优选10至80摩尔%,再更优选20至60摩尔%。
疏水性树脂(E)可以还含有由下式(III)表示的重复单元:
Figure BDA0000439671320001191
在式(III)中,Rc31表示氢原子、烷基(其可以被氟原子等取代)、氰基或-CH2-O-Rac2基团,其中Rac2表示氢原子、烷基或酰基。Rc31优选为氢原子、甲基、羟甲基或三氟甲基,更优选氢原子或甲基。
Rc32表示具有烷基、环烷基、烯基、环烯基或芳基的基团。这些基团可以被含有氟原子或硅原子的基团取代。
Lc3表示单键或二价连接基团。
在式(III)中,Rc32的烷基优选为具有3至20的碳数的直链的或支链的烷基。
环烷基优选为具有3至20的碳数的环烷基。
烯基优选为具有3至20的碳数的烯基。
环烯基优选为具有3至20的碳数的环烯基。
芳基优选为具有6至20的碳数的芳基,更优选苯基或萘基,并且这些基团可以具有取代基。
Rc32优选为未取代的烷基或氟原子取代的烷基。
Lc3的二价连接基团优选为亚烷基(优选具有1至5的碳数)、醚键、亚苯基或酯键(由-COO-表示的基团)。
基于疏水性树脂中的所有重复单元,由式(III)表示的重复单元的含量优选为1至100摩尔%,更优选10至90摩尔%,再更优选30至70摩尔%。
还优选的是疏水性树脂(E)还含有由下式(CII-AB)表示的重复单元:
在式(CII-AB)中,Rc11′和Rc12′的每一个独立地表示氢原子、氰基、卤素原子或烷基。
Zc′表示用于形成含有与Zc′连接的两个碳原子(C-C)的脂环结构的原子团。
基于疏水性树脂中的所有重复单元,由式(CII-AB)表示的重复单元的含量优选为1至100摩尔%,更优选10至90摩尔%,再更优选30至70摩尔%。
下面示例由式(III)和(CII-AB)表示的重复单元的具体实例,但本发明不限于此。在该式中,Ra表示H、CH3、CH2OH、CF3或CN。
Figure BDA0000439671320001202
在其中疏水性树脂(E)含有氟原子的情况下,基于疏水性树脂(E)的重均分子量,氟原子含量优选为5至80质量%,更优选10至80质量%。而且,基于疏水性树脂(E)中含有的所有重复单元,含氟原子重复单元优选占10至100摩尔%,更优选30至100摩尔%。
在其中疏水性树脂(E)含有硅原子的情况下,基于疏水性树脂(E)的重均分子量,硅原子含量优选为2至50质量%,更优选2至30质量%。而且,基于疏水性树脂(E)中含有的所有重复单元,含有硅原子的重复单元优选占10至100摩尔%,更优选20至100摩尔%。
疏水性树脂(E)的标准聚苯乙烯当量重均分子量优选为1,000至100,000,更优选1,000至50,000,再更优选2,000至15,000。
对于疏水性树脂(E),可以使用一种类型,也可以组合使用多种类型。
基于用于在本发明中使用的组合物中的总固体含量,组合物中疏水性树脂(E)的含量优选为0.01至10质量%,更优选0.05至8质量%,再更优选0.1至5质量%。
在疏水性树脂(E)中,与树脂(A)类似,当然优选的是杂质如金属的含量小,但残留单体或低聚物组分的含量也优选为0.01至5质量%,更优选0.01至3质量%,再更优选0.05至1质量%。由于含量在该范围内,可以获得没有液体中的外来物质和随灵敏度等的老化的改变的化学增幅型抗蚀剂组合物。此外,考虑到分辨率、抗蚀剂外形、抗蚀剂图案的侧壁、粗糙度等,分子量分布(Mw/Mn,有时称为“多分散性”)优选为1至5,更优选1至3,再更优选1至2。
作为疏水性树脂(E),可以使用多种商购产品,或者该树脂可以通过传统方法(例如,自由基聚合)合成。一般的合成方法的实例包括将单体物种和引发剂溶解在溶剂中并加热溶液,从而实现聚合的分批聚合法,以及将含有单体物种和引发剂的溶液在1至10小时内逐滴加入至加热下的溶剂的滴加聚合法。滴加聚合法是优选的。
反应溶剂、聚合引发剂、反应条件(例如,温度、浓度)和反应之后的提纯方法与对于树脂(A)描述的那些相同,但在疏水性树脂(E)的合成中,反应中的浓度优选为30至50质量%。
疏水性树脂(E)的具体实例在下面示例。而且,每种树脂的重复单元的摩尔比(对应于从左侧开始的重复单元)、重均分子量和多分散性在后面的表4和5中给出。
Figure BDA0000439671320001221
Figure BDA0000439671320001231
Figure BDA0000439671320001241
表4
Figure BDA0000439671320001271
表5
[6](F)表面活性剂
用于在本发明中使用的化学增幅型抗蚀剂组合物可以还含有也可以不含有表面活性剂,但在含有表面活性剂的情况下,优选的是含有含氟和/或含硅表面活性剂(含氟表面活性剂、含硅表面活性剂和含有氟原子和硅原子两者的表面活性剂)中的任一种,或其两种以上。
通过含有表面活性剂,当使用具有250nm以下,特别地220nm以下的波长的曝光光源时,用于在本发明中使用的化学增幅型抗蚀剂组合物可以给出在灵敏度、分辨率和粘附性上提高以及在显影缺陷上减少的抗蚀剂图案。
含氟和/或含硅表面活性剂的实例包括美国专利申请公开号2008/0248425的第[0276]段中描述的表面活性剂,如EFtop EF301和EF303(由Shin-Akita Kasei K.K.制造);Florad FC430、431和4430(由Sumitomo3M Inc.制造);Megaface F171、F173、F176、F189、F113、F110、F177、F120和R08(由DIC Corporation制造);Surflon S-382、SC101、102、103、104、105和106和KH-20(由Asahi Glass Co.,Ltd.制造);TroysolS-366(由Troy Chemical制造);GF-300和GF-150(由Toagosei ChemicalIndustry Co.,Ltd.制造);Surflon S-393(由Seimi Chemical Co.,Ltd.制造);EFtop EF121、EF122A、EF122B、RF122C、EF125M、EF135M、EF351、EF352、EF801、EF802和EF601(由JEMCO Inc.制造);PF636、PF656、PF6320和PF6520(由OMNOVA制造);以及FTX-204G、208G、218G、230G、204D、208D、212D、218D和222D(由NEOS Co.,Ltd.制造)。此外,还可以使用聚硅氧烷聚合物KP-341(由Shin-Etsu Chemical Co.,Ltd.制造)作为含硅表面活性剂。
除那些已知的表面活性剂之外的,可以使用这样的表面活性剂,所述表面活性剂使用具有得自氟-脂族化合物的氟-脂族基团的聚合物,其通过调聚反应工艺(也称作调聚物工艺)或低聚化工艺(也称作低聚物工艺)制造。氟-脂族化合物可以通过JP-A-2002-90991中描述的方法合成。
归为以上表面活性剂的表面活性剂的实例包括Megaface F178、F-470、F-473、F-475、F-476和F-472(由DIC Corporation制造);含有C6F13基团的丙烯酸酯(或甲基丙烯酸酯)与(聚(氧化烯))丙烯酸酯(或甲基丙烯酸酯)的共聚物;以及含有C3F7基团的丙烯酸酯(或甲基丙烯酸酯)与(聚(氧乙烯))丙烯酸酯(或甲基丙烯酸酯)和(聚(氧丙烯))丙烯酸酯(或甲基丙烯酸酯)的共聚物。
在本发明中,还可以使用美国专利申请公开号2008/0248425的第[0280]段中描述的除含氟和/或含硅表面活性剂之外的表面活性剂。
可以单独使用这些表面活性剂中的一种,也可以组合使用它们中的一些。
在化学增幅型抗蚀剂组合物含有表面活性剂的情况下,基于化学增幅型抗蚀剂组合物的总量(不包括溶剂),所使用的表面活性剂的量优选为0.0001至2质量%,更优选0.0005至1质量%。
另一方面,通过将表面活性剂的加入量设定为基于化学增幅型抗蚀剂组合物的全部量(不包括溶剂)10ppm以下,疏水性树脂更不均匀地分布至表面,以使得抗蚀剂膜表面可以变得更加疏水并且浸渍曝光时水的流动能力可以提高。
[7](G)其他添加剂
为了调节性能的目的,除了上面描述的组分之外,用于在本发明中使用的化学增幅型抗蚀剂组合物可以含有羧酸
Figure BDA0000439671320001301
、低分子溶解抑制剂等。
从提高分辨率的角度,用于在本发明中使用的化学增幅型抗蚀剂组合物优选以30至250nm,更优选30至200nm的膜厚度使用。这种膜厚度可以通过将组合物中的固体含量浓度设定为合适的范围,从而赋予合适的粘度并增强可涂布性和成膜性而获得。
用于在本发明中使用的化学增幅型抗蚀剂组合物中的固体含量浓度通常为1.0至10质量%,优选2.0至5.7质量%,更优选2.0至5.3质量%。通过将固体含量浓度设定为上面的范围,可以将抗蚀剂溶液均匀地涂布在基板上,并且此外,可以形成在线宽度粗糙度方面具有出色的性能的抗蚀剂图案。尽管并不清楚地知道其原因,但据认为:依靠将固体含量浓度设定为10质量%以下,优选5.7质量%以下,抑制了材料特别是光致酸生成剂在抗蚀剂溶液中的聚集,作为结果,可以形成均匀的抗蚀剂膜。
固体含量浓度是基于化学增幅型抗蚀剂组合物的总重量的不包括溶剂的抗蚀剂组分的重量的重量百分比。
用于在本发明中使用的化学增幅型抗蚀剂组合物通过如下方式使用:将以上组分溶解在预定有机溶剂中,优选上述混合溶剂中,将溶液通过过滤器过滤,并且将其涂布在预定载体(基板)上。用于过滤的过滤器优选为具有0.1μm以下,更优选0.05μm以下,再更优选0.03μm以下的孔径的聚四氟乙烯、聚乙烯或尼龙制过滤器。在通过例如JP-A-2002-62667中描述的过滤器的过滤中,可以进行循环过滤,也可以通过串联或并联连接多种类型的过滤器进行过滤。而且,可以将组合物过滤多次。此外,可以在通过过滤器过滤之前和之后将脱气处理等应用于组合物。
用于在本发明中使用的化学增幅型抗蚀剂组合物的具体优选实例包含如下所示的抗蚀剂组合物R1至R12,但只要化学抗蚀剂组合物含有(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂和(B)能够在用光化射线或辐射照射时产生酸的化合物即可,本发明不限于作为具体实例的这些树脂。
更具体地,抗蚀剂组合物R1至R12通过下列方法制备和获得:将下面的表6中所示的组分溶解在相同的表中所示的溶剂中至以全部固体含量计3.8质量%的浓度,并且将该溶液通过具有0.1μm的孔径的聚乙烯过滤器过滤。
Figure BDA0000439671320001321
<树脂(A)>
关于表7中的树脂(P-1)至(P-11),显示构成所述树脂的重复单元、重复单元的摩尔比、重均分子量和多分散性。以摩尔比计的重复单元对应于从左侧开始的相应的单体。
表7
表7中的重复单元如下。
Figure BDA0000439671320001332
Figure BDA0000439671320001341
<酸生成剂(B)>
酸生成剂如下。
Figure BDA0000439671320001342
<当用光化射线或辐射照射时其碱度降低的碱性化合物(C)和碱性化合物(C′)>
当用光化射线或辐射照射时其碱度降低的碱性化合物以及碱性化合物如下。
N-1:2-苯基苯并咪唑
Figure BDA0000439671320001343
<疏水性树脂(E)>
疏水性树脂如下。
<表面活性剂>
表面活性剂如下。
W-1:Megaface F176(由DIC Corp.制造;含氟)
<溶剂>
溶剂如下。
SL-1:丙二醇单甲醚乙酸酯(PGMEA)
SL-2:丙二醇单甲醚(PGME)
SL-3:环己酮
SL-4:γ-丁内酯
使用上面描述的抗蚀剂组合物R1至R12的每一个进行本发明的图案形成方法(例如,使用ArF浸渍曝光的根据本发明的第一至第五实施方案的图案形成方法),从而可以在基板中以超细(例如,80nm以下)间距成功地并容易地形成多个孔图案。
工业实用性
根据本发明,可以提供一种能够成功地并且容易地在基板中以超细(例如,80nm以下)间距形成多个孔图案的图案形成方法,一种用于通过使用所述图案形成方法制造电子器件的方法,以及一种电子器件。
本申请基于2011年6月17日提交的日本专利申请(日本专利申请号2011-135777),并且其内容通过引用结合在此。
[附图标记]
10、11、12 基板
14A、15A、16A 第一孔图案
14H、15H、16H 第一孔图案群
20、21、22、23、31、32、33 抗蚀剂膜
21A、31A 第一间隙群
21B、31B 第一线群
21L、31L 第一线-间隙潜像
22A、32A 第二间隙群
22B、32B 第二线群
22C、32C 未曝光区
22L、32L 第二线-间隙潜像
23A 第一抗蚀剂孔图案
23H 第一抗蚀剂孔图案群
24A、25A、26A 第二孔图案
24H、25H、26H 第二孔图案群
33A 第二抗蚀剂孔图案
33H 第二抗蚀剂孔图案群
36A 第三孔图案
36H 第三孔图案群

Claims (15)

1.一种用于在基板中形成多个孔图案的图案形成方法,
其中所述图案形成方法包括多个图案形成步骤,所述多个图案形成步骤各自按顺序包括以下步骤(1)至(6):
(1)通过使用化学增幅型抗蚀剂组合物在所述基板上形成抗蚀剂膜的步骤,所述化学增幅型抗蚀剂组合物含有:
(A)能够通过酸的作用增加极性以降低在含有机溶剂的显影液中的溶解性的树脂,和
(B)能够在用光化射线或辐射照射时产生酸的化合物,
(2)对所述抗蚀剂膜进行曝光以形成第一线-间隙潜像的步骤,在所述第一线-间隙潜像中,第一线群和第一间隙群交替排列,
(3)对形成有所述第一线-间隙潜像的所述抗蚀剂膜进行曝光以形成第二线-间隙潜像的步骤,在所述第二线-间隙潜像中,第二线群和第二间隙群交替排列,使得所述第二线-间隙的线方向与所述第一线-间隙潜像中的线方向交叉,
(4)通过使用含有机溶剂的显影液将其中形成有所述第一线-间隙潜像以及第二线-间隙潜像的所述抗蚀剂膜显影,以在所述抗蚀剂膜中形成孔图案群的步骤,
(5)对具有形成有所述孔图案群的所述抗蚀剂膜的所述基板施加蚀刻处理,以在所述基板中在对应于所述抗蚀剂膜中的所述孔图案群的位置形成孔图案群的步骤,和
(6)移除其中形成有所述孔图案群的所述抗蚀剂膜的步骤,
其中在所述多个图案形成步骤的每一个中,构成在所述基板中形成的所述孔图案群的所有孔图案均在与构成在其它图案形成步骤中形成的所述孔图案群的所述孔图案的所有位置不同的位置形成。
2.根据权利要求1所述的图案形成方法,其中在所述形成所述第一线-间隙潜像的步骤和所述形成所述第二线-间隙潜像的步骤的每一个中,使用ArF准分子激光并且通过浸渍液曝光所述抗蚀剂膜。
3.根据权利要求1或2所述的图案形成方法,其中通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的各个中心至中心距离为80nm以下。
4.根据权利要求3所述的图案形成方法,其中通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的各个中心至中心距离为70nm以下。
5.根据权利要求1至4中的任一项所述的图案形成方法,其中构成所述第一间隙群的多个间隙的宽度彼此相等,并且构成所述第二间隙群的多个间隙的宽度彼此相等。
6.根据权利要求5所述的图案形成方法,其中在形成所述第二线-间隙潜像的步骤中,形成所述第二线-间隙潜像以使得所述第二线-间隙的所述线方向与所述第一线-间隙潜像中的所述线方向成直角。
7.根据权利要求5或6所述的图案形成方法,其中所述第一间隙群中的所述间隙的宽度与所述第二间隙群中所述间隙的宽度相同。
8.根据权利要求7所述的图案形成方法,其中在通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的每一个中,在所述基板的平面方向上的圆形横截面的直径为28nm以下。
9.根据权利要求8所述的图案形成方法,其中通过所述多个图案形成步骤在所述基板中形成的所述多个孔图案的每一个中,在所述基板的平面方向上的圆形横截面的直径为25nm以下。
10.根据权利要求5所述的图案形成方法,其中在形成所述第二线-间隙潜像的步骤中,形成所述第二线-间隙潜像以使得所述第二线-间隙的所述线方向与所述第一线-间隙潜像中的所述线方向倾斜相交。
11.根据权利要求1至10中的任一项所述的图案形成方法,所述图案形成方法包括:
将所述图案形成步骤进行三次以上。
12.根据权利要求1至11中的任一项所述的图案形成方法,其中形成所述第一线-间隙潜像的步骤和形成所述第二线-间隙潜像的步骤的每一个中的所述曝光是使用偶极照明的曝光。
13.根据权利要求1至12中的任一项所述的图案形成方法,其中形成所述第一线-间隙潜像的步骤和形成所述第二线-间隙潜像的步骤的每一个中的所述曝光是使用选自二元掩模和相移掩模的光掩模的曝光。
14.一种电子器件的制造方法,所述制造方法包括:
根据权利要求1至13中的任一项所述的图案形成方法。
15.一种电子器件,所述电子器件通过根据权利要求14所述的电子器件的制造方法制造。
CN201280029823.6A 2011-06-17 2012-06-08 图案形成方法、使用所述图案形成方法制造电子器件的方法,以及电子器件 Active CN103620738B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011-135777 2011-06-17
JP2011135777A JP5990367B2 (ja) 2011-06-17 2011-06-17 パターン形成方法、及び、これを用いた電子デバイスの製造方法
PCT/JP2012/065298 WO2012173215A1 (en) 2011-06-17 2012-06-08 Pattern forming method, method for manufacturing electronic device by using the same, and electronic device

Publications (2)

Publication Number Publication Date
CN103620738A true CN103620738A (zh) 2014-03-05
CN103620738B CN103620738B (zh) 2016-08-17

Family

ID=47357195

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280029823.6A Active CN103620738B (zh) 2011-06-17 2012-06-08 图案形成方法、使用所述图案形成方法制造电子器件的方法,以及电子器件

Country Status (6)

Country Link
US (1) US20140106119A1 (zh)
JP (1) JP5990367B2 (zh)
KR (1) KR20140045945A (zh)
CN (1) CN103620738B (zh)
TW (1) TWI557499B (zh)
WO (1) WO2012173215A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106125520A (zh) * 2016-08-12 2016-11-16 京东方科技集团股份有限公司 光刻胶前烘方法、装置及光刻设备
CN109116674A (zh) * 2017-06-22 2019-01-01 华邦电子股份有限公司 光罩组及其光刻方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6127989B2 (ja) * 2013-02-14 2017-05-17 信越化学工業株式会社 パターン形成方法
JP6126551B2 (ja) * 2013-05-20 2017-05-10 富士フイルム株式会社 パターン剥離方法、電子デバイスの製造方法
JP6097652B2 (ja) 2013-07-31 2017-03-15 富士フイルム株式会社 パターン形成方法、パターン、並びに、これらを用いたエッチング方法、及び、電子デバイスの製造方法
JP6234898B2 (ja) * 2013-09-25 2017-11-22 信越化学工業株式会社 フォトマスクブランクの製造方法
JP6296972B2 (ja) * 2014-02-17 2018-03-20 富士フイルム株式会社 パターン形成方法、エッチング方法、及び、電子デバイスの製造方法
KR102173083B1 (ko) 2014-06-11 2020-11-02 삼성전자주식회사 높은 종횡비를 갖는 반도체 소자 형성 방법 및 관련된 소자
KR102230503B1 (ko) * 2015-04-14 2021-03-22 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 마스크 패턴 제조 시스템 및 방법
JP6431472B2 (ja) * 2015-12-24 2018-11-28 東京エレクトロン株式会社 パターン形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182203A1 (en) * 2007-01-25 2008-07-31 Samsung Electronics Co., Ltd. Photoacid generators, photoresist composition including the same and method of forming pattern using the same
US20100035192A1 (en) * 2008-08-06 2010-02-11 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
US20100323305A1 (en) * 2006-12-25 2010-12-23 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
CN102033420A (zh) * 2009-09-29 2011-04-27 Hoya株式会社 光掩模及其制造方法、图案转印方法及液晶显示装置制作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0346316A (ja) * 1989-07-14 1991-02-27 Oki Electric Ind Co Ltd レジストパターン形成方法
JPH04206813A (ja) * 1990-11-30 1992-07-28 Matsushita Electric Ind Co Ltd 露光方法
JPH05243115A (ja) * 1992-01-22 1993-09-21 Nec Corp 半導体装置の製造方法
JP4167664B2 (ja) * 2004-02-23 2008-10-15 株式会社東芝 レチクルの補正方法、レチクルの作製方法、パターン形成方法及び半導体装置の製造方法
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
JP2008227465A (ja) * 2007-02-14 2008-09-25 Renesas Technology Corp 半導体装置の製造方法
JP5270249B2 (ja) * 2008-03-25 2013-08-21 富士フイルム株式会社 ネガ型現像用レジスト組成物及びこれを用いたパターン形成方法
JP5520590B2 (ja) * 2009-10-06 2014-06-11 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物及びレジスト膜
JP5677127B2 (ja) * 2011-02-18 2015-02-25 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100323305A1 (en) * 2006-12-25 2010-12-23 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US20080182203A1 (en) * 2007-01-25 2008-07-31 Samsung Electronics Co., Ltd. Photoacid generators, photoresist composition including the same and method of forming pattern using the same
US20100035192A1 (en) * 2008-08-06 2010-02-11 Tokyo Ohka Kogyo Co., Ltd. Method of forming resist pattern
CN102033420A (zh) * 2009-09-29 2011-04-27 Hoya株式会社 光掩模及其制造方法、图案转印方法及液晶显示装置制作方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106125520A (zh) * 2016-08-12 2016-11-16 京东方科技集团股份有限公司 光刻胶前烘方法、装置及光刻设备
CN106125520B (zh) * 2016-08-12 2020-04-28 京东方科技集团股份有限公司 应用光刻胶前烘装置进行光刻胶前烘的方法
CN109116674A (zh) * 2017-06-22 2019-01-01 华邦电子股份有限公司 光罩组及其光刻方法

Also Published As

Publication number Publication date
KR20140045945A (ko) 2014-04-17
JP5990367B2 (ja) 2016-09-14
TW201300942A (zh) 2013-01-01
CN103620738B (zh) 2016-08-17
WO2012173215A1 (en) 2012-12-20
TWI557499B (zh) 2016-11-11
JP2013004820A (ja) 2013-01-07
US20140106119A1 (en) 2014-04-17

Similar Documents

Publication Publication Date Title
CN102227682B (zh) 使用含有机溶剂的显影剂的图案形成方法和用于图案形成方法的漂洗溶液
CN103649833B (zh) 图案形成方法、多层抗蚀剂图案、有机溶剂显影用多层膜、抗蚀剂组合物、用于制造电子器件的方法以及电子器件
CN103620738B (zh) 图案形成方法、使用所述图案形成方法制造电子器件的方法,以及电子器件
TWI501028B (zh) 圖案形成法、化學增幅光阻組成物及光阻薄膜
TWI548944B (zh) 圖案形成方法、多層抗蝕劑圖案、用於有機溶劑顯影的多層膜、電子元件的製造方法以及電子元件
JP6464152B2 (ja) 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び電子デバイスの製造方法
CN103582847B (zh) 图案形成方法、树脂组合物、抗蚀剂膜、用于制造电子器件的方法,以及电子器件
TWI582534B (zh) 圖案形成方法、感光化射線性或感放射線性樹脂組成物、抗蝕劑膜、電子元件的製造方法及電子元件
KR20150027285A (ko) 패턴 형성 방법 및 상기 방법에 사용하기 위한 감활성광선성 또는 감방사선성 수지 조성물
KR20140051992A (ko) 패턴 형성 방법, 감활성광선성 또는 감방사선성 수지 조성물, 레지스트 필름, 전자 디바이스의 제조 방법 및 전자 디바이스
WO2014141827A1 (ja) パターン形成方法、感活性光線性又は感放射線性樹脂組成物、電子デバイスの製造方法、及び、電子デバイス
WO2015133235A1 (ja) パターン形成方法、エッチング方法、電子デバイスの製造方法、及び、電子デバイス
WO2015122326A1 (ja) パターン形成方法、エッチング方法、電子デバイスの製造方法、及び、電子デバイス
WO2014080849A1 (ja) 感活性光線性又は感放射線性樹脂組成物、パターン形成方法、レジスト膜、電子デバイスの製造方法及び電子デバイス
WO2016190368A1 (ja) 基板処理方法、樹脂組成物及び電子デバイスの製造方法
CN103562795B (zh) 图案形成方法、感光化射线性或感放射线性树脂组成物及抗蚀剂膜
WO2015079814A1 (ja) 感活性光線性又は感放射線性樹脂組成物、それを用いたレジスト膜及びパターン形成方法、電子デバイスの製造方法、並びに、電子デバイス
WO2016163174A1 (ja) パターン形成方法、エッチング方法、及び、電子デバイスの製造方法
JP2015045702A (ja) パターン形成方法、感活性光線性又は感放射線性樹脂組成物、それを用いたレジスト膜、並びに、電子デバイスの製造方法及び電子デバイス
WO2015060151A1 (ja) パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
JP6438954B2 (ja) 感活性光線性又は感放射線性樹脂組成物、パターン形成方法、及び電子デバイスの製造方法
WO2016132803A1 (ja) 有機パターン埋め込み用組成物、パターン形成方法、及び、電子デバイスの製造方法
WO2018042956A1 (ja) パターン形成方法、感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、及び、電子デバイスの製造方法
WO2015037364A1 (ja) 感活性光線性又は感放射線性樹脂組成物、それを用いたレジスト膜及びパターン形成方法、電子デバイスの製造方法、並びに、電子デバイス
TW201716861A (zh) 圖案形成方法及感光化射線性或感放射線性樹脂組成物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant