CN103515422A - 具有高迁移率和应变沟道的FinFET - Google Patents

具有高迁移率和应变沟道的FinFET Download PDF

Info

Publication number
CN103515422A
CN103515422A CN201210326652.5A CN201210326652A CN103515422A CN 103515422 A CN103515422 A CN 103515422A CN 201210326652 A CN201210326652 A CN 201210326652A CN 103515422 A CN103515422 A CN 103515422A
Authority
CN
China
Prior art keywords
semi
fin
conducting material
raceway groove
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210326652.5A
Other languages
English (en)
Other versions
CN103515422B (zh
Inventor
沈俊良
蔡国强
李后儒
梁春升
赖高廷
丁国强
吴集锡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103515422A publication Critical patent/CN103515422A/zh
Application granted granted Critical
Publication of CN103515422B publication Critical patent/CN103515422B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种集成电路器件,包括:至少被部分地嵌入浅沟槽隔离(STI)区并在源极和漏极之间延伸的鳍。该鳍由第一半导体材料形成,并且具有位于第一端部和第二端部之间的修整部分。由第二半导体材料形成的保护层,被设置在该鳍的修整部分的上方,以形成高迁移沟道。栅电极结构在该高迁移沟道上方以及第一端部和第二端部之间形成。本发明提供具有高迁移率和应变沟道的FinFET。

Description

具有高迁移率和应变沟道的FinFET
技术领域
本发明涉及一种集成电路器件,具体而言,本发明涉及鳍场效应晶体管及其形成方法。
背景技术
半导体器件被应用于大量电子器件中,如电脑,手机以及其他电子器件。半导体器件包括集成电路,该集成电路通过在半导体晶圆上设置多种薄膜材料并图案化该薄膜材料而在半导体晶圆上形成。集成电路包括:场效应晶体管(FET),如金属氧化物半导体(MOS)晶体管。
半导体产业的目标之一是继续缩小规格以及提高单个FET的速度。为了实现这些目标,鳍FET(FinFET)或多栅极晶体管将被用在亚32nm晶体管节点中。例如,FinFET不仅提高了面密度,而且还改善了沟道的栅极控制。
虽然传统的FinFET器件可以提供流动性和/或应变沟道,但是该沟道在这种FinFET器件上形成的工艺可以导致不良结果。例如,源极/漏极选择性外延生长工艺或激活退火工艺可以对FinFET器件的沟道产生不利的热冲击。事实上,该沟道的材料特性可能改变,并且该沟道提供的应变可能衰减或减缓。
此外,沟道的材料与周围材料(如硅)之间的不匹配可能导致点或面晶体缺陷的产生,特别是当该材料受到热加工的时候。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种集成电路器件,包括:在源极和漏极之间延伸的鳍,所述鳍具有位于第一端部和第二端部之间并被保护层覆盖的修整部分,所述修整部分以及所述第一端部和所述第二端部由第一半导体材料形成,所述保护层由与所述第一半导体材料不同的第二半导体材料形成,以形成高迁移沟道;以及在位于所述第一端部和所述第二端部之间的所述高迁移沟道的上方形成的栅电极结构。
在上述集成电路器件中,其中,所述第一半导体材料是硅而所述第二半导体材料是硅锗。
在上述集成电路器件中,其中,所述第二半导体材料是硅锗,锗,以及III-V半导体合金中的一种。
在上述集成电路器件中,其中,所述高迁移沟道包括:设置在所述保护层上方的第二保护层,所述第二保护层由所述第一半导体材料形成。
在上述集成电路器件中,其中,所述高迁移沟道被配置成在鳍中产生张力或压力。
在上述集成电路器件中,其中,所述高迁移沟道的厚度至少小于所述鳍的所述第一端部和所述第二端部之一的厚度。
在上述集成电路器件中,其中,所述鳍的所述修整部分具有正方形的,矩形的,梯形的,三角形的,六边形的,八边形的,倒梯形的,弧形的,以及平面的鳍修整轮廓中的一种。
在上述集成电路器件中,其中,所述高迁移沟道具有正方形的,矩形的,梯形的,三角形的,部分六边形的,六边形的,八边形的,倒梯形的,以及弧形的沟道轮廓中的一种。
在上述集成电路器件中,其中,所述鳍至少被部分地嵌入浅沟槽隔离(STI)区。
根据本发明的另一方面,还提供了一种集成电路器件,包括:在源极和漏极之间延伸的鳍,所述鳍具有位于第一端部和第二端部之间并被第一保护层和第二保护层覆盖的修整部分,所述修整部分、所述第一端部和所述第二端部、以及所述第二保护层由第一半导体材料形成,所述第一保护层由与所述第一半导体材料不同的第二半导体材料形成,以形成高迁移沟道;以及在位于所述第一端部和所述第二端部内侧的所述高迁移沟道的上方形成的栅电极结构。
在上述集成电路器件中,其中,所述第一半导体材料是硅而所述第二半导体材料是硅锗、锗、以及III-V半导体合金中的一种。
在上述集成电路器件中,其中,所述高迁移沟道被配置成在鳍中产生张力或压力。
在上述集成电路器件中,其中,所述高迁移沟道的厚度至少小于所述鳍的所述第一端部和所述第二端部之一的厚度。
在上述集成电路器件中,其中,所述鳍的所述修整部分具有正方形的,矩形的,梯形的,三角形的,六边形的,八边形的,倒梯形的,弧形的,以及平面的鳍修整轮廓中的一种。
在上述集成电路器件中,其中,所述高迁移沟道具有正方形的,矩形的,梯形的,三角形的,部分六边形的,六边形的,八边形的,倒梯形的,以及弧形的沟道轮廓中的一种。
在上述集成电路器件中,其中,第一间隔件被设置成与所述源极相邻并位于所述鳍的所述第一端部的上方,而第二间隔件被设置成与所述漏极相邻并位于所述鳍的所述第二端部的上方。
在上述集成电路器件中,其中,所述鳍至少被部分地嵌入浅沟槽隔离(STI)区。
根据本发明的又一方面,还提供了一种形成鳍场效应晶体管(FinFET)器件的方法,包括:由第一半导体材料形成鳍,所述鳍被设置在源极和漏极之间,并且至少被部分地嵌入浅沟槽隔离(STI)区;修整所述鳍位于第一端部和第二端部之间的一部分,以形成修整部分;用第二半导体材料覆盖所述修整部分,以形成高迁移沟道;以及在所述高迁移沟道上方以及所述第一端部和所述第二端部之间形成栅电极结构。
在上述方法中,进一步包括:用所述第一半导体材料覆盖所述第二半导体材料,以形成所述高迁移沟道。
在上述方法中,进一步包括:通过所述高迁移沟道,在所述鳍中至少产生张力与压力之一。
附图说明
为了更完全地理解本发明及其优点,现在将结合附图所进行的以下描述作为参考,其中:
图1是为了说明的目的而削减了部分的现有技术FinFET器件的透视图;
图2是图1所述的现有技术FinFET器件大致沿线x-x截取的横截面;
图3是为了说明的目的而削减了部分的实施例FinFET器件的透视图;
图4是图3所述的FinFET器件大致沿线x-x截取的横截面;
图5是图3所述的FinFET器件的俯视图;
图6是用来在与图3的FinFET器件相似的实施例FinFET器件中形成高迁移沟道的第二保护层的截面图;
图7是在形成图3的FinFET器件的实施例方法中使用的替换栅极工艺的截面图;
图8是形成图3的FinFET器件的实施例方法中的保护性氧化物去除工艺的透视图;
图9是形成图3的FinFET器件的实施例方法中的修整工艺的透视图;
图10是用于形成图3的FinFET器件中的高迁移沟道的保护层的透视图;
图11-20是图3的FinFET器件大致沿图8所述线y-y截取的经过修整的鳍的实施例轮廓的截面图;以及
图21-31是图3的FinFET器件大致沿图9所述线y-y截取的迁移沟道的实施例轮廓的截面图。
除非另有说明,不同附图中的相应标号和符号通常指相应部件。将附图绘制成清楚地示出实施例的相关方面而不必须成比例绘制。
具体实施方式
以下详细讨论制作和使用实施例。然而,应当理解,本发明提供了许多可以在各种具体情况下实施的适用概念。所讨论的具体实施例,仅仅是说明性的,并不限定本发明的范围。
本发明将被描述为关于在一种具体情况下的实施例,即FinFET金属氧化物半导体(MOS)。然而,该概念也可以被应用在其他集成电路和电子结构中,其中包括,但不仅限于:多栅级场效应晶体管(MuGFET)以及纳米线器件。
图1-2代表现有技术FinFET器件10,其将被简要描述。FinFET器件10包括:支撑数个鳍14的衬底12,其至少被部分地嵌入浅沟槽隔离(STI)区16。鳍14通常在位于FinFET器件10的相对端的源漏区18之间延伸。堆叠在邻近源漏区18的一部分鳍14上的间隔件20被设置在图2所示的栅电极结构22的相对侧。
虽然为了便于图示而并未示出,图1和图2中的栅电极结构22可以包括:若干分立层或元件,例如,界面氧化层,高k介电层,以及金属栅极层。在图1-2中,衬底12由硅形成,而鳍14由硅锗形成。在实施例中,鳍14的锗剂量浓度在大约10%到100%的范围内。
如图2所示,鳍14位于间隔件20正下方(并与源漏区18相邻)的部分,以及鳍14位于栅电极结构22正下方的部分由相同材料形成,并且共同形成迁移沟道(mobility channel)24。不幸的是,当这两部分都由相同的半导体材料(如硅锗)形成时,迁移沟道24可能随着n-型FET激活而恶性退化,其将导致更高的源/漏电阻。此外,整个迁移沟道24使用相同材料,产生高热预算,其将导致升高的界面陷阱密度(Dit)。也可以认识到其他不利结果。
参见图3,示出了实施例FinFET器件26。如下将更完整地说明,可以使用简单的替换栅极工艺形成FinFET器件26,在间隔件和栅电极结构下方使用不同的半导体材料以降低沟道体积并引发低源/漏电阻,并提供更低的热预算以及更高的沟道剂量浓度。
如图3-4所示,FinFET器件26包括:一个或多个由下方衬底30支撑的鳍28,衬底可以是适当形成的硅或其他半导体材料。每个鳍28在源漏区32之间延伸。在实施例中,每个鳍28至少被部分地嵌入STI区34。在另外的实施例中,鳍28可以终止于STI区34的上表面下方或与之齐平。
每个鳍28包括:位于每个鳍28相对侧的第一端部38和第二端部40之间的修整部分(trimmed portion)36。如下将更完整的说明,修整部分36通常具有相对于第一和第二端部38,40缩减的轮廓。修整部分36以及第一和第二端部38,40由第一半导体材料42形成。在实施例中,第一半导体材料42是硅。
在实施例中,修整部分36被保护层44覆盖(又名,应变膜)。在实施例中,保护层44大约厚0.1nm(1A)到50μm。保护层44由与第一半导体材料42不同的第二半导体材料46形成,以此形成高迁移沟道48。在实施例中,第二半导体材料46是硅锗,锗,或III-V半导体合金。高迁移沟道48被配置成在鳍28中产生张力或压力。
在实施例中,间隔件50在每个鳍28的第一端部38和第二端部40的上方形成。如图所示,间隔件50通常与源漏区32相邻并设置在如图4所示的栅电极结构52的相对侧。虽然在图4中示出为单个元件,但栅电极结构52可能包括,例如:界面氧化层,高k介电层,以及金属栅极。
如图4所示,在实施例中,高迁移沟道48(以及鳍28下面的修整部分36)的宽度54小于大约5μm。此外,在实施例中,第一和第二端部38,40的宽度56小于大约5μm。仍参见图4,在实施例中,高迁移沟道48的高度58小于大约1μm。此外,在实施例中,第一和第二端部38,40的高度60小于大约1μm。在实施例中,高迁移沟道48的宽度54和/或高度58可以比第一和第二端部38,40的要小。
现参见图5,在实施例中,鳍28的高迁移沟道48的厚度62小于第一和第二端部38,40的厚度64。通过增加第一和第二端部38,40的相对于高迁移沟道48的厚度62的厚度,第一和第二端部38,40的寄生电阻被降低。为了进一步降低寄生电阻,在实施例中,如图5所示,源漏区32被扩大以合并每个鳍28。在此实施例中,源漏区32可以由外延工艺形成。
如图6所示,在实施例中,第二保护层66可以在保护层44上方形成,以形成高迁移沟道48。在实施例中,第二保护层66由第一半导体材料42形成。在实施例中,第二保护层66由硅形成。在实施例中,第二保护层66大约0.1nm(1A)至大约50μm厚。在实施例中,第二保护层66的不同部分可以具有不同的晶体结构。例如,第二保护层顶部的晶体结构可以是<001>,并且第二保护层66侧壁的晶体结构可以是<110>。在其他实施例中,不同的晶体结构可以被用于第二保护层66。在实施例中,高迁移沟道48具有<110>晶体结构,并且提供可以改进沟道迁移率的压缩应变。
总体参见图7-10,描述了形成图3的FinFET器件26的实施例方法。在图7中,示出了部分形成的集成电路器件。值得注意,去除了部分形成的集成电路器件的一部分以便于图示。首先,一个或多个传统的鳍68使用公知方法形成。此后,栅极电介质(未示出)被设置在鳍68上。其次,实施替换栅极(RPG)工艺。在RPG工艺中,保护材料70(例如,保护氧化物或多晶硅)在鳍68以及间隔件50之间的STI区域16上方形成。如图7所示,随着鳍28被保护起来,形成了源漏区32。在实施例中,源漏区32通过使用大约600℃以下的选择性外延生长(SEG)工艺形成。在实施例中,还实施了大约600℃以下的退火工艺。
在源漏区32形成之后,如图8所示,保护材料70以及栅极电介质被去除。保护氧化物70以及栅极电介质的去除使得鳍68的中心部分未被覆盖。换句话说,在间隔件50内侧的鳍68的一部分现在被暴露出来。如上面所提到的,鳍68由第一半导体材料42形成,在本实例中是硅。
其次,如图9所示,每个鳍68在第一和第二端部38,40之间的一部分被修整,以形成鳍的修整部分36。在实施例中,鳍修整工艺可以被使用,以形成修整部分36。在实施例中,可以实施可选的重塑工艺,取决于例如器件优化需求。
在鳍28被满足需要地塑形之后,如图10所示,修整部分36可以用第二半导体材料46覆盖或盖上。当修整部分36被保护层44和/或第二保护层66(图6)覆盖的时候,在鳍28中便形成了高迁移沟道48。在实施例中,正如上面提到的,保护层44可以由硅锗,锗,或III-V半导体合金形成。在实施例中,在保护层44上方形成的第二保护层66(图6)可以由硅形成。
如图10或图6所示,在高迁移沟道48形成之后,栅电极结构52(图4)便形成了。正如前面所提到的,栅电极结构52可以包括:界面氧化层,介电层,以及金属栅极层。栅电极结构52在高迁移沟道48和STI区34的上方形成。栅电极结构52同样在每个鳍28的第一和第二端部38,40之间以及间隔件50内侧形成。
总体参见图11-20,在各种实施例中,鳍28的修整部分36可以具有,例如,正方形的,矩形的,梯形的,三角形的,六边形的,八边形的,倒梯形的,弧形的,以及平面的鳍修整轮廓72。在其他实施例中,鳍28的修整部分36也可以以其他修整的轮廓72形成。此外,如图21-31整体示出的,高迁移沟道48可以具有,例如,正方形的,矩形的,梯形的,三角形的,部分六边形的,六边形的,八边形的,倒梯形的,以及弧形的沟道轮廓74。在其他实施例中,高迁移沟道48也可以以其他修整的轮廓74形成。
上述形成的FinFET器件26或其他集成电路器件具有若干有益并满足需要的特性。例如,使用实施起来相对简单的RPG工艺形成FinFET器件26。此外,FinFET器件26在间隔件50下方提供了无锗的沟道,并且具有降低的沟道体积,以引发低电阻。进一步,FinFET器件26具有更低的热预算,并且实现了更高的沟道剂量浓度。
在实施例中,集成电路器件包括:在源极和漏极之间延伸的鳍。鳍具有位于第一端部和第二端部之间的修整部分,并且被保护层覆盖。修整部分以及第一端部和第二端部由第一半导体材料形成。保护层由与第一半导体材料不同的第二半导体材料形成,以形成高迁移沟道。栅电极结构在位于第一端部和第二端部之间的高迁移沟道的上方形成。
在实施例中,集成电路器件包括:在源极和漏极之间延伸的鳍。鳍具有位于第一端部和第二端部之间的修整部分,并且被第一保护层和第二保护层覆盖。修整部分,第一端部和第二端部,以及第二保护层由第一半导体材料形成。第一保护层由与第一半导体材料不同的第二半导体材料形成,以形成高迁移沟道。栅电极结构在位于第一端部和第二端部内侧的高迁移沟道的上方形成。
在实施例中,提供了一种形成FinFET的方法。鳍由第一半导体材料形成。鳍被设置在源极和漏极之间,并且至少被部分地嵌入浅沟槽隔离(STI)区。鳍位于第一端部和第二端部之间的一部分被修整,以形成修整部分。修整部分被第二半导体材料覆盖,以形成高迁移沟道。栅电极结构在高迁移沟道上方以及第一端部和第二端部之间形成。
虽然本发明提供了示例性实施例,但本说明书不应被理解为限定的意思。示例性实施例的各种改变和组合,以及其他实施例对于所属领域的技术人员来说,在参考本说明书的基础上将是显而易见的。因此,所附的权利要求包括任何这种修改或实施例。

Claims (10)

1.一种集成电路器件,包括:
在源极和漏极之间延伸的鳍,所述鳍具有位于第一端部和第二端部之间并被保护层覆盖的修整部分,所述修整部分以及所述第一端部和所述第二端部由第一半导体材料形成,所述保护层由与所述第一半导体材料不同的第二半导体材料形成,以形成高迁移沟道;以及
在位于所述第一端部和所述第二端部之间的所述高迁移沟道的上方形成的栅电极结构。
2.根据权利要求1所述的集成电路器件,其中,所述第一半导体材料是硅而所述第二半导体材料是硅锗。
3.根据权利要求1所述的集成电路器件,其中,所述第二半导体材料是硅锗,锗,以及III-V半导体合金中的一种。
4.根据权利要求1所述的集成电路器件,其中,所述高迁移沟道包括:设置在所述保护层上方的第二保护层,所述第二保护层由所述第一半导体材料形成。
5.根据权利要求1所述的集成电路器件,其中,所述高迁移沟道被配置成在鳍中产生张力或压力。
6.一种集成电路器件,包括:
在源极和漏极之间延伸的鳍,所述鳍具有位于第一端部和第二端部之间并被第一保护层和第二保护层覆盖的修整部分,所述修整部分、所述第一端部和所述第二端部、以及所述第二保护层由第一半导体材料形成,所述第一保护层由与所述第一半导体材料不同的第二半导体材料形成,以形成高迁移沟道;以及
在位于所述第一端部和所述第二端部内侧的所述高迁移沟道的上方形成的栅电极结构。
7.根据权利要求6所述的集成电路器件,其中,所述第一半导体材料是硅而所述第二半导体材料是硅锗、锗、以及III-V半导体合金中的一种。
8.根据权利要求6所述的集成电路器件,其中,所述高迁移沟道被配置成在鳍中产生张力或压力。
9.一种形成鳍场效应晶体管(FinFET)器件的方法,包括:
由第一半导体材料形成鳍,所述鳍被设置在源极和漏极之间,并且至少被部分地嵌入浅沟槽隔离(STI)区;
修整所述鳍位于第一端部和第二端部之间的一部分,以形成修整部分;
用第二半导体材料覆盖所述修整部分,以形成高迁移沟道;以及
在所述高迁移沟道上方以及所述第一端部和所述第二端部之间形成栅电极结构。
10.根据权利要求9所述的方法,进一步包括:用所述第一半导体材料覆盖所述第二半导体材料,以形成所述高迁移沟道。
CN201210326652.5A 2012-06-15 2012-09-05 具有高迁移率和应变沟道的FinFET Active CN103515422B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/525,050 2012-06-15
US13/525,050 US8729634B2 (en) 2012-06-15 2012-06-15 FinFET with high mobility and strain channel

Publications (2)

Publication Number Publication Date
CN103515422A true CN103515422A (zh) 2014-01-15
CN103515422B CN103515422B (zh) 2016-05-04

Family

ID=49755105

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210326652.5A Active CN103515422B (zh) 2012-06-15 2012-09-05 具有高迁移率和应变沟道的FinFET

Country Status (3)

Country Link
US (1) US8729634B2 (zh)
KR (1) KR101382846B1 (zh)
CN (1) CN103515422B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105826385A (zh) * 2015-01-27 2016-08-03 三星电子株式会社 制造具有鳍形图案的半导体器件的方法
CN105874573A (zh) * 2013-12-23 2016-08-17 英特尔公司 用于晶体管沟道应用的在包覆之前对Si鳍状物元件的预雕刻
CN106030813A (zh) * 2014-03-24 2016-10-12 英特尔公司 在用于晶体管沟道应用的置换栅极工艺期间的鳍状物雕刻和包覆
CN106298936A (zh) * 2016-08-16 2017-01-04 北京大学 一种倒梯形顶栅结构鳍式场效应晶体管及其制备方法
CN107481937A (zh) * 2017-08-21 2017-12-15 扬州江新电子有限公司 双角度类梯形截面形状的鳍型场效应晶体管及其评价方法
US10854752B2 (en) 2014-03-27 2020-12-01 Intel Corporation High mobility strained channels for fin-based NMOS transistors

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
CN103137685B (zh) * 2011-11-24 2015-09-30 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
KR101937258B1 (ko) 2012-09-04 2019-01-11 삼성디스플레이 주식회사 유기 발광 표시 장치
US9147682B2 (en) * 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9634000B2 (en) 2013-03-14 2017-04-25 International Business Machines Corporation Partially isolated fin-shaped field effect transistors
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
CN105531797A (zh) * 2013-06-28 2016-04-27 英特尔公司 具有用于III-N外延的Si(100)晶片上的Si(111)平面的纳米结构和纳米特征
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US9373720B2 (en) * 2013-10-14 2016-06-21 Globalfoundries Inc. Three-dimensional transistor with improved channel mobility
US9324717B2 (en) * 2013-12-28 2016-04-26 Texas Instruments Incorporated High mobility transistors
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
US10170332B2 (en) * 2014-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET thermal protection methods and related structures
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9324868B2 (en) * 2014-08-19 2016-04-26 Globalfoundries Inc. Epitaxial growth of silicon for FinFETS with non-rectangular cross-sections
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9793356B2 (en) 2014-09-12 2017-10-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9245883B1 (en) 2014-09-30 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9450093B2 (en) 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US9490176B2 (en) 2014-10-17 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET isolation
US9735256B2 (en) 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9496402B2 (en) 2014-10-17 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate with silicon sidewall spacers
US9502542B2 (en) 2014-12-18 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET transistor with fin back biasing
US9431304B2 (en) 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9515188B2 (en) 2014-12-22 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors having conformal oxide layers and methods of forming same
US10269921B2 (en) 2014-12-22 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Fin field effect transistors having conformal oxide layers and methods of forming same
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9601574B2 (en) 2014-12-29 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. V-shaped epitaxially formed semiconductor layer
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9564528B2 (en) 2015-01-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9397099B1 (en) 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9406680B1 (en) 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9899489B2 (en) 2015-02-13 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9761440B2 (en) 2015-03-13 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation on indium-based materials
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9406675B1 (en) 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
US9728598B2 (en) 2015-04-07 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having barrier layer to prevent impurity diffusion
US9496259B2 (en) 2015-04-14 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having fins with stronger structural strength
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9954107B2 (en) 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9530889B2 (en) 2015-05-21 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102376481B1 (ko) 2015-05-22 2022-03-21 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조방법
KR102392695B1 (ko) 2015-05-26 2022-05-02 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9773705B2 (en) 2015-06-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET channel on oxide structures and related methods
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US10050147B2 (en) 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9917189B2 (en) 2015-07-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for detecting presence and location of defects in a substrate
US9583623B2 (en) 2015-07-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
KR102336787B1 (ko) * 2015-08-11 2021-12-07 삼성전자주식회사 반도체 장치
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9472669B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
US10032873B2 (en) 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
US9607838B1 (en) 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9871114B2 (en) 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US10312075B2 (en) 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
US10312077B2 (en) 2015-10-08 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming aluminum-containing dielectric layer
US10411019B2 (en) 2015-10-20 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell word line structure with reduced RC effects
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9831242B2 (en) 2015-10-28 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9570580B1 (en) 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
US9978868B2 (en) 2015-11-16 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance field effect transistor with charged dielectric material
US9728505B2 (en) 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structrues of novel contact feature
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10008574B2 (en) 2015-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method of fabricating the same
US10163882B2 (en) 2015-12-16 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US10490552B2 (en) 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US11264452B2 (en) 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
US9653604B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10062688B2 (en) 2016-01-04 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain
US10529803B2 (en) 2016-01-04 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain
US9818846B2 (en) 2016-01-21 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively deposited spacer film for metal gate sidewall protection
US9601514B1 (en) 2016-01-26 2017-03-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US9865504B2 (en) * 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9966457B2 (en) * 2016-03-18 2018-05-08 Globalfoundries Inc. Transistor structure with varied gate cross-sectional area
TWI612674B (zh) 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
US9741821B1 (en) 2016-03-24 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step dummy gate formation
US9847425B2 (en) 2016-03-24 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a semiconductor strip as a base
US9773792B1 (en) 2016-03-25 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programming cell
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10269793B2 (en) 2016-04-28 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US9824969B1 (en) 2016-05-14 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and methods of forming the same
US10516051B2 (en) 2016-05-26 2019-12-24 Taiwan Semiconductor Manufacturing FinFET and method of fabrication thereof
US10283349B2 (en) 2016-05-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Single-crystal rare earth oxide grown on III-V compound
US10038094B2 (en) 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof
US9899382B2 (en) 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US9679887B1 (en) 2016-06-02 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Over-voltage protection circuit
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9716096B1 (en) 2016-06-28 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with feature spacer and method for manufacturing the same
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US9871115B1 (en) 2016-07-01 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Doped poly-silicon for polyCMP planarity improvement
US10276574B2 (en) 2016-07-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10790283B2 (en) 2016-07-15 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9870926B1 (en) 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10453688B2 (en) 2016-08-31 2019-10-22 National Chiao Tung University Method of manufacturing a semiconductor device including a ternary alloy layer formed by a microwafe anneal process
US9947594B2 (en) 2016-09-19 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9799566B1 (en) 2016-09-22 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9922976B1 (en) 2016-09-22 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10026840B2 (en) 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US10510618B2 (en) 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10741654B2 (en) 2016-11-17 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10872889B2 (en) 2016-11-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10134873B2 (en) 2016-11-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate structure and method of fabricating thereof
US10008603B2 (en) 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US10529861B2 (en) 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US20180151716A1 (en) 2016-11-28 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10211318B2 (en) 2016-11-29 2019-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10522650B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10115639B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming the same
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9935173B1 (en) 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US10515818B2 (en) 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10163626B2 (en) 2016-12-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and manufacturing method thereof
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10164063B2 (en) 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with protection layer
US10020198B1 (en) 2016-12-15 2018-07-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having low-k spacer and method of manufacturing the same
US10147609B2 (en) 2016-12-15 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor epitaxy bordering isolation structure
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10431670B2 (en) 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10830252B2 (en) 2017-01-27 2020-11-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10731653B2 (en) 2017-01-27 2020-08-04 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10584739B2 (en) 2017-01-27 2020-03-10 Regal Beloit Australia Pty Ltd Centrifugal pump assemblies having an axial flux electric motor and methods of assembly thereof
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10707331B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
CN108807276A (zh) * 2017-05-05 2018-11-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10629494B2 (en) 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11056592B2 (en) 2017-06-30 2021-07-06 Intel Corporation Silicon substrate modification to enable formation of thin, relaxed, germanium-based layer
US10170555B1 (en) 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain
US10276690B2 (en) 2017-07-31 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10236220B1 (en) 2017-08-31 2019-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10672886B2 (en) 2017-08-31 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high-k metal gate
US10096524B1 (en) 2017-10-18 2018-10-09 International Business Machines Corporation Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10163640B1 (en) 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate isolation plugs structure and method
US10522344B2 (en) 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10446669B2 (en) * 2017-11-30 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain surface treatment for multi-gate field effect transistors
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10340384B2 (en) 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10529629B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gates
US10529572B2 (en) 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10411128B1 (en) 2018-05-22 2019-09-10 International Business Machines Corporation Strained fin channel devices
US10644125B2 (en) 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US10756087B2 (en) 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510861B1 (en) 2018-06-15 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gaseous spacer and methods of forming same
US10861973B2 (en) 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with a diffusion blocking layer
US11164939B2 (en) * 2018-06-27 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor and method for forming the same
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11398477B2 (en) 2019-05-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11355339B2 (en) 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10854603B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11101366B2 (en) 2018-07-31 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma oxide layer
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10868137B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10644138B2 (en) 2018-08-14 2020-05-05 International Business Machines Corporation Fin field-effect transistors with enhanced strain and reduced parasitic capacitance
US10861936B2 (en) 2018-08-15 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-like field effect transistors having high mobility strained channels and methods of fabrication thereof
US10734227B2 (en) 2018-08-31 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11450739B2 (en) 2018-09-14 2022-09-20 Intel Corporation Germanium-rich nanowire transistor with relaxed buffer layer
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US10867864B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10916477B2 (en) 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US10777419B2 (en) * 2018-10-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin isolation and method of forming the same
US11101347B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11088028B2 (en) 2018-11-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
DE102019111297B4 (de) * 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
US10861746B2 (en) 2018-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11127857B2 (en) 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11257921B2 (en) 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11205647B2 (en) 2019-06-28 2021-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11380793B2 (en) 2019-07-31 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having hybrid work function layer stack
US11056395B2 (en) 2019-08-23 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor metal gate and method of manufacture
US11201084B2 (en) 2019-08-23 2021-12-14 Taiwan Semicondutor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11508735B2 (en) 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
US11217672B2 (en) 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
US11756832B2 (en) 2019-09-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structures in semiconductor devices
DE102020100099A1 (de) 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gatestrukturen in halbleitervorrichtungen
US11522083B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11362006B2 (en) 2019-10-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11482421B2 (en) 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
DE102020115368A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11309403B2 (en) 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11515403B2 (en) 2019-11-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11309190B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102020114867A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11430865B2 (en) 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11239330B2 (en) 2020-02-07 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bipolar junction transistor with gate over terminals
US11398384B2 (en) 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
US11557590B2 (en) 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
US11227940B2 (en) 2020-02-27 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11437280B2 (en) 2020-06-12 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11855163B2 (en) 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532481B2 (en) 2020-06-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method of forming
US11996453B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Introducing fluorine to gate after work function metal deposition
US11990511B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain device and method of forming thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888181B1 (en) * 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US20100270621A1 (en) * 2009-04-23 2010-10-28 Nec Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
CN102157555A (zh) * 2010-02-11 2011-08-17 台湾积体电路制造股份有限公司 鳍式场效晶体管
CN102194755A (zh) * 2010-03-01 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
JP5166458B2 (ja) * 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888181B1 (en) * 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US20100270621A1 (en) * 2009-04-23 2010-10-28 Nec Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
CN102157555A (zh) * 2010-02-11 2011-08-17 台湾积体电路制造股份有限公司 鳍式场效晶体管
CN102194755A (zh) * 2010-03-01 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105874573A (zh) * 2013-12-23 2016-08-17 英特尔公司 用于晶体管沟道应用的在包覆之前对Si鳍状物元件的预雕刻
CN105874573B (zh) * 2013-12-23 2020-04-28 英特尔公司 用于晶体管沟道应用的在包覆之前对Si鳍状物元件的预雕刻
CN106030813A (zh) * 2014-03-24 2016-10-12 英特尔公司 在用于晶体管沟道应用的置换栅极工艺期间的鳍状物雕刻和包覆
US10403626B2 (en) 2014-03-24 2019-09-03 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
CN106030813B (zh) * 2014-03-24 2020-01-03 英特尔公司 在用于晶体管沟道应用的置换栅极工艺期间的鳍状物雕刻和包覆
US10854752B2 (en) 2014-03-27 2020-12-01 Intel Corporation High mobility strained channels for fin-based NMOS transistors
CN105826385A (zh) * 2015-01-27 2016-08-03 三星电子株式会社 制造具有鳍形图案的半导体器件的方法
CN105826385B (zh) * 2015-01-27 2021-01-05 三星电子株式会社 制造具有鳍形图案的半导体器件的方法
CN106298936A (zh) * 2016-08-16 2017-01-04 北京大学 一种倒梯形顶栅结构鳍式场效应晶体管及其制备方法
CN107481937A (zh) * 2017-08-21 2017-12-15 扬州江新电子有限公司 双角度类梯形截面形状的鳍型场效应晶体管及其评价方法
CN107481937B (zh) * 2017-08-21 2020-07-03 扬州江新电子有限公司 双角度类梯形截面形状的鳍型场效应晶体管及其评价方法

Also Published As

Publication number Publication date
KR20130141327A (ko) 2013-12-26
CN103515422B (zh) 2016-05-04
US8729634B2 (en) 2014-05-20
KR101382846B1 (ko) 2014-04-08
US20130334606A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
CN103515422A (zh) 具有高迁移率和应变沟道的FinFET
KR101835655B1 (ko) 핀 전계 효과 트랜지스터 및 이의 제조 방법
US9741811B2 (en) Integrated circuit devices including source/drain extension regions and methods of forming the same
US8673722B2 (en) Strained channel field effect transistor and the method for fabricating the same
US20170069728A1 (en) Fin structure for a finfet device
KR100748261B1 (ko) 낮은 누설전류를 갖는 fin 전계효과트랜지스터 및 그제조 방법
CN104299997B (zh) 电荷补偿半导体器件
US9466715B2 (en) MOS transistor having a gate dielectric with multiple thicknesses
CN102214684B (zh) 一种具有悬空源漏的半导体结构及其形成方法
CN104517857A (zh) 包括鳍形场效应晶体管的集成电路器件及其形成方法
CN101989617A (zh) 用于半导体晶体管的垂直鳍状结构及其制造方法
US11264452B2 (en) Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
US20150097197A1 (en) Finfet with sigma cavity with multiple epitaxial material regions
US8698246B2 (en) High-voltage oxide transistor and method of manufacturing the same
US20120261645A1 (en) Graphene Device Having Physical Gap
JP2016518723A (ja) Iii−窒化物トランジスタレイアウト
CN103700631A (zh) 无结mos fet器件的制备方法
CN112133739B (zh) 高电子迁移率晶体管和调整二维电子气体电子密度的方法
US20150001630A1 (en) Structure and methods of fabricating y-shaped dmos finfet
KR101902843B1 (ko) 듀얼 게이트를 갖는 무접합 터널링 전계효과 트랜지스터
KR101709541B1 (ko) 들려진 드레인 영역을 갖는 터널링 전계효과 트랜지스터
CN109817711B (zh) 具有AlGaN/GaN异质结的氮化镓横向晶体管及其制作方法
TWI660512B (zh) 無接面電晶體元件及其製造方法
Lee et al. Influence of gate misalignment on the electrical characteristics of MuGFETS
JP2004235230A (ja) 短チャネル効果を抑制したmis型電解効果トランジスタ

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant