CN102210016A - 用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法 - Google Patents

用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法 Download PDF

Info

Publication number
CN102210016A
CN102210016A CN2009801451087A CN200980145108A CN102210016A CN 102210016 A CN102210016 A CN 102210016A CN 2009801451087 A CN2009801451087 A CN 2009801451087A CN 200980145108 A CN200980145108 A CN 200980145108A CN 102210016 A CN102210016 A CN 102210016A
Authority
CN
China
Prior art keywords
cvd
film
dielectric film
dielectric
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801451087A
Other languages
English (en)
Inventor
兰斯·金
金光勋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microchip Technology Inc
Original Assignee
Microchip Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Microchip Technology Inc filed Critical Microchip Technology Inc
Publication of CN102210016A publication Critical patent/CN102210016A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种用于在电介质膜的化学气相沉积(CVD)中减小膜表面粗糙度的方法。所述方法可包含通过反应物从CVD电介质膜的膜表面移除悬挂键。为减小电介质膜的表面粗糙度,另一方法可通过蒸汽环境中的反应物气体对所述电介质膜或先前电介质膜或者所述电介质膜及先前电介质膜的非化学计量膜表面进行钝化。所述电介质膜可包含出自以下群组中的至少一者:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)或氧氮化硅(SiON)。所述反应物气体可包含出自以下群组中的至少一者:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)或氧气(O2)。

Description

用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法
相关申请案交叉参考
本申请案主张在2008年11月12日提出申请的标题为“用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法(Method Of Nonstoichiometric CVD Dielectric Film Surface Passivation For Film Roughness Control)”的第61/113,805号美国临时申请案的权益,所述美国临时申请案以全文并入本文中。
技术领域
本发明的技术领域涉及膜粗糙度及缺陷的减小。更特定来说,本发明涉及一种用于消除用于半导体或集成电路(IC或芯片)制作的化学气相沉积(CVD)膜(例如等离子增强化学气相沉积(PECVD)膜)的膜粗糙度的方法。
背景技术
近年来,沉积层已受到关注,尤其是在半导体或IC制作的领域中。沉积是IC制造中的步骤。在沉积期间,举例来说,在硅晶片上沉积或生长电绝缘(电介质)或导电材料层。一种类型的沉积为化学气相沉积(CVD)。CVD用于在衬底上沉积(例如)充当电介质(绝缘体)、金属(导体)或半导体(部分导体)的膜。在CVD工艺期间,含有待沉积的材料的原子的前驱物气体可在衬底表面上反应,从而形成固体材料薄膜。
一种形式的CVD为等离子增强化学气相沉积(PECVD)。PECVD用作用于主要在一些衬底上从气体相(气相)到固态地沉积电介质薄膜的半导体制作沉积方法。所述工艺中涉及在形成反应的前驱物气体的等离子之后发生的一些化学反应。
随着IC技术得到不断进步,在CVD及PECVD中,存在对提供具有受控表面粗糙度的膜的需要。由于平滑表面可允许光刻工艺中的良好结果,因此需要平滑表面。还存在对提供无缺陷的膜及对提供粘附到主体衬底的膜的需要。此外,存在对提供在厚度上以及在化学、电及机械性质上为均匀的膜的需要。
可进一步需要在衬底工艺流程中消除或至少减小金属前电介质(PMD)、金属间电介质(IMD)及钝化模块处的膜粗糙度。可进一步需要改进缺陷监测,例如由科磊公司(KLA-Tencor Corporation)提供的在线衬底缺陷监测。可进一步需要提供电介质层的平滑表面。
发明内容
根据一个实施例,提供一种用于在电介质膜的化学气相沉积(CVD)中减小膜表面粗糙度的方法。所述方法的一个步骤为通过用反应物对CVD电介质膜的膜表面进行钝化而从所述膜表面移除悬挂键。
根据一个实施例,一种系统可包含用于通过化学气相沉积(CVD)在晶片上沉积电介质膜的构件及用于以电介质膜沉积序列原位引入反应物气体的构件。所述用于引入反应物气体的构件可操作以从通过CVD沉积的电介质膜的膜表面移除悬挂键。
根据另一实施例,提供一种用于在电介质膜的化学气相沉积(CVD)中减小膜表面粗糙度的方法。电介质膜的表面粗糙度的减小是通过用蒸汽环境中的反应物气体对所述电介质膜或先前电介质膜或者所述电介质膜及先前电介质膜的非化学计量膜表面进行钝化来完成。
根据另外的实施例,悬挂键移除步骤可通过从先前电介质膜的膜表面移除悬挂键而减小后续膜的表面粗糙度。悬挂键移除步骤可在主要膜沉积步骤之前或之后或者之前及之后完成。优选地,悬挂键移除步骤可以电介质膜沉积序列原位完成。
在另外的实施例中,可沉积后续电介质膜,且其可包含出自以下群组中的至少一者:金属前电介质(PMD)膜、金属间电介质(IMD)膜或钝化膜。所述电介质膜可包含出自以下群组中的至少一者:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)或氧氮化硅(SiON)。所述反应物气体可包含出自以下群组中的至少一者:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)或氧气(O2)。
可存在适合于上文所提及方法及系统的数种CVD方法。举例来说,所述CVD方法可为出自以下群组中的一者:热CVD(TCVD)、大气压CVD(APCVD)、低压CVD(LPCVD)、超高真空CVD(UHVCVD)、气溶胶辅助CVD(AACVD)、直接液体注入CVD(DLICVD)、微波等离子辅助CVD(MPCVD)、等离子增强CVD(PECVD)、远距等离子增强CVD(RPECVD)、原子层CVD(ALCVD)、热线CVD(HWCVD)、催化CVD(Cat-CVD)、热丝CVD(HFCVD)、金属有机化学气相沉积(MOCVD)、混合的物理-化学气相沉积(HPCVD)、快速热CVD(RTCVD)或气相外延(VPE)。
所述实施例中的至少一者可提供具有受控表面粗糙度的膜。所述实施例中的至少一者可提供无针孔的膜及粘附到主体衬底的膜。所述实施例中的至少一者可提供在厚度上以及在化学、电及机械性质上为均匀的膜。
所述实施例中的至少一者可在衬底工艺流程中消除或至少减小金属前电介质(PMD)、金属间电介质(IMD)及钝化模块处的膜粗糙度。此减小可使得光刻工艺能够变得较不重要。
所述实施例中的至少一者可改进缺陷监测,例如由科磊(KLA-Tencor)提供的在线衬底缺陷监测。对膜粗糙度的此控制可使得KLA缺陷检测对更小大小的缺陷检测限度更敏感及精确。
所述实施例中的至少一者可提供电介质层的平滑表面。此类平滑表面可为前端IC装置提供更佳的底材面、可靠的装置特性。
所属领域的技术人员根据以下说明及以上权利要求书将容易明了本发明的其它技术优点。本申请案的各种实施例仅获得所阐述的优点的子集。任一个优点对于所述实施例来说并非关键的。任何所主张实施例可在技术上与任何在前的所主张实施例组合。
附图说明
并入于本说明书中且构成其一部分的附图图解说明本发明的目前优选实施例,并与上文给出的一般说明及下文给出的优选实施例的详细说明一起用于以实例方式解释本发明的原理。
图1图解说明非化学计量Si3N4分子的实例。
图2图解说明膜层的实施例的实例。
图3图解说明化学计量Si3N4分子的实例。
图4图解说明膜层的实施例的实例。
图5图解说明一个实施例的示范性方法的流程图。
图6图解说明一个实施例的示范性方法的流程图。
图7图解说明系统的示范性实施例。
图8图解说明具有悬挂键形成物的示范性膜层的粗糙膜表面。
图9图解说明根据所揭示方法的至少一个实施例的示范性膜层的平滑膜表面。
具体实施方式
下文所描述的方法可用于减小任何适合膜粗糙度。然而,所描述的方法特别适合于在CVD工艺中(例如在半导体或IC制作领域中)减小(如果不消除的话)膜粗糙度。一种形式的CVD为等离子增强化学气相沉积(PECVD)。PECVD可用作用于在某一衬底上从气态(气相)到固态地沉积薄膜的沉积方法。PECVD适合于半导体或IC制作。
衬底或晶片制作是半导体及IC制造的重要部分。制作工艺可涉及一系列操作,例如,氧化、掩蔽、蚀刻、掺杂、电介质沉积、金属化及钝化。举例来说,在沉积期间,可在例如硅晶片的衬底上沉积或生长电绝缘(电介质)材料、导电材料或其组合的膜或层。举例来说,此膜可为可在多层金属结构中的任何金属层之前沉积的金属前电介质(PMD)膜,例如作为复合层膜中的分离初始层。膜层的另一实例可为在导体线或结构之间沉积的金属间电介质(IMD)膜。膜层的另一实例为钝化膜,其为经沉积以保护电路免受损坏及污染的最终电介质层。可在此层中蚀刻开口以允许电探针及线接合对顶部金属层的接近。
膜可包括一种或一种以上悬挂键。悬挂键可在原子失去其原本将能够键结到的相邻者时出现。此悬挂键为中断电子流且能够收集所述电子的缺陷。悬挂键可为破裂的共价键。由于大多数结晶材料上面的晶格原子的缺失而在其表面上可能存在悬挂键。固体材料中的结晶、非晶及甚至空位簇的成核(例如)对于半导体工业来说可为重要的。悬挂键可导致后续层的形成物,因为所述悬挂键可变为所述后续层的极具活性的成核位点。此类悬挂键形成物可致使膜层变得粗糙且不平坦。这些悬挂键形成物可随沉积于具有所述悬挂键的层顶部上的每一后续层生长且变大。此已由图1及图2示意性地图解说明。
图1图解说明具有失去的元素的非化学计量Si3N4分子10的实例。Si3N4分子10并非饱和且悬挂键的区域用字母D标记。此悬挂键可导致后续层的形成物,因为所述悬挂键可变为后续膜层的极具活性的成核位点。
图2图解说明膜层20、22及24的实施例的实例。层22为层20的后续层且已沉积于层20的顶部上。层24为层20及22的后续层且已沉积于层20及22的顶部上。可使用用于沉积所述层的任一适合方法。这些层20、22及24具有相应的膜层表面21、23及25。
非化学计量膜表面21、23及25上的悬挂键可因主要CVD沉积工艺期间的核生长而在每一膜层上导致表面粗糙度形成物,例如26A、26B及26C。此岛状核形成物的一个实例在膜表面21上标记为26A。当沉积下一层22时,下一膜表面23上的岛状核形成物26B可能已生长。当沉积后续层24时,后续膜表面25上的核形成物26C甚至可能已进一步生长。所述层的这些非化学计量膜表面21、23及25可由于这些岛状核形成物(由岛状核下方的悬挂键触发)而导致粗糙的膜表面。此不平坦表面(其未经钝化)将为不平滑的且可能导致低等的芯片质量。
转到图3及图4,根据至少一个实施例,在电介质膜的CVD中,可通过用反应物从CVD电介质膜的膜表面移除悬挂键来减小(如果不消除的话)膜表面粗糙度。当通过反应物对CVD电介质膜的非化学计量表面进行钝化时,减少为在前膜层的膜表面上的悬挂键的侵略性成核位点且防止后续膜层变为粗糙膜层。此减少使得光刻工艺能够变得较不重要。可控制粗糙度的减小且此可使得KLA缺陷检测对更小大小的缺陷检测限度更敏感及精确。后续沉积层的反应性成核位点的消除或至少高度减小可产生平滑膜表面,从而为前端装置提供更佳的底材面、可靠的装置特性。此已由图3及图4示意性地图解说明。
图3图解说明化学计量Si3N4分子30的实例。此处,由于已用反应物元素对Si3N4分子30进行钝化,因此不存在悬挂键。Si3N4的此热力学稳定化学计量形成可产生经钝化的平滑膜表面。
图4图解说明膜层40、42及44的实施例的实例。层42为层40的后续层且已沉积于层40的顶部上。层44为层40及42的后续层且已沉积于层40及42的顶部上。可使用用于沉积所述层的任一适合方法。这些层40、42及44具有相应的膜层表面41、43及45。由于已移除所有或大致所有悬挂键,因此膜表面41、43及45为化学计量膜表面。此可借助反应物来完成。
图5图解说明用于在电介质膜的CVD中减小膜表面粗糙度的示范性方法50的流程图。根据一个实施例,方法50优选地在步骤52处开始。如下所述,本发明的教示内容可以图7中所图解说明的系统70的各种配置来实施。如此,方法50的优选初始化点及构成方法50的步骤的次序可取决于所选择的实施方案。
根据一个实施例,用于在电介质膜的CVD中减小膜表面粗糙度的方法50可包含通过反应物从CVD电介质膜的膜表面移除悬挂键。在步骤52处,通过反应物对CVD电介质膜的非化学计量表面进行钝化。
根据一个实施例,所述方法可另外包含沉积后续电介质膜。此由步骤54图解说明。所述钝化步骤通过从先前电介质膜的膜表面移除悬挂键来减小后续膜的表面粗糙度。通过移除先前膜的悬挂键,可减小后续膜的表面粗糙度。所述钝化可端接大致所有悬挂键。因此,可提供用于对后续CVD电介质膜的膜粗糙度控制的CVD电介质膜表面钝化方法。
根据一个实施例,在主要膜沉积步骤之前或之后完成所述钝化步骤。举例来说,可在主要膜沉积步骤之前的先前步骤处完成所述钝化以移除先前膜层的膜表面的悬挂键。通过对其上沉积有主要膜的最底部层进行钝化,可避免粗糙的后续层。或者,可在主要膜沉积步骤之后的稍后步骤处完成所述钝化以移除当前电介质膜层的膜表面上的悬挂键。根据一个实施例,在主要膜沉积步骤之前及之后完成所述钝化步骤。因此,移除在前CVD膜层的表面上的所有侵略性成核位点,使得其防止后续CVD膜变为粗糙膜层。
根据一个实施例,以电介质膜沉积序列原位完成所述钝化步骤。此允许在一个序列过程而非两个单独过程中完成所述钝化。一旦晶片处于处理工具中,就可沉积恰第一膜层,且替代从所述工具移除所述晶片,可将所述晶片留在所述处理工具内部且可接通反应物气体以执行钝化过程。因此,在一个序列过程中完成沉积过程及钝化过程。据此,节省了移除所述晶片及将其重新放回所述处理工具中的额外工作。此外,不会破坏真空,此可导致较佳的结果。
根据一个实施例,通过如氨气(NH3)、氢气(H2)、一氧化二氮(N2O)或氧气(O2)等反应物气体对如透UV光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)或氧氮化硅(SiON)等CVD电介质膜的非化学计量表面进行钝化。用于在电介质膜的化学气相沉积(CVD)中减小膜表面粗糙度的方法可包含包括出自以下群组中的至少一者的电介质膜:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)或氧氮化硅(SiON)。所述反应物气体可包含出自以下群组中的至少一者:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)或氧气(O2)。
根据一个实施例,所述钝化步骤可为制造芯片、集成电路(IC)或半导体的过程的一部分。所述电介质膜可包含出自以下群组中的至少一者:金属前电介质(PMD)膜、金属间电介质(IMD)膜或钝化膜。
根据一个实施例,所述膜层为PECVD膜层。然而,所使用的CVD方法可为出自以下群组中的任一方法:热CVD(TCVD)、大气压CVD(APCVD)、低压CVD(LPCVD)、超高真空CVD(UHVCVD)、气溶胶辅助CVD(AACVD)、直接液体注入CVD(DLICVD)、微波等离子辅助CVD(MPCVD)、等离子增强CVD(PECVD)、远距等离子增强CVD(RPECVD)、原子层CVD(ALCVD)、热线CVD(HWCVD)、催化CVD(Cat-CVD)、热丝CVD(HFCVD)、金属有机化学气相沉积(MOCVD)、混合的物理-化学气相沉积(HPCVD)、快速热CVD(RTCVD)或气相外延(VPE)。
根据一个实施例,用于在电介质膜的CVD中减小膜表面粗糙度的方法60图解说明于图6中。在步骤62处,方法60包含提供包括选自由以下各项组成的群组的至少一者的电介质膜:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)及氧氮化硅(SiON)。在另一步骤64处,方法60包含提供包括选自由以下各项组成的群组的至少一者的反应物气体:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)及氧气(O2)。在步骤66处,方法60还包含通过用反应物气体对电介质膜或先前电介质膜或者电介质膜及先前电介质膜的非化学计量膜表面进行钝化来减小所述电介质膜的表面粗糙度。可以任一次序采取这些步骤或可组合这些步骤来减小膜表面粗糙度。根据一个实施例,方法60优选地在步骤62处开始。如下所述,本发明的教示内容可以图7中所图解说明的系统70的各种配置来实施。如此,方法60的优选初始化点及构成方法60的步骤的次序可取决于所选择的实施方案。
方法60可通过在蒸汽环境中用反应物气体对电介质膜或先前电介质膜或者电介质膜及先前电介质膜的非化学计量膜表面进行钝化来减小所述电介质膜的表面粗糙度。所述电介质膜可包含出自以下群组中的至少一者:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)或氧氮化硅(SiON),且所述反应物气体可包含出自以下群组中的至少一者:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)或氧气(O2)。
根据另外的实施例,所述钝化使膜表面上的悬挂键饱和;可在主要膜沉积步骤之前或之后或者之前及之后完成所述钝化步骤;及/或以电介质膜沉积序列原位完成所述钝化步骤。
根据一个实施例,所述钝化步骤可为制造芯片、集成电路(IC)或半导体的过程的一部分。另外,至少一个电介质膜可包含出自以下群组中的至少一者:金属前电介质(PMD)膜、金属间电介质(IMD)膜或钝化膜。
方法50或60可使用系统70或者可操作以实施方法50或60的任何其它系统来实施。在某些实施例中,方法50或60可以体现于计算机可读媒体中的软件部分地实施。
根据一个实施例,系统70可包含用于通过CVD在晶片上沉积电介质膜的构件及用于以电介质膜沉积序列原位引入反应物气体的构件。所述用于引入反应物气体的构件可操作以使通过CVD沉积的电介质膜的悬挂键饱和。
图7图解说明用于通过CVD沉积电介质膜及引入反应物气体的系统70的示范性实施例。将晶片78定位于CVD机器71中的处理工具中。CVD过程的化学蒸汽由椭圆形76图解说明。用于所述CVD的气体通过导管72从供应源73供应到CVD机器71。除此以外,系统70还可包含用于移除悬挂键的反应物气体的供应源75。所述反应物气体可通过导管74供应到CVD机器71。导管74可与导管72组合。
系统70允许以电介质膜沉积序列原位进行钝化步骤。此允许在一个序列过程而非两个单独过程中完成饱和。一旦晶片78处于CVD机器71的处理工具中,就可沉积膜层(例如主要膜),且替代从所述工具移除晶片78,可将晶片78留在CVD机器71内部且接着可接通所述反应物气体以执行钝化过程。因此,系统70允许在一个序列过程中进行沉积过程及钝化过程。据此,节省了移除晶片78及将其重新放回CVD机器71中的处理工具中的额外工作。此外,不会破坏CVD机器71中的真空,此可导致较佳的结果。
根据一个实施例,所述用于沉积的构件可操作以沉积包括出自以下群组中的至少一者的电介质膜:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)或氧氮化硅(SiON)。所述用于引入反应物气体的构件可操作以引入包括出自以下群组中的至少一者的反应物气体:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)或氧气(O2)。
所述系统可实施任一CVD方法。优选地,所述用于沉积的构件可操作以通过出自以下CVD方法群组中的一者沉积电介质膜:热CVD(TCVD)、大气压CVD(APCVD)、低压CVD(LPCVD)、超高真空CVD(UHVCVD)、气溶胶辅助CVD(AACVD)、直接液体注入CVD(DLICVD)、微波等离子辅助CVD(MPCVD)、等离子增强CVD(PECVD)、远距等离子增强CVD(RPECVD)、原子层CVD(ALCVD)、热线CVD(HWCVD)、催化CVD(Cat-CVD)、热丝CVD(HFCVD)、金属有机化学气相沉积(MOCVD)、混合的物理-化学气相沉积(HPCVD)、快速热CVD(RTCVD)或气相外延(VPE)。
图8图解说明具有由岛状核生长导致的粗糙膜表面形成物的示范性膜层的粗糙膜表面。此处,尚未根据本发明的实施例沉积所述膜层。图9图解说明根据所揭示方法的至少一个实施例的示范性膜层的平滑膜表面。可从比较图8与图9取得膜表面粗糙度的差异。举例来说,可作为原位膜沉积序列的一部分实现图9的平滑膜表面,从而在主要膜沉积步骤之前的先前钝化步骤处或主要膜沉积步骤之后的稍后钝化步骤处,在蒸汽环境中的其它反应物气体(例如NH3、N2O或O2)饱和的情况下关断反应性Si前驱物气体(例如TEOS或SiH4)。非化学计量膜表面的此钝化可使得能够通过从先前电介质膜层的表面移除悬挂键而在接着的膜层上形成平滑膜表面。
上文所论述的系统及方法在电介质膜的CVD中减小膜表面粗糙度,例如在制造制造芯片、集成电路(IC)或半导体时。因此,本发明极适于实施所述目标并获得所提及的目的及优点以及其中固有的其它目的及优点。尽管已参考本发明的特定优选实施例描述并界定本发明,但此种参考并不意味着对本发明的限定,且不应推断出此限定。本发明能够在形式及功能上做出大量的修改、变更及等效形式,所属领域的技术人员将会想出这些修改、变更及等效形式。本发明的所述优选实施例仅为示范性,且并非对本发明的范围的穷尽性说明。因此,本发明打算仅受所附权利要求书的精神及范围的限制,从而在所有方面充分认知等效内容。

Claims (20)

1.一种用于在电介质膜的化学气相沉积(CVD)中减小膜表面粗糙度的方法,其包括以下步骤:
通过反应物移除CVD电介质膜的膜表面上的悬挂键。
2.根据权利要求1所述的方法,其中执行钝化使得其通过从先前电介质膜的所述膜表面移除悬挂键来减小后续膜的表面粗糙度。
3.根据权利要求1所述的方法,其中所述方法进一步包括以下步骤:
沉积后续电介质膜。
4.根据权利要求1所述的方法,其中在主要膜沉积步骤之前或之后或者之前及之后执行所述钝化步骤。
5.根据权利要求1所述的方法,其中以电介质膜沉积序列原位执行所述钝化步骤。
6.根据权利要求1所述的方法,其中所述电介质膜包括选自由以下各项组成的群组的至少一者:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)及氧氮化硅(SiON)。
7.根据权利要求1所述的方法,其中所述反应物气体包括选自由以下各项组成的群组的至少一者:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)及氧气(O2)。
8.根据权利要求1所述的方法,其中所述钝化步骤为制造芯片、集成电路(IC)或半导体的一部分。
9.根据权利要求1所述的方法,其中所述电介质膜包括来自由以下各项组成的群组的至少一者:金属前电介质(PMD)膜、金属间电介质(IMD)膜及钝化膜。
10.根据权利要求1所述的方法,其中从由以下各项组成的群组中选择CVD方法:热CVD(TCVD)、大气压CVD(APCVD)、低压CVD(LPCVD)、超高真空CVD(UHVCVD)、气溶胶辅助CVD(AACVD)、直接液体注入CVD(DLICVD)、微波等离子辅助CVD(MPCVD)、等离子增强CVD(PECVD)、远距等离子增强CVD(RPECVD)、原子层CVD(ALCVD)、热线CVD(HWCVD)、催化CVD(Cat-CVD)、热CVD(HFCVD)、金属有机化学气相沉积(MOCVD)、混合的物理-化学气相沉积(HPCVD)、快速热CVD(RTCVD)及气相外延(VPE)。
11.一种系统,其包括:
用于通过化学气相沉积(CVD)在晶片上沉积电介质膜的构件;及
用于以电介质膜沉积序列原位引入反应物气体的构件;
其中所述用于引入反应物气体的构件可操作以移除通过CVD沉积的电介质膜的悬挂键。
12.根据权利要求11所述的系统,其中所述用于沉积的构件可操作以沉积包括选自由以下各项组成的群组的至少一者的电介质膜:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)及氧氮化硅(SiON)。
13.根据权利要求11所述的系统,其中所述用于引入反应物气体的构件可操作以引入选自由以下各项组成的群组的反应物气体:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)及氧气(O2)。
14.根据权利要求11所述的系统,其中所述用于沉积的构件可操作以通过选自由以下各项组成的群组的CVD方法来沉积电介质膜:热CVD(TCVD)、大气压CVD(APCVD)、低压CVD(LPCVD)、超高真空CVD(UHVCVD)、气溶胶辅助CVD(AACVD)、直接液体注入CVD(DLICVD)、微波等离子辅助CVD(MPCVD)、等离子增强CVD(PECVD)、远距等离子增强CVD(RPECVD)、原子层CVD(ALCVD)、热线CVD(HWCVD)、催化CVD(Cat-CVD)、热丝CVD(HFCVD)、金属有机化学气相沉积(MOCVD)、混合的物理-化学气相沉积(HPCVD)、快速热CVD(RTCVD)及气相外延(VPE)。
15.一种用于在电介质膜的化学气相沉积(CVD)中减小膜表面粗糙度的方法,其包括以下步骤:
提供包括选自由以下各项组成的群组的至少一者的电介质膜:透紫外光氮化硅(UVSIN)、富硅氧化物(SRO)、二氧化硅(SiO2)、氮化硅(Si3N4)、磷硅酸盐玻璃(PSG)及氧氮化硅(SiON);
提供包括选自由以下各项组成的群组的至少一者的反应物气体:氨气(NH3)、氢气(H2)、一氧化二氮(N2O)及氧气(O2);及
通过用所述反应物气体对所述电介质膜或先前电介质膜或者所述电介质膜及先前电介质膜的非化学计量膜表面进行钝化来减小所述电介质膜的表面粗糙度。
16.根据权利要求15所述的方法,其中所述钝化移除膜表面上的悬挂键。
17.根据权利要求15所述的方法,其中在主要膜沉积步骤之前或之后或者之前及之后完成所述钝化步骤。
18.根据权利要求15所述的方法,其中以电介质膜沉积序列原位完成所述钝化步骤。
19.根据权利要求15所述的方法,其中从由以下各项组成的群组中选择至少一个电介质膜:金属前电介质(PMD)膜、金属间电介质(IMD)膜及钝化膜。
20.根据权利要求15所述的方法,其中所述钝化步骤为制造芯片、集成电路(IC)或半导体的一部分。
CN2009801451087A 2008-11-12 2009-11-11 用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法 Pending CN102210016A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11380508P 2008-11-12 2008-11-12
US61/113,805 2008-11-12
US12/577,486 US8524616B2 (en) 2008-11-12 2009-10-12 Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US12/577,486 2009-10-12
PCT/US2009/064007 WO2010056731A1 (en) 2008-11-12 2009-11-11 Method of nonstoichiometric cvd dielectric film surface passivation for film roughness control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610269104.1A Division CN105719962A (zh) 2008-11-12 2009-11-11 非化学计量化学气相沉积电介质膜表面钝化方法

Publications (1)

Publication Number Publication Date
CN102210016A true CN102210016A (zh) 2011-10-05

Family

ID=42165613

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610269104.1A Pending CN105719962A (zh) 2008-11-12 2009-11-11 非化学计量化学气相沉积电介质膜表面钝化方法
CN2009801451087A Pending CN102210016A (zh) 2008-11-12 2009-11-11 用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610269104.1A Pending CN105719962A (zh) 2008-11-12 2009-11-11 非化学计量化学气相沉积电介质膜表面钝化方法

Country Status (6)

Country Link
US (1) US8524616B2 (zh)
EP (1) EP2347438A1 (zh)
KR (2) KR20110091500A (zh)
CN (2) CN105719962A (zh)
TW (1) TWI471454B (zh)
WO (1) WO2010056731A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015101011A1 (zh) * 2013-12-31 2015-07-09 京东方科技集团股份有限公司 一种半导体器件的制备方法及半导体器件
CN110121786A (zh) * 2016-09-16 2019-08-13 商先创国际股份有限公司 半导体材料的表面钝化方法以及半导体基板
CN111540673A (zh) * 2020-07-07 2020-08-14 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9953873B2 (en) 2016-05-24 2018-04-24 Globalfoundries Inc. Methods of modulating the morphology of epitaxial semiconductor material
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN110112063A (zh) * 2019-05-24 2019-08-09 浙江晶科能源有限公司 一种太阳能电池片的表面钝化处理方法
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070417A (ko) 2019-12-04 2021-06-15 삼성디스플레이 주식회사 표시 장치
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN112201656A (zh) * 2020-12-02 2021-01-08 晶芯成(北京)科技有限公司 Cmos集成器件的形成方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376590A (en) * 1992-01-20 1994-12-27 Nippon Telegraph And Telephone Corporation Semiconductor device and method of fabricating the same
US5840600A (en) * 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
US5946542A (en) * 1996-02-26 1999-08-31 Micron Technology, Inc. Method of depositing passivation layers on semiconductor device arrays
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
JP3827056B2 (ja) * 1999-03-17 2006-09-27 キヤノンマーケティングジャパン株式会社 層間絶縁膜の形成方法及び半導体装置
JP3236576B2 (ja) * 1999-03-24 2001-12-10 キヤノン販売株式会社 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
US6245617B1 (en) * 1999-05-06 2001-06-12 United Microelectronics Corp. Method of fabricating dielectric layer
JP3229294B2 (ja) * 1999-06-04 2001-11-19 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP2001223269A (ja) * 2000-02-10 2001-08-17 Nec Corp 半導体装置およびその製造方法
KR100760078B1 (ko) * 2000-03-13 2007-09-18 다다히로 오미 산화막의 형성 방법, 질화막의 형성 방법, 산질화막의 형성 방법, 산화막의 스퍼터링 방법, 질화막의 스퍼터링 방법, 산질화막의 스퍼터링 방법, 게이트 절연막의 형성 방법
JP3549193B2 (ja) * 2000-03-31 2004-08-04 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
ATE489726T1 (de) * 2000-09-19 2010-12-15 Mattson Tech Inc Verfahren zur ausbildung dielektrischer filme
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
TW522639B (en) * 2002-03-28 2003-03-01 Accton Technology Corp Orthogonally-multiplexed orthogonal amplitude modulation method and device
CN100411116C (zh) * 2003-01-17 2008-08-13 富士通株式会社 电介质膜的形成方法
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US20060281299A1 (en) * 2004-08-18 2006-12-14 Jei-Ming Chen Method of fabricating silicon carbide-capped copper damascene interconnect
US7776686B2 (en) * 2005-03-08 2010-08-17 Nec Electronics Corporation Method of fabricating a non-volatile memory element including nitriding and oxidation of an insulating film
JP5283833B2 (ja) * 2005-09-29 2013-09-04 株式会社東芝 半導体装置の製造方法
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US8367560B2 (en) * 2007-06-15 2013-02-05 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015101011A1 (zh) * 2013-12-31 2015-07-09 京东方科技集团股份有限公司 一种半导体器件的制备方法及半导体器件
US9647127B2 (en) 2013-12-31 2017-05-09 Boe Technology Group Co., Ltd. Semiconductor device and method for manufacturing the same
CN110121786A (zh) * 2016-09-16 2019-08-13 商先创国际股份有限公司 半导体材料的表面钝化方法以及半导体基板
CN110121786B (zh) * 2016-09-16 2020-07-24 商先创国际股份有限公司 半导体材料的表面钝化方法以及半导体基板
CN111540673A (zh) * 2020-07-07 2020-08-14 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法

Also Published As

Publication number Publication date
TW201026890A (en) 2010-07-16
KR20110091500A (ko) 2011-08-11
US20100120261A1 (en) 2010-05-13
TWI471454B (zh) 2015-02-01
KR20160116039A (ko) 2016-10-06
WO2010056731A1 (en) 2010-05-20
US8524616B2 (en) 2013-09-03
CN105719962A (zh) 2016-06-29
EP2347438A1 (en) 2011-07-27

Similar Documents

Publication Publication Date Title
CN102210016A (zh) 用于膜粗糙度控制的非化学计量化学气相沉积电介质膜表面钝化方法
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
US5336640A (en) Method of manufacturing a semiconductor device having an insulating layer composed of a BPSG film and a plasma-CVD silicon nitride film
JP3178375B2 (ja) 絶縁膜の形成方法
CN101899651A (zh) 用于应力SiN薄膜的氨基乙烯基硅烷前体
EP3184665B1 (en) Method of improving adhesion
Yota Effects of deposition method of PECVD silicon nitride as MIM capacitor dielectric for GaAs HBT technology
CN101886255A (zh) 使用含氮前体的介电阻挡层沉积
US9728480B2 (en) Passivation layer and method of making a passivation layer
Fuller Chemical Vapor Deposition
CN101447472B (zh) 刻蚀停止层、双镶嵌结构及其形成方法
US6955998B2 (en) Method for forming low dielectric layer of semiconductor device
US7910484B2 (en) Method for preventing backside defects in dielectric layers formed on semiconductor substrates
US8541307B2 (en) Treatment method for reducing particles in dual damascene silicon nitride process
US20180350596A1 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
CN112760615A (zh) 一种二氧化硅薄膜及其低温制备方法
CN103258779B (zh) 铜互连结构及其制造方法
CN1449012A (zh) 一种改善浅槽隔离可靠度的方法
CN111146077A (zh) 一种改善薄膜缺陷的方法
KR100477813B1 (ko) 반도체장치의텅스텐금속배선형성방법
TW201516175A (zh) 非晶矽膜形成方法及非晶矽膜形成裝置
Okhapkin et al. Low-temperature deposition of SiN x Films in SiH 4/Ar+ N 2 inductively coupled plasma under high silane dilution with argon
US20230142684A1 (en) Single Precursor Low-K Film Deposition and UV Cure for Advanced Technology Node
CN1226079A (zh) 半导体器件成膜方法
KR100417645B1 (ko) 반도체소자의층간절연막형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20111005