CN101582390A - 集成电路结构的形成方法 - Google Patents

集成电路结构的形成方法 Download PDF

Info

Publication number
CN101582390A
CN101582390A CNA2009101331994A CN200910133199A CN101582390A CN 101582390 A CN101582390 A CN 101582390A CN A2009101331994 A CNA2009101331994 A CN A2009101331994A CN 200910133199 A CN200910133199 A CN 200910133199A CN 101582390 A CN101582390 A CN 101582390A
Authority
CN
China
Prior art keywords
dielectric layer
cmp
gate bar
pattern
ditch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009101331994A
Other languages
English (en)
Other versions
CN101582390B (zh
Inventor
吴明园
郑光茗
叶炅翰
庄学理
梁孟松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN201310390288.3A priority Critical patent/CN103633011B/zh
Publication of CN101582390A publication Critical patent/CN101582390A/zh
Application granted granted Critical
Publication of CN101582390B publication Critical patent/CN101582390B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种集成电路结构的形成方法,该方法包括下列步骤:提供一半导体基底;形成多个图案化元件于半导体基底上,其中图案化元件之间具有沟槽;以第一填沟材料填入该沟槽,其中第一填沟材料具有第一上表面,其高于图案化元件的上表面;进行第一平坦化以降低第一填沟材料的第一上表面,直到露出图案化元件的上表面;沉积第二填沟材料,其中第二填沟材料具有第二上表面,其高于图案化元件的上表面;以及,进行第二平坦化以降低第二填沟材料的第二上表面,直到露出图案化元件的上表面。本发明的方法可明显降低,甚至完全消除碟化效应与空洞。

Description

集成电路结构的形成方法
技术领域
本发明涉及集成电路,且涉及一种集成电路工艺中的填沟(gap-filling)技术,尤其涉及降低填沟工艺的碟化(dishing)效应与空洞(void)。
背景技术
化学机械研磨(CMP;Chemical Mechanical Polishing)为半导体晶片的一种平坦化工艺,特别是用在填沟工艺中。CMP利用物理与化学上的协同作用来研磨晶片。研磨时将晶片放置在研磨垫上,从晶背施加压力,并使晶片与研磨垫作反向旋转,而带有研磨粒子与反应性化学成份的研磨浆在研磨时被配输到研磨垫表面。CMP可以真正达到晶片表面全面性的平坦化。
CMP工艺会有图案化效应的问题。当图案密度不同时会有所谓的“微负载效应(micro-loading effect)”,因而降低图案尺寸的一致性。微负载效应是当同时蚀刻或研磨高密度图案与低密度图案时,由于两个区域的蚀刻/研磨速率不同所造成。因为蚀刻/研磨的反应在不同图案密度的区域变得局部过高或过低,加上大量的蚀刻反应产物无法顺利排出,使得蚀刻速率不一致。当图案的密度差异很大时,会使研磨后的膜厚产生极大的差异。上述的不一致会造成所谓的碟化(dishing)效应,“碟化”指低图案密度的位置,因为其研磨速率大于高图案密度区,因而形成碟状的表面。
图1~图3显示一利用CMP的传统填沟工艺。请参照图1,在半导体基底300上形成多晶硅图案302。多晶硅图案302包括图案密集区与图案疏离区,其中图案密集区比起图案疏离区有更高的图案密度与更小的图案间距。请参照图2,沉积内层介电层304以填入多晶硅图案302之间的沟槽,并使其高度超过多晶硅图案302的上表面。由于多晶硅图案302的表面构型(topography)被部分转移到内层介电层304的上表面,因此内层介电层304的上表面呈现不平坦。此外,特别是在图案密集区可能会出现空洞(void)306,因为该处的沟槽具有相对较高的深宽比(aspect ratio)。
请参照图3,利用CMP工艺去除多余的内层介电层304。该CMP工艺去除位于多晶硅图案302上方的内层介电层304,直到露出多晶硅图案302的上表面。由于图案密集区与图案疏离区的图案密度不同,造成不均匀的CMP。例如,内层介电层304的上表面构型在图案密集区的受到的影响比起图案疏离区较不明显,因此,造成图案疏离区出现碟化效应,因而影响到后续的工艺。另一方面,CMP可能会使空洞306露出,进而在后续工艺中被填入不想要的导电材料,导致集成电路短路或增加阻容延迟(RC delay)。
目前已经有许多方法被提出来以解决或降低微负载效应。例如,在图案疏离区制作闲置图案(dummy pattern)以增加其图案密度。然而,使用闲置图案可能会增加阻容延迟,而且有些区域并不适合形成闲置图案。因此,有需要提出一种新的填沟方法以降低微负载效应。
发明内容
本发明的目的在于提供一种集成电路结构的形成方法,以克服现有技术的缺陷。
本发明提供一种集成电路结构的形成方法,包括下列步骤:提供一半导体基底;形成多个图案化元件于半导体基底上,其中图案化元件之间具有沟槽;以第一填沟材料填入该沟槽,其中第一填沟材料具有第一上表面,其高于图案化元件的上表面;进行第一平坦化以降低第一填沟材料的第一上表面,直到露出图案化元件的上表面;沉积第二填沟材料,其中第二填沟材料具有第二上表面,其高于图案化元件的上表面;以及,进行第二平坦化以降低第二填沟材料的第二上表面,直到露出图案化元件的上表面。
本发明另提供一种集成电路结构的形成方法,包括下列步骤:提供一半导体基底;形成多个栅极条于该半导体基底上,其中栅极条之间具有沟槽,且其中集成电路结构包括一图案密集区与一图案疏离区,栅极条于图案密集区的图案密度大于该图案疏离区;形成一接触蚀刻停止层,其具有第一部分直接位于栅极条上及第二部分位于沟槽中;以第一内层介电层填入沟槽中,其中第一内层介电层具有第一上表面,其高于栅极条的上表面;进行第一化学机械研磨,直到第一内层介电层的第一上表面不高于接触蚀刻停止层的第一部分的上表面;沉积第二内层介电层于第一内层介电层与栅极条上;以及,进行第二化学机械研磨,直到第二内层介电层的第二上表面不高于接触蚀刻停止层的第一部分的上表面。
本发明又提供一种集成电路结构的形成方法,包括下列步骤:提供一半导体基底;形成一介电层于半导体基底上;于介电层中形成多个开口;以第一导电材料填入开口,其中第一导电材料的上表面高于该介电层的上表面;对第一导电材料进行第一化学机械研磨以露出介电层的上表面;形成第二导电材料于第一导电材料与介电层上;以及,对第二导电材料进行第二化学机械研磨以露出介电层的上表面。
实验证实,借由本发明实施例的方法可明显降低,甚至完全消除碟化效应与空洞。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出优选实施例,并配合附图,作详细说明如下:
附图说明
图1~图3为一系列剖面图,用以说明公知利用CMP的填沟工艺。
图4~图7B为一系列剖面图,用以说明本发明一优选实施例的中间工艺,其进行一次以上的CMP工艺来降低微负载效应。
图8显示形成金属栅极以取代图7A的多晶硅条。
图9A与图9B显示在金属间介电层中形成接触插塞。
图10~图14显示一镶嵌工艺,其进行一次以上的CMP工艺来形成金属线。
其中,附图标记说明如下:
【公知技术】
300~半导体基底
302~多晶硅图案
304~内层介电层
306~空洞
【实施例】
20~半导体基底
22~栅极条
24~栅介电质
26~接触蚀刻停止层
100~图案密集区
200~图案疏离区
30、40~内层介电层
32~栅极条22的上表面
34~接触蚀刻停止层26的上表面
36~隆起物
38~空洞
H1~内层介电层30多余的高度
H2~内层介电层40多余的高度
50~栅介电质
52~栅极
56~内层介电层
58~接触插塞
60~介电层
61~CMP停止层
62~开口
300~图案密集区
400~图案疏离区
64~导电元件
66~扩散阻障层
68、74~导电材料
70~隆起物
具体实施方式
本发明提供一新颖的填沟工艺,以下将说明本发明一优选实施例的中间工艺,并讨论不同的变化例。在图示与实施例中,类似的元件将以类似的附图标记来标示。
请参照图4,提供一半导体基底20。在优选实施例中,半导体基底20包含硅,但可也可包含其他常见的材料,例如碳、锗、镓等。半导体基底20可以是一单晶或化合物材料;可以是块材(bulk)基底或绝缘层上半导体基底(SOI;semiconductor-on-insulator)。
在半导体基底20上形成栅极条(gate strips)22与栅介电质24。在一实施例中,栅极条22是由掺杂多晶硅所构成,因此也可称为多晶硅条22。在另一实施例中,栅极条22是由其他导电材料所构成,例如金属、金属硅化物、金属氮化物等。栅介电质24的材料可为氧化硅、氮氧化硅、高介电常数材料、或其他适合作为栅介电质的介电材料。上述的集成电路结构可还包括其他元件,例如栅极间隔物、源极/漏极区、硅化物(未显示)、接触蚀刻停止层26等。因此,例如当采用栅极优先(gate-first)工艺的话,栅极条22的顶部可包含金属硅化物。此外,栅极条22可包含或不包含闲置图案。
图4所示的结构包含图案密集区100与图案疏离区200。栅极条22在图案密集区100的图案密度高于图案疏离区200。因此,栅极条22在图案密集区100的平均距离D1小于在图案疏离区200的平均距离D2。
形成内层介电层30以填入栅极条22之间的沟槽。优选可进行一过度填充(overfill),使内层介电层30上表面的低点高于栅极条22的上表面32。如果有形成接触蚀刻停止层26,则使内层介电层30上表面的低点高于接触蚀刻停止层26的上表面34。内层介电层30的材料可为硼磷硅玻璃(BPSG)、硼硅玻璃(BSG)、碳掺杂低介电常数材料、氧化硅等。在一实施例中,可利用化学气相沉积法(CVD;Chemical Vapor Deposition),例如等离子体加强CVD、低压CVD等方式来形成内层介电层30。如此一来,栅极条22的表面构型将有至少一部分被转移到内层介电层30的上表面,因而形成隆起物36。隆起物36在图案密集区100的间距小于在图案疏离区200的间距。由于沟槽具有高深宽比,可能会有空洞38形成。
进行第一CMP工艺以移除多余的内层介电层30,并将其上表面平坦化。在第一实施例中,如图5A所示,CMP停在接触蚀刻停止层26的上表面34,此时接触蚀刻停止层26也作为CMP停止层。如此一来,露出了接触蚀刻停止层26直接位于栅极条22上方的部分。在图案密集区100由于栅极条22的间距相对较小,即使有碟化效应的话也是相对较小,且接触蚀刻停止层26露出的上表面34与栅极条22之间的内层介电层30等高或大致等高。然而,为了露出空洞38,可进行一过度CMP以稍微降低内层介电层30的上表面。在图案疏离区200可能造成显著的碟化效应,其中接触蚀刻停止层26露出的上表面34高于栅极条22之间的内层介电层30。
图5A显示本发明另一实施例,其中第一CMP停在栅极条22的上表面32,该上表面可包括金属硅化物。该实施例可能是因为没有形成接触蚀刻停止层26,或是因为使用了会攻击接触蚀刻停止层26,但不攻击栅极条22的研磨浆所导致。如此一来,露出了栅极条22的上表面32。优选地,经过第一CMP后,露出整个晶片上的栅极条22的上表面32。
图6显示沉积内层介电层40。内层介电层40的材料可与内层介电层30相同或不同。内层介电层40完全填满第一CMP所造成的碟化区域。优选地,当有空洞露出时,内层介电层40也填入空洞38。内层介电层40的上表面优选高于栅极条22的上表面32(以及接触蚀刻停止层26的上表面34,如果存在的话)。内层介电层40多余的高度H2最好低于内层介电层30多余的高度H1(参见图4),使后续进行第二CMP时较不费力,如图7A与图7B所示。
图7A与图7B显示进行第二CMP后的结构。在一实施例中,如图7A所示,第二CMP停在接触蚀刻停止层26的上表面34。在另一实施例中,如图7B所示,在第二CMP后露出栅极条22的上表面32。此实施例可能是没有形成接触蚀刻停止层26,或是接触蚀刻停止层26在第一CMP或第二CMP中被移除。第二CMP的优点在于,内层介电层40的碟化效应明显小于内层介电层30的碟化效应,其部分原因可能是因为内层介电层40没有隆起物,而内层介电层30具有隆起物36(参见图4)。
如果内层介电层30与40的碟化效应仍然显著,可重复进行图6与图7A或图7B的步骤以进一步降低碟化效应。
请参照图8,将多晶硅条22与先前形成的介电质24从内层介电层30/40移除,以形成开口(即相当于图中元件50与52的位置)。之后,毯覆性(blanket)沉积一栅介电层,优选为高介电常数材料,接着以一金属材料将开口填满。进行CMP移除内层介电层30/40上多余的栅介电层与金属材料后,留下开口中的栅介电层与金属材料分别形成MOS元件的栅介电质50与栅极52。在图9A中,形成一额外的内层介电层56,并在内层介电层56中形成接触插塞58。接触插塞58电性连接置至栅极52与源极/漏极区(未显示)。
图9B显示本发明另一实施例。该实施例的初始步骤基本上与图4到图6相同,除了内层介电层40的高度是配合形成接触插塞58的高度所决定。在形成内层介电层40后,进行轻微的CMP使其上表面齐平。然后在内层介电层30/40中形成接触插塞58以连接栅极条22与源极/漏极区(未显示)。
图4至图7B所示的方法可以应用在不同材料的填沟技术。例如,在集成电路的制造过程中,经常使用导电材料填入介电材料中的开口/沟槽。图10至图14显示一利用镶嵌工艺形成内连线结构的实施例。
参照图10,介电层60,也称为金属间介电层(IMD)60,形成在半导体基底20上方。金属间介电层60的上表面可包括或不包括一CMP停止层61。金属间介电层60中形成有开口62。金属间介电层60也包括图案密集区300与图案疏离区400,其中开口62在图案密集区300的宽度D3小于在图案疏离区400的宽度D4。此外,即使开口62在两区300、400的宽度大致相同,图案密集区300与图案疏离区400也可能会因为两区的金属线数量不同而造成。开口62可能露出导电元件64,导电元件64可电性连接至栅极条22(未显示,参照图4)及/或栅极52(未显示,参照图8)。在一实施例中,导电元件64为形成在内层介电层30/40(未显示,参照图7A、图7B)中的接触插塞。在另一实施例中,导电元件64为金属化层中的介层插塞(vias)。
请参照图11,毯覆性形成扩散阻障层66,接着在开口62中填入导电材料68。扩散阻障层66的材料例如是钛、氮化钛、钽、氮化钽等。导电材料68的材料例如是铜或铜合金。导电材料68因为底下结构不平坦而形成隆起物70。
请参照图12,进行第一CMP以移除介电层60上方多余的扩散阻障层66与导电材料68,以露出介电层60。此外,扩散阻障层66也可以在第一CMP中作为CMP停止层而在第一CMP后露出,但其下的介电层60则未露出。应注意的是,第一CMP可能会导致碟化效应,特别是在图案疏离区400。经过第一CMP后,形成了金属线72。
在图13中,形成了导电材料74,其可包括与导电材料68(参见图11)相同或不同的材料。接着,如图14所示,进行一第二CMP以移除多余的导电材料74。第二CMP停在介电层60的上表面。经过第二CMP后的结构,即使有任何碟化效应(及/或空洞),其程度已经明显小于第一CMP后的结构。因此,提供了较平坦的表面以利于后续工艺。应可了解的是,虽然图10至图14显示一单镶嵌工艺,同样的方法也适用于双镶嵌工艺。
实验证实,借由本发明实施例的方法可明显降低,甚至完全消除碟化效应与空洞。
虽然本发明已以数个优选实施例揭示如上,然而其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (15)

1.一种集成电路结构的形成方法,包括下列步骤:
提供一半导体基底;
形成多个图案化元件于该半导体基底上,其中所述多个图案化元件之间具有沟槽;
以第一填沟材料填入该沟槽,其中该第一填沟材料具有第一上表面,其高于所述多个图案化元件的上表面;
进行第一平坦化以降低该第一填沟材料的第一上表面,直到露出所述多个图案化元件的上表面;
沉积第二填沟材料,其中该第二填沟材料具有第二上表面,其高于所述多个图案化元件的上表面;以及
进行第二平坦化以降低该第二填沟材料的第二上表面,直到露出所述多个图案化元件的上表面。
2.如权利要求1项所述的方法,其中所述多个图案化元件包括多个栅极条,且其中所述第一与第二填沟材料包括内层介电材料。
3.如权利要求2项所述的方法,其中所述多个图案化元件还包括一接触蚀刻停止层,其具有部分位于所述多个栅极条上。
4.如权利要求2项所述的方法,其中所述多个图案化元件的上表面为所述多个栅极条的上表面。
5.如权利要求1项所述的方法,其中所述第一与第二填沟材料为相同材料。
6.如权利要求1项所述的方法,其中所述第一与第二填沟材料包含不同材料。
7.如权利要求1项所述的方法,其中该第一填沟材料的第一上表面高于该第二填沟材料的第二上表面。
8.如权利要求1项所述的方法,其中该第一平坦化包括一过度平坦化,以露出形成在第一填沟材料中的空洞。
9.如权利要求1项所述的方法,其中所述多个图案化元件为一金属间介电层,且其中所述第一与第二填沟材料包含铜。
10.一种集成电路结构的形成方法,包括下列步骤:
提供一半导体基底;
形成多个栅极条于该半导体基底上,其中所述多个栅极条之间具有沟槽,且其中该集成电路结构包括一图案密集区与一图案疏离区,所述多个栅极条于该图案密集区的图案密度大于该图案疏离区;
形成一接触蚀刻停止层,其具有第一部分直接位于所述多个栅极条上及第二部分位于该沟槽中;
以第一内层介电层填入该沟槽中,其中该第一内层介电层具有第一上表面,其高于所述多个栅极条的上表面;
进行第一化学机械研磨,直到该第一内层介电层的第一上表面不高于该接触蚀刻停止层的第一部分的上表面;
沉积第二内层介电层于该第一内层介电层与所述多个栅极条上;以及
进行第二化学机械研磨,直到该第二内层介电层的第二上表面不高于该接触蚀刻停止层的第一部分的上表面。
11.如权利要求10项所述的方法,其中该第一化学机械研磨停在所述多个栅极条的上表面或该接触蚀刻停止层的第一部分的上表面。
12.如权利要求10项所述的方法,其中该第二化学机械研磨停在所述多个栅极条的上表面或该接触蚀刻停止层的第一部分的上表面。
13.如权利要求10项所述的方法,其中该第一化学机械研磨包括一过度化学机械研磨,使位于该图案密集区的该第一内层介电层的第一上表面低于该接触蚀刻停止层的第一部分的上表面,而露出该第一内层介电层中的空洞。
14.一种集成电路结构的形成方法,包括下列步骤:
提供一半导体基底;
形成一介电层于该半导体基底上;
于该介电层中形成多个开口;
以第一导电材料填入所述多个开口,其中该第一导电材料的上表面高于该介电层的上表面;
对该第一导电材料进行第一化学机械研磨以露出该介电层的上表面;
形成第二导电材料于该第一导电材料与该介电层上;以及
对该第二导电材料进行第二化学机械研磨以露出该介电层的上表面。
15.如权利要求14项所述的方法,其中该第一化学机械研磨包括一过度化学机械研磨,使该第一导电材料的上表面低于该介电层的上表面,而露出该第一导电材料中的空洞。
CN200910133199.4A 2008-05-14 2009-04-17 集成电路结构的形成方法 Expired - Fee Related CN101582390B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310390288.3A CN103633011B (zh) 2008-05-14 2009-04-17 集成电路结构的形成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/152,380 2008-05-14
US12/152,380 US7955964B2 (en) 2008-05-14 2008-05-14 Dishing-free gap-filling with multiple CMPs

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310390288.3A Division CN103633011B (zh) 2008-05-14 2009-04-17 集成电路结构的形成方法

Publications (2)

Publication Number Publication Date
CN101582390A true CN101582390A (zh) 2009-11-18
CN101582390B CN101582390B (zh) 2016-05-04

Family

ID=41316580

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310390288.3A Expired - Fee Related CN103633011B (zh) 2008-05-14 2009-04-17 集成电路结构的形成方法
CN200910133199.4A Expired - Fee Related CN101582390B (zh) 2008-05-14 2009-04-17 集成电路结构的形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201310390288.3A Expired - Fee Related CN103633011B (zh) 2008-05-14 2009-04-17 集成电路结构的形成方法

Country Status (2)

Country Link
US (3) US7955964B2 (zh)
CN (2) CN103633011B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102339789A (zh) * 2011-10-28 2012-02-01 上海宏力半导体制造有限公司 金属互连结构的形成方法
CN102881565A (zh) * 2012-10-22 2013-01-16 上海集成电路研发中心有限公司 一种金属-氧化物-金属电容的形成方法
WO2014082357A1 (zh) * 2012-11-30 2014-06-05 中国科学院微电子研究所 平坦化处理方法
CN104465494A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(上海)有限公司 硅通孔的形成方法
CN106298500A (zh) * 2015-06-02 2017-01-04 联华电子股份有限公司 降低微负载效应的蚀刻方法
CN109155315A (zh) * 2018-05-16 2019-01-04 长江存储科技有限责任公司 用于解决不同图案密度区域处的外延生长负载效应的方法
CN110473829A (zh) * 2019-08-29 2019-11-19 上海华力集成电路制造有限公司 层间膜的制造方法
CN110911292A (zh) * 2019-12-02 2020-03-24 武汉新芯集成电路制造有限公司 一种半导体的制造方法
CN110931373A (zh) * 2019-12-11 2020-03-27 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法
CN111370370A (zh) * 2018-12-26 2020-07-03 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
WO2020259220A1 (zh) * 2019-06-25 2020-12-30 浙江驰拓科技有限公司 Mram底电极的制备方法
CN112447900A (zh) * 2019-09-03 2021-03-05 浙江驰拓科技有限公司 电极组件制备方法
TWI770117B (zh) * 2018-02-09 2022-07-11 聯華電子股份有限公司 半導體結構的形成方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8048752B2 (en) * 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
KR101798379B1 (ko) * 2010-10-05 2017-11-16 삼성전자주식회사 게이트 라스트 공정에서의 게이트 형성방법 및 그 방법에 의해 형성된 게이트 영역
CN102956559B (zh) * 2011-08-29 2015-01-28 中芯国际集成电路制造(上海)有限公司 一种制作金属栅极的方法
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
CN103854981A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 鳍结构制造方法
US8956931B2 (en) * 2013-02-21 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a multi-gate device
US9087796B2 (en) 2013-02-26 2015-07-21 International Business Machines Corporation Semiconductor fabrication method using stop layer
US8828875B1 (en) * 2013-03-08 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving CMP planarity
US20150206794A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Removing Micro Scratches In Chemical Mechanical Polishing Processes
US9594862B2 (en) * 2014-06-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with non-printable dummy features
US9378968B2 (en) * 2014-09-02 2016-06-28 United Microelectronics Corporation Method for planarizing semiconductor device
US9659874B2 (en) * 2015-10-14 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming deep trench and deep trench isolation structure
US10109627B2 (en) * 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US11626315B2 (en) * 2016-11-29 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and planarization method thereof
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
CN109817521B (zh) * 2017-11-21 2022-04-12 联华电子股份有限公司 用来改善平坦化负载效应的半导体制作工艺
GB2584372B (en) * 2018-02-22 2022-04-13 Massachusetts Inst Technology Method of reducing semiconductor substrate surface unevenness
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
CN113380709A (zh) * 2020-05-28 2021-09-10 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11211471B1 (en) 2020-09-10 2021-12-28 United Microelectronics Corp. Method of manufacturing a semiconductor device
US11488905B2 (en) * 2020-12-08 2022-11-01 Nanya Technology Corporation Semiconductor device structure with manganese-containing conductive plug and method for forming the same
CN113312870A (zh) * 2021-04-02 2021-08-27 长江先进存储产业创新中心有限责任公司 一种冗余填充方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040161919A1 (en) * 2003-02-14 2004-08-19 Yong-Won Cha Methods of forming integrated circuit devices including insulation layers
CN101027761A (zh) * 2004-07-28 2007-08-29 英特尔公司 使半导体结构平坦化以形成替代金属栅

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US5556801A (en) * 1995-01-23 1996-09-17 Eastman Kodak Company Method of making a planar charge coupled device with edge aligned implants and interconnected electrodes
US5541132A (en) 1995-03-21 1996-07-30 Motorola, Inc. Insulated gate semiconductor device and method of manufacture
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US6025224A (en) * 1997-03-31 2000-02-15 Siemens Aktiengesellschaft Device with asymmetrical channel dopant profile
US5851890A (en) * 1997-08-28 1998-12-22 Lsi Logic Corporation Process for forming integrated circuit structure with metal silicide contacts using notched sidewall spacer on gate electrode
US5928959A (en) * 1997-09-30 1999-07-27 Siemens Aktiengesellschaft Dishing resistance
US6060370A (en) * 1998-06-16 2000-05-09 Lsi Logic Corporation Method for shallow trench isolations with chemical-mechanical polishing
JP3244058B2 (ja) * 1998-07-28 2002-01-07 日本電気株式会社 半導体装置の製造方法
US6144071A (en) 1998-09-03 2000-11-07 Advanced Micro Devices, Inc. Ultrathin silicon nitride containing sidewall spacers for improved transistor performance
US6495200B1 (en) * 1998-12-07 2002-12-17 Chartered Semiconductor Manufacturing Ltd. Method to deposit a seeding layer for electroless copper plating
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6733580B2 (en) * 1999-06-08 2004-05-11 Kansei Paint Co., Ltd Inorganic film-forming coating composition, preparation method thereof and inorganic film-forming method
US6420258B1 (en) * 1999-11-12 2002-07-16 Taiwan Semiconductor Manufacturing Company Selective growth of copper for advanced metallization
US6251764B1 (en) 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
KR100350056B1 (ko) 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6368953B1 (en) * 2000-05-09 2002-04-09 International Business Machines Corporation Encapsulated metal structures for semiconductor devices and MIM capacitors including the same
JP2002118078A (ja) * 2000-10-12 2002-04-19 Toshiba Corp 半導体装置の製造方法及び半導体装置
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US20040259348A1 (en) * 2001-02-27 2004-12-23 Basol Bulent M. Method of reducing post-CMP defectivity
US6586326B2 (en) * 2001-03-13 2003-07-01 Lsi Logic Corporation Metal planarization system
US6403466B1 (en) * 2001-03-13 2002-06-11 Advanced Micro Devices, Inc. Post-CMP-Cu deposition and CMP to eliminate surface voids
KR100419021B1 (ko) * 2001-03-30 2004-02-19 주식회사 하이닉스반도체 반도체소자의 구리 배선 제조방법
JP3639223B2 (ja) 2001-05-14 2005-04-20 松下電器産業株式会社 埋め込み配線の形成方法
JP2002359244A (ja) * 2001-05-31 2002-12-13 Sony Corp 半導体装置の製造方法
US6512266B1 (en) 2001-07-11 2003-01-28 International Business Machines Corporation Method of fabricating SiO2 spacers and annealing caps
US20030020111A1 (en) 2001-07-16 2003-01-30 Bevan Malcolm J. Economic and low thermal budget spacer nitride process
US6638866B1 (en) * 2001-10-18 2003-10-28 Taiwan Semiconductor Manufacturing Company Chemical-mechanical polishing (CMP) process for shallow trench isolation
US6756633B2 (en) 2001-12-27 2004-06-29 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
JP4159378B2 (ja) * 2002-04-25 2008-10-01 三菱電機株式会社 高周波装置とその製造方法
JP3637332B2 (ja) 2002-05-29 2005-04-13 株式会社東芝 半導体装置及びその製造方法
US6693050B1 (en) * 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
DE10339989B4 (de) 2003-08-29 2008-04-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
US6921711B2 (en) 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US7001784B2 (en) 2003-09-19 2006-02-21 Taiwan Semiconductor Manufacturing Co. Ltd. Method to control spacer width
US7208404B2 (en) * 2003-10-16 2007-04-24 Taiwan Semiconductor Manufacturing Company Method to reduce Rs pattern dependence effect
US7183199B2 (en) 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing the pattern effect in the CMP process
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
DE102004026142B3 (de) 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
US20050269644A1 (en) * 2004-06-08 2005-12-08 Brask Justin K Forming integrated circuits with replacement metal gate electrodes
US7265425B2 (en) 2004-11-15 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device employing an extension spacer and a method of forming the same
JP4971593B2 (ja) 2005-01-11 2012-07-11 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US7229869B2 (en) 2005-03-08 2007-06-12 Texas Instruments Incorporated Method for manufacturing a semiconductor device using a sidewall spacer etchback
US7365378B2 (en) 2005-03-31 2008-04-29 International Business Machines Corporation MOSFET structure with ultra-low K spacer
US7880217B2 (en) 2005-07-30 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Programmable non-volatile memory (PNVM) device
US7411245B2 (en) 2005-11-30 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer barrier structure to prevent spacer voids and method for forming the same
US7449354B2 (en) * 2006-01-05 2008-11-11 Fairchild Semiconductor Corporation Trench-gated FET for power device with active gate trenches and gate runner trench utilizing one-mask etch
US7700439B2 (en) 2006-03-15 2010-04-20 Freescale Semiconductor, Inc. Silicided nonvolatile memory and method of making same
US7495280B2 (en) 2006-05-16 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with corner spacers
JP2007335850A (ja) * 2006-05-16 2007-12-27 Matsushita Electric Ind Co Ltd 半導体集積回路、半導体集積回路の配線パターン設計方法および配線パターン設計装置
CN100568468C (zh) 2006-10-24 2009-12-09 联华电子股份有限公司 半导体元件及其制造方法
US7795097B2 (en) * 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US8153526B2 (en) * 2008-08-20 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. High planarizing method for use in a gate last process
US7981801B2 (en) * 2008-09-12 2011-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) method for gate last process
US8890299B2 (en) * 2010-02-04 2014-11-18 Soitec Bonded semiconductor structures and methods of forming same
JP5922915B2 (ja) * 2011-12-02 2016-05-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040161919A1 (en) * 2003-02-14 2004-08-19 Yong-Won Cha Methods of forming integrated circuit devices including insulation layers
CN101027761A (zh) * 2004-07-28 2007-08-29 英特尔公司 使半导体结构平坦化以形成替代金属栅

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102339789A (zh) * 2011-10-28 2012-02-01 上海宏力半导体制造有限公司 金属互连结构的形成方法
CN102339789B (zh) * 2011-10-28 2015-06-10 上海华虹宏力半导体制造有限公司 金属互连结构的形成方法
CN102881565A (zh) * 2012-10-22 2013-01-16 上海集成电路研发中心有限公司 一种金属-氧化物-金属电容的形成方法
WO2014082357A1 (zh) * 2012-11-30 2014-06-05 中国科学院微电子研究所 平坦化处理方法
CN103854966A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 平坦化处理方法
US9406549B2 (en) 2012-11-30 2016-08-02 Institute of Microelectronics, Chinese Academy of Sciences Planarization process
CN103854966B (zh) * 2012-11-30 2016-08-24 中国科学院微电子研究所 平坦化处理方法
CN104465494A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(上海)有限公司 硅通孔的形成方法
CN106298500B (zh) * 2015-06-02 2020-07-21 联华电子股份有限公司 降低微负载效应的蚀刻方法
CN106298500A (zh) * 2015-06-02 2017-01-04 联华电子股份有限公司 降低微负载效应的蚀刻方法
TWI770117B (zh) * 2018-02-09 2022-07-11 聯華電子股份有限公司 半導體結構的形成方法
US11152389B2 (en) 2018-05-16 2021-10-19 Yangtze Memory Technologies Co., Ltd. Methods for solving epitaxial growth loading effect at different pattern density regions
US10692882B2 (en) 2018-05-16 2020-06-23 Yangtze Memory Technologies Co., Ltd. Methods for solving epitaxial growth loading effect at different pattern density regions
CN109155315A (zh) * 2018-05-16 2019-01-04 长江存储科技有限责任公司 用于解决不同图案密度区域处的外延生长负载效应的方法
CN111370370A (zh) * 2018-12-26 2020-07-03 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN111370370B (zh) * 2018-12-26 2023-03-14 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
WO2020259220A1 (zh) * 2019-06-25 2020-12-30 浙江驰拓科技有限公司 Mram底电极的制备方法
CN110473829A (zh) * 2019-08-29 2019-11-19 上海华力集成电路制造有限公司 层间膜的制造方法
CN110473829B (zh) * 2019-08-29 2023-09-15 上海华力集成电路制造有限公司 层间膜的制造方法
CN112447900A (zh) * 2019-09-03 2021-03-05 浙江驰拓科技有限公司 电极组件制备方法
CN110911292A (zh) * 2019-12-02 2020-03-24 武汉新芯集成电路制造有限公司 一种半导体的制造方法
CN110931373A (zh) * 2019-12-11 2020-03-27 武汉新芯集成电路制造有限公司 一种半导体器件及其制造方法

Also Published As

Publication number Publication date
US20110227189A1 (en) 2011-09-22
US8552522B2 (en) 2013-10-08
CN103633011B (zh) 2017-07-11
CN103633011A (zh) 2014-03-12
US7955964B2 (en) 2011-06-07
US8932951B2 (en) 2015-01-13
CN101582390B (zh) 2016-05-04
US20090286384A1 (en) 2009-11-19
US20140030888A1 (en) 2014-01-30

Similar Documents

Publication Publication Date Title
CN101582390A (zh) 集成电路结构的形成方法
CN100470787C (zh) 半导体器件及其制造方法
CN100561665C (zh) 包含表面清洁步骤的制造半导体装置的方法
US20070128847A1 (en) Semiconductor device and a method for manufacturing the same
KR100219508B1 (ko) 반도체장치의 금속배선층 형성방법
US6194313B1 (en) Method for reducing recess for the formation of local interconnect and or plug trench fill for etchback process
US8026604B2 (en) Semiconductor devices having contact holes including protrusions exposing contact pads
KR100343146B1 (ko) 다마신 구조의 게이트 전극이 형성된 반도체 소자 및 그의형성방법
US6740549B1 (en) Gate structures having sidewall spacers using selective deposition and method of forming the same
US6833291B2 (en) Semiconductor processing methods
KR100268459B1 (ko) 반도체 장치의 콘택 플러그 형성 방법
JPH021925A (ja) 電気接続体の製造方法
JPH10116904A (ja) 半導体装置の製造方法
TWI294668B (en) Method of fabricating trench isolation for trench-capacitor dram devices
CN103515353B (zh) 一种光刻胶填充式金属互连结构及其制造方法
US6780763B2 (en) Method for fabricating semiconductor device capable of improving gap-fill property
CN112635396A (zh) 金属钴互连层和钨金属接触孔层的形成方法
CN112582340A (zh) 金属钴互连层和接触孔层的形成方法
US5930670A (en) Method of forming a tungsten plug of a semiconductor device
KR19990063743A (ko) 화학적 기계적 연마에 사용하는 캡핑된 중간층 절연물
KR100832018B1 (ko) 반도체 소자 및 그 제조 방법
EP0929100A2 (en) Process for controlling the height of a stud intersecting an interconnect
TWI292201B (zh)
JPH09148434A (ja) 配線の層間接続法
KR100304967B1 (ko) 반도체소자의 배선 및 그의 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160504

CF01 Termination of patent right due to non-payment of annual fee