CN101496144A - 用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分 - Google Patents

用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分 Download PDF

Info

Publication number
CN101496144A
CN101496144A CNA200780002304XA CN200780002304A CN101496144A CN 101496144 A CN101496144 A CN 101496144A CN A200780002304X A CNA200780002304X A CN A200780002304XA CN 200780002304 A CN200780002304 A CN 200780002304A CN 101496144 A CN101496144 A CN 101496144A
Authority
CN
China
Prior art keywords
gas
switch valve
quick switch
gas passage
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200780002304XA
Other languages
English (en)
Other versions
CN101496144B (zh
Inventor
迪安·J·拉松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101496144A publication Critical patent/CN101496144A/zh
Application granted granted Critical
Publication of CN101496144B publication Critical patent/CN101496144B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K19/00Arrangements of valves and flow lines specially adapted for mixing fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0402Cleaning, repairing, or assembling
    • Y10T137/0491Valve or valve element assembling, disassembling, or replacing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/877With flow control means for branched passages
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

提供一种用于将不同气体组成提供到室(如等离子处理设备的等离子处理室)的气体分配系统的气体切换系统。该室可包括多个区域,以及该气体切换部分可将不同的气体提供到该多个区域。该切换部分可切换一个或多个气体的流动,从而可以将一种气体提供到该室,而将另一种气体提供到旁通管线,并且然后切换该气流。

Description

用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分
背景技术
半导体结构在等离子处理设备中处理,等离子处理设备包括等离子处理室、将处理气体提供入该室的气体源和由该处理气体产生等离子的能量源。在这样的设备中,通过包括干蚀刻工艺、沉积工艺(如金属、电介质和半导体的化学气相沉积(CVD)、物理气相沉积或者等离子增强化学气相沉积(PECVD)和抗蚀剂剥离工艺)的技术处理半导体结构。不同的处理气体用于这些处理技术,以及处理不同材料的半导体结构。
发明内容
提供一种可操作以向真空室(如等离子处理室)提供选择的气体的气体分配系统。这些可以是蚀刻气体成分和/或沉积气体成分。该气体分配系统的实施方式具有快速气体切换能力,由此允许该系统在短时间内转换向该真空室提供的气体。气体切换可优选地在每种气体不出现不希望的压力波动或流动不稳定的情况下完成。该气体分配系统的一些实施方式可向该真空室的内部的不同区域提供选择的包括不同气体化学制剂和/或流率的气流。
提供一种用于气体分配系统的气体切换部分的实施方式,该系统用于将处理气体提供到等离子处理室,该气体切换部分包括第一气体通道,适于与第一气体管线和该等离子处理室流体连通;第二气体通道,适于与该第一气体管线和旁通管线流体连通;沿该第一气体通道的第一快速切换阀门,可操作以打开和关闭该第一气体通道,该第一快速切换阀门具有第一流量系数;和沿该第二气体通道的第二快速切换阀门,可操作以打开和关闭该第二气体通道,该第二快速切换阀门具有不同于该第一流量系数的第二流量系数,从而当气流通过关闭该第一快速切换阀门以及打开该第二快速切换阀门而从该第一气体通道切换到该第二气体通道,或通过关闭该第二气体通道并且打开该第一气体通道而从该第二气体通道切换到该第一气体通道时,该第一快速切换阀门的入口压力基本上等于该第二快速切换阀门的入口压力。
提供用于该气体分配系统的气体切换部分的另一个实施方式,该系统将气体提供到包括具有中央和边缘区域的气体分配构件的等离子处理室,这两个区域彼此流动隔开。该气体切换系统包括第一气体通道,适于与第一气体管线和该等离子处理室的气体分配构件的边缘区域流体连通;第二气体通道,适于与该第一气体管线和旁通管线流体连通;第三气体通道,适于与第二气体管线和该气体分配构件的中央区域流体连通;第四气体通道,适于与该第二气体管线和该旁通管线流体连通;第五气体通道,适于与第三气体管线和该中央区域流体连通;第六气体通道,适于与该第三气体管线和该旁通管线流体连通;第七气体通道,适于与第四气体管线和该边缘区域流体连通;第八气体通道,适于与该第四气体管线和该旁通管线流体连通;分别沿该第一和第二气体通道的第一和第二快速切换阀门,该第一快速切换阀门可操作以打开和关闭该第一气体通道并且具有第一流量系数,该第二快速切换阀门可操作以打开和关闭该第二气体通道并且具有不同于该第一流量系数的第二流量系数,从而当将气流从该第一气体通道切换到该第二气体通道或从该第二气体通道切换到该第一气体通道时,该第一快速切换阀门的入口压力基本上等于该第二快速切换阀门的入口压力;分别沿该第三和第四气体通道的第三和第四快速切换阀门,该第三快速切换阀门可操作以打开和关闭该第三气体通道,并且具有第三流量系数,该第四快速切换阀门可操作以打开和关闭该第四气体通道,并且具有不同于该第三流量系数的第四流量系数,从而当气流从该第三气体通道切换到该第四气体通道或从该第四气体通道切换到该第三气体通道时,该第三快速切换阀门的入口压力基本上等于该第四快速切换阀门的入口压力;分别沿该第五和第六气体通道的第五和第六快速切换阀门,该第五快速切换阀门可操作以打开和关闭该第一气体通道,并且具有第五流量系数,该第六快速切换阀门可操作以打开和关闭该第六气体通道,并且具有不同于该第五流量系数的第六流量系数,从而当气流从该第五气体通道切换到该第六气体通道或从该第六气体通道切换到该第五气体通道时,该第五快速切换阀门的入口压力基本上等于该第六快速切换阀门的入口压力;和分别沿该第七和第八气体通道的第七和第八快速切换阀门,该第七快速切换阀门可操作以打开和关闭该第七气体通道,并且具有第七流量系数,该第八快速切换阀门可操作以打开和关闭该第八气体通道,并且具有不同于该第七流量系数的第八流量系数,从而当气流从该第七气体通道切换到该第八气体通道或从该第八气体通道切换到该第七气体通道时,该第八快速切换阀门的入口压力基本上等于该第七快速切换阀门的入口压力。
提供一种在包括喷头电极的等离子处理室中处理半导体基片的方法,该喷头电极包括中央和边缘区域。该方法的实施方式包括a)将第一处理气体提供到该喷头电极总成的中央和边缘区域,同时将第二处理气体转移到旁通管线,其中该等离子处理室包含半导体基片,其包括至少一个层以及覆盖在该层上的图案化抗蚀剂掩模;b)由第一处理气体产生第一等离子以及(i)在该层中蚀刻至少一个特征或(ii)在该掩模上形成聚合物沉积;c)切换该第一和第二处理气体的流动从而将该第二处理气体提供到该喷头电极总成的中央和边缘区域而将该第一处理气体转移到该旁通管线;d)由该第二处理气体产生第二等离子以及(iii)在该层中蚀刻至少一个特征或(iv)在该层和该掩模上形成聚合物沉积;e)切换该第一和第二处理气体的流动从而将该第一处理气体提供入该等离子处理室而将该第二处理气体转移到该旁通管线;以及f)将a)-e)对该基片重复多次。
还提供一种制造用于将处理气体提供到等离子处理室的气体分配系统的气体切换部分的方法。该方法的实施方式包括沿适于与第一气体管线和该等离子处理室流体连通的第一气体通道设置第一快速切换阀门;沿适于与该第一气体管线和旁通管线流体连通的第二气体通道设置第二快速切换阀门;以及调节该第一快速切换阀门的第一流量系数和/或调节该第二快速切换阀门的第二流量系数,从而该第一和第二流量系数彼此不同,并且当气流从该第一气体通道切换到该第二气体通道或从该第二气体通道切换到该第一气体通道时,该第一快速切换阀门的入口压力基本上等于该第二快速切换阀门的入口压力。
附图说明
图1是该气体分配系统的优选实施方式可用于的等离子处理设备的示范实施方式的剖视图。
图2说明该气体分配系统的优选实施方式。
图3描述该气体分配系统的气体供应部分的优选实施方式。
图4描述该气体分配系统的流量控制部分的优选实施方式。
图5描述该气体分配系统的气体切换部分的第一优选实施方式。
图6描述该气体分配系统的该气体切换部分的第二优选实施方式。
具体实施方式
用于处理半导体材料的等离子处理设备,如在半导体基片(例如硅晶片)上形成的器件,包括等离子处理室和气体分配系统,其将处理气体提供入该等离子处理室。在等离子处理过程中,该气体分配系统可纵贯基片表面将气体分配至单个区(区域)或多个区(区域)。该气体分配系统可包括流量控制器以控制相同处理气体或不同处理气体,或该相同或不同气体混合物到这些区域的流率,从而允许在过程中调节气体流量和气体组成在整个基片上的一致性。
尽管与单区系统相比,多区气体分配系统可提供改进的流量控制,但是期望提供这样一种具有允许可在短时间内改变该气体组成和/或该气体流量的基片处理操作的装置的多区系统。
提供气体分配系统,用于向室提供不同气体组成和/或流率。在优选实施方式,该气体分配系统适于与真空室内部流体连通,如等离子处理设备的等离子处理室,并提供在处理操作过程中向该真空室提供不同气体化学制剂和/或气体流率的能力。该等离子处理设备可以是低密度、中等密度或高密度等离子反应器,包括能量源,其使用RF能量、微波、磁场等以产生等离子。例如,该高密度等离子可在变压器耦合的等离子(TCPTM)反应器中产生,其也称为电感等离子反应器,电子回旋加速器共振(ECR)等离子反应器,电容式放电反应器等。该气体分配系统的实施方式可用于的示范性等离子反应器包括ExelanTM等离子反应器,如2300ExcelanTM等离子反应器,可从位于Fremont,California的Lam Research Corporation得到。在等离子蚀刻过程期间,可向结合电极和静电卡盘基片支撑件施加多种频率。或者,在双频等离子反应器中,不同的频率可施加到该基片支撑件和电极上,如喷头电极,其与该基片隔开以便形成等离子生成区。
该气体分配系统的一个优选实施方式将第一气体经由单个区域或多个区域提供入该真空室(如等离子处理室)的内部,优选地至少经由临近待处理基片暴露的表面的气体分配构件的中央和边缘区域。在该等离子处理室中该中央和边缘区域互相径向隔开,并且优选地流动隔离。该气体分配系统可同时向真空室旁通管线转移不同于该第一气体的第二气体。该旁通管线可与真空泵等流体连通。在一个优选实施方式,该第一气体是第一处理气体和该第二气体是不同处理气体。例如,该第一气体可以是蚀刻气体化学制剂或沉积气体化学制剂,以及该第二气体可以是不同蚀刻气体化学制剂或沉积气体化学制剂。该气体分配系统可同时提供该第一气体分别到该中央和边缘区域的不同的可控的流率,同时将该第二气体转向该旁通管线,并且反之亦然。通过将这些气体中的一个转向该旁通管线,可以在短时间内实现供应到该真空室的气体的转换。
该气体分配系统包括切换装置,其允许短时间内在供应到包括单个区域或多个区域的真空室的第一和第二气体之间的气体切换或气体转换。对于多区域系统,该气体分配系统可将该第一气体提供到该中央和边缘区域,同时将该第二气体转移到该旁通管线,然后在短时间内切换该气体分配,从而将该第二气体提供到该中央和边缘区域而将该第一气体转移到该旁通管线。该气体分配系统可交替地将该第一和第二气体提供入该真空室的内部,每次持续所需的时间,以允许在使用不同气体化学制剂的不同处理操作之间快速转换,例如,处理半导体器件的方法的交替步骤。在优选实施方式中,这些方法步骤可以是不同蚀刻步骤,例如,脉冲蚀刻和沉积步骤,较快的蚀刻步骤,如主蚀刻,和相对较慢的蚀刻步骤,如过蚀刻步骤;蚀刻步骤和材料沉积步骤;或不同材料沉积步骤,其将不同材料沉积到基片上。
在该气体分配系统的优选实施方式中,在真空室内限制区内的气体组成的容积,优选地是等离子限制区域,可以由在短时间内引入该该真空室的另一气体组成替换(即,被冲掉)。这样的气体替换通过在该气体分配系统中提供具有快速切换能力的阀门可以优选地在1s以内完成,更优选地在大约200ms以内。对于用于处理200mm或300mm晶片的等离子处理室,该等离子限制区域可具有大约1/2升到大约4升的容积。该等离子限制区域可以由一堆限制环形成,如公开在共有美国专利NO.5,534,751中的部件,通过引用其整体而将其结合在这里。
图1描述了该气体分配系统的实施方式100可以用于的示范性半导体材料等离子处理设备10。该设备10包括真空室或等离子处理室12,其具有包含基片支撑件14的内部,在等离子处理期间基片16支撑在该支撑件上。该基片支撑件14包括夹紧装置,优选地是静电卡盘18,其可操作以在处理期间将该基片16夹紧在该基片支撑件14。该基片可以由聚焦环和/或边缘环,接地扩展部或其他部件围绕,如在共有美国专利申请公开No.US2003/0029567中公开的部件,通过引用其整体而将其结合在这里。
在优选实施方式中,该等离子处理室12包括等离子限制区域,对于处理200mm或300mm晶片,该区域具有大约1/2升到大约4升,优选地大约1升到大约3升。例如,该等离子处理室12可包括限制环布置(如在共有美国专利No.5,534,751中公开的)以形成该等离子限制区域。该气体分配系统可在小于大约1秒的时间内,优选地小于大约200ms内,用另一种气体取代该等离子限制区域这些体积气体,而基本上没有反向扩散。该限制机构可限制从该等离子容积到该等离子处理室12的内部在该等离子容积之外的部分的流体连通。
该基片16可包括基底材料,如硅晶片;该基底材料上的待处理(例如蚀刻)材料的中间层;和该中间层上的掩模层。该中间层可以是导电的、不导电的或半导电的材料。该掩模层可以是图案化的光刻胶材料,其具有开口图案,用于在该中间层和/或一个或多个其他层中蚀刻需要的特征,例如,孔,过孔和/或沟槽。该基片可包括该基层和该掩模层之间的导电、不导电或半导电的材料的额外的层,取决于该基底材料上形成的半导体器件的类型。
可以被处理的示范性的介电材料是,例如,掺杂硅氧化物,如氟化硅氧化物;非掺杂硅氧化物,如二氧化硅;旋涂玻璃;硅酸盐玻璃;掺杂或非掺杂热硅氧化物;和掺杂或非掺杂TEOS沉积硅氧化物。该介电材料可以是低k材料,其具有选择的k值。这样的介电材料可覆盖在导电或半导电层,如多晶硅;金属,如铝、铜、钛、钨、钼及其合金;氮化物,如氮化钛;以及金属硅化物,如硅化钛、硅化钨和硅化钼。
图1示出的示范性等离子处理设备10包括喷头电极总成,其具有形成该等离子室壁的支撑板20和连接到该支撑板的喷头22。挡板总成位于该喷头22和该支撑板20之间以均一地将处理气体分配到该喷头的背面28。该挡板总成可包括一个或多个挡板。在该实施方式中,该挡板总成包括挡板30A、30B和30C。在该挡板30A、30B和30C之间;以及在该挡板30C和喷头22之间形成开放的集气室(plenum)48A、48B和48C。该挡板30A、30B和30C和喷头22包括贯通通道(through passage),用于将处理气体流入等离子处理室12的内部。
在该实施方式中,该板20和该挡板30A之间的集气室以及该挡板30A、30B和30C之间的集气室48A、48B和48C通过密封件38A、38B、38C和38D(如O形环)分为中央区域42和边缘区域46。该中央区域42和边缘区域46可以由气体分配系统100提供具有各自不同气体化学制剂和/或流率的处理气体,优选地在控制器500的控制下。气体由中央区域气源40提供进入该中央区域42,以及气体是由边缘区域气源44提供进入环形通道44a然后进入该边缘区域46。该处理气体流过该挡板30A、30B和30C以及该喷头22的通道并且进入该等离子处理室12的内部。该处理气体在该等离子处理室12中被电源激发为等离子状态,如RF源驱动电极22,或该基片支撑件14内的电源驱动电极。当向该等离子处理室12内提供不同气体组成时,施加到该电极22的RF功率可以变化,优选地在小于大约1s的时间内,更优选地小于大约200ms。
在其他优选实施方式中,该等离子处理设备10可包括气体喷射器系统,用于将处理气体喷射入该等离子处理室。例如,该气体喷射器系统可具有如共有美国专利申请公开No.2001/0010257、美国专利申请公开No.2003/0070620、美国专利No.6,013,155或美国专利No.6,270,862所披露的结构,其每个通过引用其整体结合在这里。该气体喷射器系统可包括喷射器,其将处理气体提供到等离子处理室的不同区域。
图2示出一个优选实施方式,其中该气体分配系统100包括互相流体连通的气体供应部分200、流量控制部分300和气体切换部分400。该气体分配系统100优选地还包括控制器500(图1),以控制通信连接该控制器以控制该气体供应部分200,流量控制部分300和气体切换部分400的运行。
在该气体分配系统100中,该气体供应部分200可经由各自的第一和第二气体管线235、245向该流量控制部分300提供不同气体,如第一和第二处理气体。该第一和第二气体可具有互相不同组成和/或气体流率。
该流量控制部分300可操作以控制流率,以及可选地还调节可提供到该切换部分400的不同气体的组成。该流量控制部分300可分别经由通道324、326和364、366向该切换部分400提供不同流率和/或化学制剂的该第一和第二气体。另外,提供至该等离子处理室12的该第一气体和/或第二气体的流率和/或化学制剂(同时其它气体转移到旁通管线50,其可以与真空泵系统流体连通,如在涡轮泵和低真空泵之间)对于该中央区域42和该边缘区域46可以不同。所以,该流量控制部分300可在整个基片16提供所需的气体流量和/或气体化学制剂,由此增强基片处理均一性。
在该气体分配系统100中,该切换部分400可以操作以在短时间内从该第一气体切换到该第二气体以允许在单个区域或多个区域(例如,该中央区域42和该边缘区域46)内由该第二气体替换该第一气体,而同时将该第一气体转移到该旁通管线,或者,反之亦然。该气体切换部分400优选地可在该第一和第二气体间切换,而每个气体流动不会出现不希望的压力波动和流动不稳定性。如果需要,该气体分配系统100可使该第一和第二气体保持基本上恒定的连续的体积流率通过该等离子处理室12。
图3示出该气体分配系统100的该气体供应部分200的优选实施方式。图3中描述的气体供应部分200在共有美国申请公开No.2005/0241763中描述,通过引用其整体而结合在这里。该气体供应部分200优选地连接到该控制器500以控制流量控制组件的运行,如阀门和流量控制器,以控制可以由该气体供应部分200提供的两种或多种气体的组成。在该实施方式中,该气体供应部分200包括多个气体源202、204、206、208、210、212、214和216,每个与该第一气体管线235和该第二气体管线245流体连通。像这样,该气体供应部分200可向该等离子处理室12提供许多所需的不同气体混合物。包括在该气体分配系统100中的多个气体源不限于任何特定教量的气体源,但是优选地包括至少两个不同的气体源。该气体供应部分200可包括多于或少于八个图3所示的包括在该实施方式中的气体源。例如,该气体供应部分200可包括两个、三个、四个、五个、十个、十二个、十六个或更多气体源。可以由各自气体源提供的不同气体包括单一气体,如O2、Ar、H2、Cl2、N2等,以及气态氟碳化合物和/或氟代烃化合物,如CF4、CH3F等。在一个优选实施方式中,该等离子处理室是蚀刻室和该气体源202-216可提供Ar、O2、N2、Cl2、CH3、CF4、C4F8和CH3F或CHF3(以其任何适合的顺序)。由各自气体源202-216提供的特定气体可以基于将在该等离子处理室12中执行的所需的工艺而选择,例如,特定的干蚀刻和/或材料沉积工艺。该气体供应部分200可提供关于气体选择的广泛的灵活性,提供这些气体用于执行蚀刻工艺和/或材料沉积工艺。
该气体供应部分200优选地还包括至少一个调谐气体源以调节该气体组成。该调谐气体可以是,例如O2,惰性气体,如氩气,或反应性气体,如氟碳化合物或氟代烃气体,例如,C4F8。在图3所示的实施方式中,该气体供应部分200包括第一调谐气体源218和第二调谐气体源219。如下面所述的,该第一调谐气体源218和第二调谐气体源219可提供调谐气体以调节提供到该气体切换部分400的该第一和/或第二气体的组成。
在图3所示的该气体供应部分200的实施方式中,流量控制装置240优选地设在分别与该气体源202、204、206、208、210、212、214和216流体连通的气体通道222、224、226、228、230、232、234和236的每个中,以及还在分别与该第一调谐气体源218和该第二调谐气体源219流体连通的气体通道242、244中。该流量控制装置240可操作以控制由相关的气体源202-216和218、219提供的气体的流量。该流量控制装置240优选地是质量流量控制器(MFC)。
在图3所示的实施方式中,阀门250、252沿该气体通道设在该气体源202-216的下游。该阀门250、252可以有选择地打开或关闭,优选地在该控制器500的控制下,以允许不同气体混合物流到该第一气体管线235和/或该第二气体管线245。例如,通过打开与该气体源202-216的一个或多个关联的阀门252(同时保持与该气体源202-216其余的相关联的阀门252关闭),第一气体混合物可以提供到该第一气体管线235。类似地,通过打开与别的气体源202-216的一个或者多个关联的阀门250(同时保持与该气体源202-216其余的相关联的阀门250关闭),第二气体混合物可以提供到该第二气体管线245。因此,该第一和第二气体的各种不同的混合物和质量流率可以通过该气体供应部分200可控操作而提供到该第一气体管线235和该第二气体管线245。
在一个优选实施方式中,该气体供应部分200可操作以提供该第一和第二气体分别经由该第一气体管线235和该第二气体管线245连续的流动。该第一气体或该第二气体流到该等离子处理室12而另一种气体转移到该旁通管线。该旁通管线可以连接到真空泵等。通过该第一和第二气体的连续流动,该气体分配系统100可实现提供入该等离子处理室的处理气体的快速转换。
图4示出该气体分配系统100的该流量控制部分300的优选实施方式。图4中描述的流量控制部分300在美国申请公开No.10/835,175中描述。该流量控制部分300包括第一流量控制部分305,其与来自该气体供应部分200的该第一气体管线235流体连通,和第二流量控制部分315,其与来自该气体供应部分200的该第二气体管线245流体连通。该流量控制部分300可操作以控制分别提供到该中央区域42和边缘区域46的该第一气体的比率,而该第二气体转移到该旁通管线,以及控制分别提供到该中央区域42和边缘区域46的该第二气体的比率,而该第一气体转移到该旁通管线。该第一流量控制部分305将在该第一气体管线235引入的该第一气体流分为两个单独的该第一气体出口流,以及该第二流量控制部分315将在该第二气体管线245引入的该第二气体分为两个单独的该第二气体出口流。该第一流量控制部分305包括经由该切换系统400分别与该中央区域42和边缘区域46流体连通的第一和第二气体通道324、326,和该第二流量控制部分315包括经由该切换系统400分别与该中央区域42和边缘区域46流体连通的第一和第二气体通道364、366。
在一个优选的布置中,该第一流量控制部分305和该第二流量控制部分315的每个包括至少两个流量限制器。每个流量限制器优选地对于通过它的气体流量具有固定的约束尺寸。该流量限制器优选地是孔。该流量限制器限制气体流量并且在该气体通道位于这些孔的上游并靠近这些孔的区域内保持近似恒定的气体压力。该第一流量控制部分305和该第二流量控制部分315的每个优选地包括网孔,例如两个、三个、四个、五个或更多孔,每个优选地具有不同的截面约束尺寸,例如,不同的直径或不同的截面积。这些孔的约束尺寸小于该气体分配系统100的气体流动路径的其他部分的截面积。这些孔优选地是音速孔。这些气体流优选地运行在该流量控制部分300内的关键流动体制,从而该给定孔的流导由其约束尺寸和入口气压单独确定。随着该孔的流导增加,穿过该孔以实现给定的穿过该孔的流率的压降减小。
在图4所示的实施方式中,该第一和第二流量控制部分305、315每个包括五个孔330、332、334、336和338。例如,这些孔330、332、334、336和338可分别具有相关的约束尺寸,例如一个,一个半,两个,三个和三个的直径。因此,当气体流经过至少两个孔336和338出现时,这些孔具有大约同一总的流导。或者,多达全部四个孔330-336可以打开以提供与该孔338的流导相比不同比率的孔330-336总的流导,以便将不同比率的该第一气体流量和该第二气体流量提供到该中央区域42和该边缘区域46。对于流量控制部分305,阀门320与气体通道324、326、331和333流体连通,使得到达该中央区域42和该边缘区域46的第一气体流量和该第二气体流量可能的比率的数量翻一倍,由此减小在该系统所需要的孔330-338的数量。流量控制部分315具有同样的结构以由此减少在该系统中所需的孔330-338的数量。
另一个实施方式可包括不同数量的孔,例如总共两个孔;包括该孔338和替代该多个孔330-336的第二孔。例如,该第二孔可具有与该孔338相同的约束尺寸。在这样的实施方式,提供到该中央区域42和该边缘区域46的该第一气体和/或第二气体的流量比大约为1∶1。
阀门320优选地设在各个孔330-338的每个的上游以控制到这些孔的该第一和第二气体的流量。例如,在该第一流量控制部分305和/或该第二流量控制部分315中,该一个或者多个阀门320可以打开以允许该第一气体和/或第二气体流到一个或多个相关的孔330-336,而另一个阀门320打开以允许该第一气体和/或该第二气体流到该孔338。
在该第一流量控制部分305中,这些孔330-336与该气体通道322流体连通。该气体通道322分为第一和第二气体通道324、326,其与该气体切换部分流体连通。一对阀门320设在该第一和第二气体通道324、326中以允许控制经过该第一流量控制部分305的孔330-336的一个或多个流到该中央区域42和/或该边缘区域46的第一气体的流量。在另一个实施方式,这一对阀门320沿该气体通道324设置,326可以由单个四通阀替代。
在该第一流量控制部分305中,该孔338沿该气体通道319设置。该气体通道319分成气体通道331、333,其分别与该第一和第二气体通道324、326流体连通。一对阀门320设在该气体通道331、333中以控制经过该孔338流到该第一和第二气体通道324、326的该第一气体的流量。在另一个实施方式,沿该气体通道331、333设置的这一对阀门320可以由单个四通阀替代。
在该第二流量控制部分315中,一对阀门320沿该第一和第二气体通道364、366设置以控制经过这些孔330-336的一个或者多个流到该等离子处理室的该中央区域42和该边缘区域46的该第二气体的流量。在另一实施方式,沿该气体通道364、366设置的这一对阀门320可以由单个四通阀替代。
在该第二流量控制部分315,该孔338沿该气体通道359布置。该气体通道359分成气体通道372、374,其分别与该第一和第二气体通道364、366流体连通。一对阀门320设在该气体通道372、374中以控制经过该孔338流到该第一和/或第二气体通道364、366的该第二气体的流量。在另一个实施方式,沿该气体通道372、374设置的这一对阀门320可以由单个四通阀替代。
这些孔330-338包括在该流量控制部分300中,以便当该气体分配系统100将流入该等离子处理室12的气体由该第一气体变为该第二气体时,防止压力波动和该气体流量的流动不稳定,反之亦然。
在该实施方式中图4所示的,该第一调谐气体源218的气体通道242(图3)布置为将该第一调谐气体提供到该第一流量控制部分305的该第一气体通道324和/或第二气体通道326以调节该第一气体组成。该第二调谐气体源219的气体通道244(图3)布置为将该第二调谐气体提供到该第二流量控制部分315的该第一气体通道364和/或第二气体通道366以调节该第二气体组成。该第一和第二调谐气体可以是相同的调谐气体或不同的调谐气体。
一个流量控制装置340,优选地为MFC,沿该气体通道242设置。阀门320沿该气体通道337、339设置以分别控制该第一调谐气体进入该气体通道326、324的流量。在另一个实施方式,沿该气体通道337、339设置的这一对阀门320可以由单个四通阀替代。
流量控制装置340,优选地为MFC,沿该气体通道244布置。阀门320沿该气体通道376、378设置以分别控制该第二调谐气体进入该气体通道366、364的流量。在另一备选实施方式,沿该气体通道376、378设置的一对阀门320可以由单个四通阀替代。
在图4所示的该流量控制部分300的实施方式中,该第一流量控制部分305和该第二流量控制部分315包括设置在同样构造中的同样的组件。然而,在该气体分配系统100的别的优选实施方式中,该第一和第二流量控制部分305,315可具有彼此不同的组件和/或不同的构造。例如,该第一和第二流量控制部分305,315可包括不同数目的具有彼此不同约束尺寸的孔和/或多个孔。例如,该第一和第二流量控制部分305,315可包括多种调谐气体。
在该气体分配系统100中,该气体切换部分400与该流量控制部分300,以及与该真空室的内部和该第一和第二气体流到的该旁通管线流体连通。该气体切换部分400的第一优选实施方式在图5中描述。该气体切换部分400可交替将第一和第二气体提供到该等离子处理室12的该中央区域42和该边缘区域46。该气体切换部分400与该第一流量控制部分305的该第一气体通道324和该第二气体通道326,以及与该第二流量控制部分315的该第一气体通道364和该第二气体通道366流体连通。
该第一流量控制部分305的该第一气体通道324分为气体通道448、450;该第一流量控制部分305的该第二气体通道326分为气体通道442、444;该第二流量控制部分315的该第一气体通道364分为气体通道452、454;以及该第二流量控制部分315的该第二气体通道366分为气体通道456,458。在该实施方式中,该气体通道442与该等离子室12的该边缘区域46流体连通,该气体通道448与等离子处理室12的该中央区域42流体连通,并且该气体通道444提供旁通管线。该气体通道456与到该边缘区域46的该气体通道442流体连通。该气体通道452与到该中央区域42的该气体通道448流体连通。该气体通道450、454和458与到该旁通管线的该气体通道444流体连通。
阀门440沿该气体通道442、444、448、450、452、454、456和458的每个布置。该阀门440可以有选择地打开和关闭,优选地在该控制器500的控制下,以将该第一或第二气体提供到该室,而同时将另一气体转移到该旁通管线。
例如,为了将该第一气体提供到该等离子处理室12的该中央区域42和该边缘区域46,并将该第二气体转移到该旁通管线,沿该气体通道442、448和454、458的阀门440打开,而该沿该气体通道444、450和452、456的阀门440关闭。为了切换该气流,从而将该第二气体提供到该等离子处理室12的该中央区域42和该边缘区域46,而将该第一气体转移到该旁通管线,沿该气体通道444、450和452、456的阀门440打开,而该沿该气体通道442、448和454、458的阀门440关闭。换句话说,第一组阀门440打开并且第二组阀门440关闭以将该第一气体提供到该等离子处理室12,然后该同样的第一组阀门关闭并且同样的第二组阀门440打开以将气流改变为将该第二气体提供到该等离子处理室。
在该气体切换部分400中,该阀门440是快速切换阀门。如这里所使用的,词语“快速切换阀门”意思是阀门在被驱动以打开或关闭后可以在短时间内打开或关闭的,优选地小于大约100ms,如小于大约50ms或小于10ms。用于在该气体切换部分400使用的合适的“快速切换阀门”
Figure A20078000230400271
ALD气动薄膜阀门,可从位于Solon,Ohio的Swagelok公司得到。
该快速的Swagelok ALD阀门是空气推动的。该气体切换部分400优选地包括用于控制这些阀门打开和关闭的控制系统。在一个实施方式中,该控制系统包括快速的控制阀(电磁阀)以收集来自该控制器500的信号并且将气动空气发送到快速切换阀门。该快速切换阀门受该快速的控制阀控制活动。该控制阀通常需要小于5ms,例如大约2ms,以及该快速切换阀门通常需要大约10ms,例如大约6ms或更少,总的时间小于大约10ms,如大约8ms来接受该控制信号直到驱动该快速切换阀门。该快速切换阀门的动作时间可依赖于包括提供气动空气的流动通道的长度和大小以及气压源压力的因素而变化。该气压源压力可以例如这样选择,即该快速切换阀门以大约相同的速度打开和闭合,其可防止在打开和关闭过程中阀门对之间的瞬时上游压力波动。
该气压空气供应系统可具有合适的结构。在一个实施方式中,该供应系统可包括储气器,其可操作以在阀门动作的全部持续时间内将该气压源压力保持在选择的范围内,例如,从大约80到85psig。该供应系统可包括上游止回阀和调节器以将储存器压力与其他与系统有关的该气压源压力下降隔离。该储存器容积可以基于快速切换阀门动作过程中的压降量和回填至调节器设定压力值的时间量来选择。
该气体切换部分400可将该第一气体提供到例如该真空室的内部,同时将该第二气体转移到该旁通管线,然后,优选地在该控制器500控制下,快速切换这些气流并且将该第二气体提供到该真空室同时将该第一气体转移到该旁通管线。在切换气体之前提供到该真空的该第一气体或第二气体的时间量可以由该控制器500控制。如上面解释的,该气体分配系统100可以用于包括等离子限制区域的等离子处理室以在小于大约1s的时间内替换大约1/2升到大约4升的气体容积,更优选地小于大约200ms,以稳定该系统。
该气体切换系统的阀门440具有阀门流量系数Cv,其表示该阀门对流动的阻力。该流量系数Cv在标准ANSI/ISA-S75.02(1996)中详细说明,并可以由SEMI(半导体设备工业,SemiconductorEquipment Industry)标准F32中描述的测试方法确定,标题为“TestMethod for Determination of Flow Coefficient for High Purity Shut offValves”。对于给定的阀门440,随着该流量系数Cv增加,对于给定的穿过该阀门440的压降,通过该阀门440的气体流率更高,即,增加Cv使得该阀门更少地限制气体流动。
如上所述的,在图5所示的该气体切换系统400的实施方式中,成对的阀门440设置为与该气体通道326、324、364和366的每个流体连通。对于每一对阀门440,一个阀门440可以打开而另一阀门440关闭以将处理气体流入该处理室,然后该阀门可以被切换至将气流转移到该旁通管线。已经确定的是,为了将该气体从该处理室稳定切换到该旁通管线,反之亦然,以及为了保持气体动力,一对阀门440的每个阀门440的入口压力理想地保持恒定。已经进一步确定的是,该气体切换系统400的一对阀门440的每个阀门440的入口压力可以通过调谐该阀门440各自的流量系数Cv而保持恒定,从而每对阀门的两个阀门具有不匹配的Cv值。另外,已经确定的是,通过保持该气体切换系统400一对阀门的每个阀门440的入口压力基本恒定,优选地为恒定,以及在提供该相同处理室出口(中央或边缘区域)的多对阀门440之间的入口压力基本恒定,或优选地恒定,该气体分配系统100的流量控制部分300有关的过程转换可以最小化,以及优选地消除。例如,在一个优选实施方式中,沿气体通道442和456的阀门440的入口压力近似相同(当到这些阀门的每个的流量近似相等时),以及沿气体通道448和452的阀门440的入口压力近似相同(当到这些阀门每个的流量近似相等时)。这种情况允许当切换该流量控制部分305和315之间的气体流动时的平滑过渡。
例如,阀门440可具有工厂预设的Cv值,并且可以机械方式调节(调谐)以将预设的Cv值变为调谐值。例如,在一个实施方式中,该阀门440可以机械方式调节以减小该Cv值。也可以是,其他阀门构造可以机械方式调节以增加该Cv值。按照该气体切换系统400的一个优选实施方式,沿该气体通道442、448、452和456设置、与该处理室流体连通的阀门440(即,基准阀门)具有预设的Cv值,以及沿该气体通道444、450、454和458、与该旁通管线流体连通设置的阀门440(即调谐阀门)具有调谐的Cv值。在一个优选实施方式中,沿该气体通道442、448、452和456设置的阀门440预设的Cv值具有来自制造商的正常的公差:为流量的大约+/-2%,理想地为流量的大约+/-1%,以及沿该气体通道444、450、454和458设置的阀门440的调谐Cv值具有来自制造商的正常的公差:为流量的大约+/-2%,理想地为流量的大约+/-1%。这些阀门对的预设的和调谐的Cv值是不匹配的,从而该气体切换系统400的一对阀门440的每个阀门440的入口压力可以在切换过程中保持恒定,和提供相同处理室出口的全部阀门对440的入口压力可以在图4所示的流量控制部分305和315之间切换过程中保持恒定。在一个优选实施方式中,沿该气体通道442、448、452和456设置的基准阀门可具有相同预设的Cv值。在另一个优选实施方式中,该基准阀门可具有不同的预设Cv值。在一个优选实施方式中,沿该气体通道444、450、454和458设置的调谐阀门可具有该相同的调谐Cv值。在另一个优选实施方式中,该调谐阀门可具有不同调谐Cv值。在一个优选实施方式中,每个该处理室出口的流导足够接近,从而沿该气体通道442、448、452和456设置、与该处理室流体连通的每个阀门440可具有相同的预设Cv值,以及沿该气体通道444、450、454和458设置的、与该旁通管线流体连通的每个阀门440可具有相同的调谐Cv值,由此简化该气体切换系统的调谐。
每一对阀门440可具有相同或不同的Cv不匹配以为每个阀门对的两个阀门提供恒定的入口压力状况。例如,在图5所示的该气体切换系统400的实施方式中,每个阀门对可具有不同的Cv不匹配。也就是,在一个实施方式中,该高Cv值和低Cv值之间的差对于每一对阀门可以是相同的。在另一个实施方式中,该高和低Cv值对于不同的阀门对可以不同,而该高和低Cv值的差对于每对阀门是相同的。在另一个实施方式中,该高Cv值和低Cv值之间的差对于每对阀门可以是不同。
如上所述的,在一个优选实施方式中,这些对阀门可包括具有该相同预设的Cv值的阀门。在另一个优选实施方式中,这些对阀门可包括具有不同预设的Cv值的阀门。在这样的实施方式中,这些阀门中的一个可以调谐为不匹配该Cv值以实现在切换过程中对于这一对阀门的每个阀门恒定的入口压力。
参考沿该气体通道442和444设置的阀门对,在一个实施方式中,沿该气体通道442设置、与该处理室流体连通的阀门440可具有名义Cv值。沿该气体通道444设置、与该旁通管线流体连通的阀门440可以调谐减小其Cv值,从而由此增加这个阀门的入口压力以匹配沿该气体通道442设置的阀门440。例如,在一个实施方式中,沿该气体通道442设置的阀门440的Cv值可以为大约0.3的预设值,,而沿该气体通道444设置的阀门可具有大约0.15的调谐值,从而这对阀门的每个阀门440的入口压力可在切换过程中保持恒定。在该440的预设的Cv值高出期望值的情况下,可调谐阀门对的两个阀门440以提供所需要的Cv不匹配。优选地,存在通过沿该气体通道444的阀门440(并且也通过与该旁通管线流体连通的其他阀门)的粘性临界流量以避免回流,即,气体在与该气体流向相反的方向穿过该阀门分散。对于通过孔的粘性临界流量,出口压力的变化不会改变穿过该孔的流量。通常,穿过与该处理室流体连通的阀门的压降相对低。
在一个优选实施方式中,沿该气体通道444和458的阀门440可具有相同的调谐Cv值,这是因为这两个阀门都与同一旁通管线流体连通(即,相同的排气口),以及沿该气体通道442和456的阀门440与同一区域流体连通(即,该相同的处理室传输出口)并且具有相同的预设Cv值。如果该气体切换系统400的关键在这两个回路之间十分类似,这个情况通常是适用的。对于其他气体切换系统,其中这两个回路不具有十分类似的管件,沿该气体通道444和458的阀门可具有彼此不同的调谐Cv值。在图5所示的该气体切换系统400中,沿该气体通道450和454的阀门通常具有相同的调谐Cv值,这是因为这些阀门与同一旁通管线流体连通,以及沿气体通道448、452设置的阀门与该处理室同一区域流体连通并且具有相同的预设Cv值。
因此,在该气体切换系统400的实施方式中,这些阀门440的Cv不匹配产生这样的情况,即这些对阀门(例如沿该气体通道442、444设置的阀门)之间的入口压力当使用者将气流从一个阀门(例如沿气体通道442设置的阀门440)切换到其他阀门(即沿气体通道444设置的阀门)然后复原时是恒定的。尽管上面描述了沿该气体通道设置、与该旁通管线流体连通的该阀门440的调谐,在其他实施方式中,可以调谐与该处理室流体连通的阀门440(即,沿该气体通道442、448、452和456设置的一个或多个阀门440)以产生恒定的入口气体压状况。也就是,在这样的实施方式中,对于每对阀门,可以调节每个阀门以产生恒定的入口气体压力状况。另外,因为每对阀门是不匹配的,所以每个调谐阀门可具有相同的Cv设定,或对于图5所示的不同对阀门440,可以有两个、三个或四个不同的Cv设定。这对阀门的没有调节的另一个阀门具有名义或预设的Cv值,由此在这个实施方式中提供四个具有相同名义Cv值的阀门。
用于调节一个或多个预设阀门的流量系数以为该气体切换部分的阀门对产生近似相等的入口压力状况的示范性过程如下所示。选择该等离子处理室的临界处理气体流量运行范围内的测试气流(例如,在大约中间)。例如,该气流可以是大约500sccm的氩气。使用例如该流量控制部分300的第一流量控制部分305,,所选择的气体流量以对该中央和边缘区域所需的加权值流到双气流分离器。使用该气体切换部分400,来自该第一流量控制部分305的全部气流通过沿气体通道442、448、具有工厂预设Cv值的阀门流到该处理室。测量这些阀门的入口气体压力,如使用一个或多个电容压力计。使用该气体切换部分400,来自该第一流量控制部分305的全部气流之后通过沿气体通道444、450的、也具有工厂预设Cv值的阀门440流到该旁通管线。测量到这些阀门每个的入口气体压力。将到该等离子处理室的流以及到该旁通管线的流的阀门入口压力与测得值相比较。将沿该气体通道442、448(至该处理室)的阀门或该阀门444、450(至旁通管)之一调节为较低的Cv值,取决于哪个阀门具有较低测得入口压力。调节所选择的阀门,重新流入该测试气体并且重新测量该入口压力,以及与这对阀门的另一个阀门的入口压力比较。可以重复这个过程直到获得所需的入口压力状况。该调节可以通过为不同流量范围切换气流而确定并且确定在该切换过程中不会发生处理室压力尖突或等离子泄露。
按照第二优选实施方式的气体切换部分1400如图6所描述。在这个实施方式中,该气体切换部分1400与第一气体通道405和第二气体通道415流体连通。该第一和第二气体通道405、415可以分别是例如流量控制部分的第一气体出口和第二气体出口,不同于该图4所示的流量控制部分300,其不包括中央和边缘区域气体出口。该第一气体通道405分为气体通道422、424,以及该第二气体通道415分为气体通道426、428。该气体通道422和426与真空室的内部流体连通,并且该气体通道424和428与旁通管线流体连通。阀门440沿该气体通道422、424和426、428的每个设置。
例如,为了将该第一气体提供到该真空室并且同时将该第二气体通到该旁通管线,沿该流体通道422和428的阀门440打开并且沿该气体通道424和426的阀门440关闭。为了切换气流从而将该第二气体提供到该真空室并且将该第一气体转移到该旁通管线,沿该流体通道424和426的阀门440打开并且沿该流体通道422和428的阀门440阀门关闭。
在图6所示的该气体切换系统1400的实施方式中,沿气体通道422和426的阀门引向同一处理室出口,并且沿气体通道424和428的阀门引向同一旁通管线。通常,沿气体通道424和428设置的阀门可具有相同的调谐(减小的)Cv值,而沿气体通道422和426的阀门可具有名义Cv值。如上所述的,沿该气体通道422、424和426、428的这些对阀门可具有不匹配的Cv值,从而该气体切换系统400的一对阀门440的每个阀门440的入口压力可以保持恒定。
该气体分配系统100的优选实施方式可以用于将不同的气体化学制剂和/或流率提供到该等离子处理室12以执行各种不同的蚀刻和/或沉积工艺。例如,该气体分配系统100可将处理气体提供到等离子处理室以在由覆盖的掩膜(如UV抗蚀剂掩膜)保护的硅氧化物(如SiO2)层中蚀刻特征。该SiO2层可以在半导体晶片(如硅晶片)上形成,该晶片具有200mm或300mm直径。这些特征可以是,例如,过孔和/或沟槽。在这样的蚀刻工艺期间,需要在该掩膜的一些部分上沉积聚合物以修复掩膜中的条纹,例如,裂纹或裂缝,(即填充这些条纹),从而蚀刻在该SiO2中的特征具有它们所需的形状,例如,具有圆形截面的过孔。如果不修复条纹,它们会最终达到在该掩膜下面的层并且在蚀刻过程中实际上被传递到那个层。而且,聚合物可以沉积在这些特征的侧壁上。
然而,已经确定的是沉积在侧壁和被蚀刻特征底部的聚合物的厚度影响蚀刻率。在各向异性时刻工艺中,沉积在该特征底部的聚合物在蚀刻过程中基本上被去除。然而,如果聚合物在该侧壁和/或在该底部变得太厚,将降低SiO2蚀刻率,并且可能被完全停止。如果变得太厚,聚合物也可能从表面剥落。因此,优选地控制向该等离子处理室内提供用于形成沉积在该掩膜和特征上的聚合物的气体混合物的时间量以由此控制在该SiO2层上形成的聚合物沉积物的厚度,同时也提供对该掩膜充分的修复和保护。在蚀刻该SiO2层过程中,聚合物周期性地从该掩膜去除。因此,该聚合物是优选地在该SiO2层的蚀刻时间之间沉积在该掩膜上以确保获得对该掩膜足够的修复和保护。
该气体分配系统100可以向等离子处理室内提供处理气体以蚀刻被覆盖的掩膜(例如UV抗蚀剂掩膜)保护的SiO2,其中控制沉积在这些特征上的聚合物厚度以及修复和保护该掩膜。该气体分配系统100的气体切换部分可操作以允许将向该等离子处理室内提供用来蚀刻该SiO2的第一处理气体持续第一时段,同时将用于形成该聚合物沉积的第二气体混合物转移到旁通管线,然后快速切换气流,从而向该等离子处理室内提供该第二气体混合物以形成该聚合物沉积,而将该第一气体混合物转到该旁通管线。优选地,在小于1秒的时间内用该第二气体混合物至少基本上替换提供到该等离子处理室的等离子限制区域的第一气体混合物,更优选地小于大约200ms。该等离子限制区域优选地具有大约1/2升到大约4升的容积。
用来蚀刻SiO2的第一气体混合物可包含,例如,氟碳化合物物质(如C4F8)、O2和氩气。C4F8/O2/氩气的流率可以是例如,20/10/500sccm。用来形成聚合物沉积的第二气体混合物可包含例如,氟代烃物质,如CH3F和氩气。CH3F/氩气的流率可以是,例如,15/500sccm。该第二气体混合物可选地还包括O2。对于用于处理200mm或300mm晶片的电容耦合等离子蚀刻反应器,室压可以是例如,70-90mTorr。每次引入该室时,该第一气体混合物优选地流入该等离子处理室大约5秒到大约20秒(同时将该第二气体转移到该旁通管线),以及每次引入该室时,该第二气体混合物优选地流入该等离子处理室大约1秒到大约3秒(同时将该第一气体转移到该旁通管线)。在蚀刻基片上的SiO2过程中,该蚀刻时间和/或该聚合物沉积时间的长度可以在该优选的时间段内增加或减小。该聚合物沉积优选地在蚀刻过程中达到小于大约100埃的最大厚度,其通常持续长达3分钟。在蚀刻过程中,聚合物可以沉积在该掩膜上以修复条纹并且提供掩膜保护。因此,优选地可以在该蚀刻工艺过程中保持该掩膜中的开口的形状。
本发明根据多个优选实施方式进行描述。然而,对于本领域的技术人员,显然,以不同于如上所述的具体形式实现本发明而不背离本发明的主旨是可能的。这些优选实施方式是说明性的,并且不应当以任何方式认为是限制性的。本发明的范围由所付权利要求给出,而不是之前的说明,并且意图是在这里包含落入这些权利要求范围内的全部变化和等同物。

Claims (26)

1.一种用于将处理气体提供到等离子处理室的气体分配系统的气体切换部分,该气体切换部分包括:
第一气体通道,适于与第一气体管线和该等离子处理室流体连通;
第二气体通道,适于与该第一气体管线和旁通管线流体连通;
沿该第一气体通道的第一快速切换阀门,可操作以打开
和关闭该第一气体通道,该第一快速切换阀门具有第一流量系数;和
沿该第二气体通道的第二快速切换阀门,可操作以打开和关闭该第二气体通道,该第二快速切换阀门具有不同于该第一流量系数的第二流量系数,从而当气流通过关闭该第一快速切换阀门并打开该第二快速切换阀门而从该第一气体通道切换到该第二气体通道,或通过关闭该第二快速切换阀门并打开该第一快速切换阀门而从该第二气体通道切换到该第一气体通道时,该第一快速切换阀门的入口压力基本上等于该第二快速切换阀门的入口压力。
2.根据权利要求1所述的气体切换部分,其中该第一和第二快速切换阀门适于被驱动(a)以打开该第一快速切换阀门和关闭该第二快速切换阀门以将处理气体提供到该等离子处理室,以及(b)关闭该第一快速切换阀门和打开该第二快速切换阀门以将该处理气体转移到该旁通管线。
3.根据权利要求2所述的气体切换部分,其中该第一和第二快速切换阀门可以在被驱动后在小于大约100ms或小于50ms的时间内打开和/或关闭。
4.一种等离子处理设备,包括:
等离子处理室,其包括喷头电极总成;和
根据权利要求2的气体切换部分,其与该喷头电极总成流体连通。
5.根据权利要求4所述的等离子处理设备,进一步包括控制系统,其可操作以控制该第一和第二快速切换阀门的打开和关闭。
6.根据权利要求5所述的等离子处理设备,进一步包括流量控制部分,其包括与该第一和第二气体通道流体连通的第一气体管线。
7.根据权利要求6所述的等离子处理设备,进一步包括气体供应部分,其与该流量控制部分流体连通。
8.一种用于将气体提供到等离子处理室的气体分配系统的气体切换部分,该室包括具有中央和边缘区域的气体分配构件,这两个区域彼此流动隔开,该气体切换部分包括:
第一气体通道,适于与第一气体管线和该等离子处理室的气体分配构件的边缘区域流体连通;
第二气体通道,适于与该第一气体管线和旁通管线流体连通;
第三气体通道,适于与第二气体管线和该气体分配构件的中央区域流体连通;
第四气体通道,适于与该第二气体管线和该旁通管线流体连通;
第五气体通道,适于与第三气体管线和该中央区域流体连通;
第六气体通道,适于与该第三气体管线和该旁通管线流体连通;
第七气体通道,适于与第四气体管线和该边缘区域流体连通;
第八气体通道,适于与该第四气体管线和该旁通管线流体连通;
分别沿该第一和第二气体通道的第一和第二快速切换阀门,该第一快速切换阀门可操作以打开和关闭该第一气体通道并且具有第一流量系数,该第二快速切换阀门可操作以打开和关闭该第二气体通道并且具有不同于该第一流量系数的第二流量系数,从而当气流通过关闭该第一快速切换阀门并打开该第二快速切换阀门而从该第一气体通道切换到该第二气体通道,或通过关闭该第二快速切换阀门并打开该第一快速切换阀门而从该第二气体通道切换到该第一气体通道时,该第一快速切换阀门的入口压力基本上等于该第二快速切换阀门的入口压力;
分别沿该第三和第四气体通道的第三和第四快速切换阀门,该第三快速切换阀门可操作以打开和关闭该第三气体通道并具有第三流量系数,该第四快速切换阀门可操作以打开和关闭该第四气体通道并具有不同于该第三流量系数的第四流量系数,从而当气流通过关闭该第三快速切换阀门并打开该第四快速切换阀门而从该第三气体通道切换到该第四气体通道,或通过关闭该第四快速切换阀门并打开该第三快速切换阀门而从该第四气体通道切换到该第三气体通道时,该第三快速切换阀门的入口压力基本上等于该第四快速切换阀门的入口压力;
分别沿该第五和第六气体通道的第五和第六快速切换阀门,该第五快速切换阀门可操作以打开和关闭该第五气体通道并具有第五流量系数,该第六快速切换阀门可操作以打开和关闭该第六气体通道并且具有不同于该第五流量系数的第六流量系数,从而当气流通过关闭该第五快速切换阀门并打开该第六快速切换阀门而从该第五气体通道切换到该第六气体通道,或通过关闭该第六快速切换阀门并且打开该第五快速切换阀门而从该第六气体通道切换到该第五气体通道时,该第五快速切换阀门的入口压力基本上等于该第六快速切换阀门的入口压力;和
分别沿该第七和第八气体通道的第七和第八快速切换阀门,该第七快速切换阀门可操作以打开和关闭该第七气体通道并具有第七流量系数,该第八快速切换阀门可操作以打开和关闭该第八气体通道并具有不同于该第七流量系数的第八流量系数,从而当气流通过关闭该第七快速切换阀门并且打开该第八快速切换阀门而从该第七气体通道切换到该第八气体通道,或通过关闭该第八快速切换阀门并且打开该第七快速切换阀门而从该第八气体通道切换到该第七气体通道时,该第八快速切换阀门的入口压力基本上等于该第七快速切换阀门的入口压力。
9.根据权利要求8所述的气体切换部分,其中:
该第一、第三、第六和第八快速切换阀门适于被驱动打开,以及该第二、第四、第五和第七快速切换阀门适于被驱动关闭,以便将第一处理气体提供到该中央和边缘区域,并将第二处理气体转移到该旁通管线;以及
该第二、第四、第五和第七快速切换阀门适于被驱动打开,以及该第一、第三、第六和第八快速切换阀门适于被驱动关闭,以便将该第二处理气体提供到该中央和边缘区域,并将该第一处理气体转移到该旁通管线。
10.根据权利要求8所述的气体切换部分,其中该第一、第三、第五和第七流量系数基本上彼此相等,以及该第二、第四、第六和第八流量系数基本上彼此相等。
11.根据权利要求8所述的气体切换部分,其中该第一和第二流量系数的差基本上等于该第三和第四流量系数、该第五和第六流量系数以及该第七和第八流量系数的差。
12.根据权利要求8所述的气体切换部分,其中:
当到第一和第七快速切换阀门的每个的气体的流量近似相等时,该第一快速切换阀门的入口压力近似等于该第七快速切换阀门的入口压力;以及
当到该第三和第五快速切换阀门的每个的气体的流量近似相等时,该第三快速切换阀门的入口压力近似等于该第五快速切换阀门的入口压力。
13.一种等离子处理设备,包括:
等离子处理室,其包括具有中央和边缘区域的喷头电极总成,这两个区域彼此流动隔开;和
根据权利要求9的气体切换部分,其与该喷头电极总成的中央和边缘区域流体连通。
14.根据权利要求13所述的等离子处理设备,进一步包括控制系统,其可操作以控制该第一、第二、第三和第四快速切换阀门的打开和关闭。
15.根据权利要求14所述的等离子处理设备,进一步包括流量控制部分,其包括与该第一和第二气体通道流体连通的第一气体管线、与该第三和第四气体通道流体连通的第二气体管线、与该第五和第六气体通道流体连通的第三气体管线和与该第七和第八气体通道流体连通的第四气体管线。
16.根据权利要求15所述的等离子处理设备,进一步包括气体供应部分,其与该流量控制部分流体连通。
17.根据权利要求13所述的等离子处理设备,其中:
该等离子处理室具有大约1/2升到大约4升的内部容积;和
该气体分配系统可操作以在小于大约1s的时间内基本上将该等离子处理室的等离子限制区域中的该第一处理气体或该第二处理气体替换为该第一处理气体或第二处理气体的另一个。
18.一种在根据权利要求13的等离子处理设备中处理半导体基片的方法,包括:
a)将第一处理气体提供到该喷头电极总成的中央和边缘区域,同时将第二处理气体转移到旁通管线,该等离子处理室包含半导体基片,其包括至少一个层以及覆盖在该层上的图案化抗蚀剂掩模;
b)由第一处理气体产生第一等离子以及(i)在该层中蚀刻至少一个特征或(ii)在该掩模上形成聚合物沉积;
c)切换该第一和第二处理气体的流动从而将该第二处理气体提供到该喷头电极总成的中央和边缘区域而将该第一处理气体转移到该旁通管线;
d)由该第二处理气体产生第二等离子以及(iii)在该层中蚀刻至少一个特征或(iv)在该层和该掩模上形成聚合物沉积;
e)切换该第一和第二处理气体的流动从而将该第一处理气体提供入该等离子处理室而将该第二处理气体转移到该旁通管线;以及
f)将a)-e)对该基片重复多次。
19.根据权利要求18所述的方法,其中该等离子处理室包括等离子限制区域,并且在该等离子限制区域中,该第一处理气体基本上被该第二处理气体替换,以及在该等离子限制区域中,该第二处理气体基本上被该第一处理气体替换,每个都在小于大约1s的时间内发生。
20.根据权利要求18所述的方法,其中该等离子限制区域具有大约1/2升到大约4升的容积。
21.根据权利要求18所述的方法,其中在将a)-e)对该基片重复多次后,该聚合物沉积形成到小于100埃的最大厚度。
22.根据权利要求18所述的方法,其中该第一等离子在该层中蚀刻至少一个特征,以及该第二等离子在该层和该掩模上形成沉积物,该沉积物修复该掩模中的条纹。
23.根据权利要求18所述的方法,其中:
该层是SiO2
该掩模是UV抗蚀剂掩模;
该第一处理气体包括C4F8、O2和氩气的混合物,并且该第一等离子蚀刻该层;以及
该第二处理气体包括CH3F、氩气的混合物以及可选地包括O2,并且该第二等离子在该特征和该掩模上形成聚合物沉积。
24.根据权利要求23所述的方法,其中每次分别向该等离子处理室内提供该第一和第二处理气体时,向该等离子处理室内提供该第一处理气体大约5秒到大约20秒,以及向该等离子处理室内提供该第二处理气体大约1秒到大约3秒。
25.根据权利要求18所述的方法,其中将该第一处理气体和该第二处理气体以不同流率提供到该中央区域和该边缘区域。
26.一种制造用于将处理气体提供到等离子处理室的气体分配系统的气体切换部分的方法,该方法包括:
沿适于与第一气体管线和该等离子处理室流体连通的第一气体通道设置第一快速切换阀门;
沿适于与该第一气体管线和旁通管线流体连通的第二气体通道设置第二快速切换阀门;以及
调节该第一快速切换阀门的第一流量系数和/或调节该第二快速切换阀门的第二流量系数,从而该第一和第二流量系数彼此不同,并且当气流从该第一气体通道切换到该第二气体通道或从该第二气体通道切换到该第一气体通道时,该第一快速切换阀门的入口压力基本上等于该第二快速切换阀门的入口压力。
CN200780002304.XA 2006-01-11 2007-01-03 用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分 Active CN101496144B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/329,170 US8088248B2 (en) 2006-01-11 2006-01-11 Gas switching section including valves having different flow coefficients for gas distribution system
US11/329,170 2006-01-11
PCT/US2007/000035 WO2007081686A2 (en) 2006-01-11 2007-01-03 Gas switching section including valves having different flow coefficients for gas distribution system

Publications (2)

Publication Number Publication Date
CN101496144A true CN101496144A (zh) 2009-07-29
CN101496144B CN101496144B (zh) 2014-03-12

Family

ID=38231623

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200780002304.XA Active CN101496144B (zh) 2006-01-11 2007-01-03 用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分

Country Status (7)

Country Link
US (3) US8088248B2 (zh)
JP (1) JP5055297B2 (zh)
KR (1) KR101347512B1 (zh)
CN (1) CN101496144B (zh)
MY (1) MY164322A (zh)
TW (1) TWI414015B (zh)
WO (1) WO2007081686A2 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484064A (zh) * 2009-09-04 2012-05-30 朗姆研究公司 倾斜刻蚀应用中提高流体运送的装置和方法
CN103972010A (zh) * 2012-12-31 2014-08-06 朗姆研究公司 用于衬底处理腔室的气体供应系统及其方法
CN104150431A (zh) * 2013-05-14 2014-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 进气系统及基片处理设备
CN105493229A (zh) * 2013-08-19 2016-04-13 应用材料公司 用于杂质分层外延法的设备
CN107148661A (zh) * 2014-10-17 2017-09-08 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
CN108231621A (zh) * 2016-12-15 2018-06-29 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
CN110648910A (zh) * 2018-06-26 2020-01-03 株式会社国际电气 半导体器件的制造方法、零件的管理方法、基板处理装置及记录介质
CN111670265A (zh) * 2018-01-31 2020-09-15 朗姆研究公司 用于多前体的歧管阀
CN114375236A (zh) * 2019-05-23 2022-04-19 深圳市佳士科技股份有限公司 调节气体流量的改进方法和使用该改进的流量调节方法的系统
TWI771804B (zh) * 2019-12-20 2022-07-21 大陸商中微半導體設備(上海)股份有限公司 氣體分配裝置及等離子體處理裝置

Families Citing this family (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
KR101238233B1 (ko) * 2006-06-30 2013-03-04 엘지디스플레이 주식회사 박막트랜지스터와 그 제조방법
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5377513B2 (ja) * 2007-12-27 2013-12-25 ラム リサーチ コーポレーション ショートエッチングレシピのためのガス輸送遅延の解消のための装置、方法、及びプログラム格納デバイス
CN101978479A (zh) * 2008-03-21 2011-02-16 应用材料公司 基材蚀刻系统与制程的方法及设备
US8721836B2 (en) 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
CN102931050B (zh) * 2011-08-10 2017-10-31 中国科学院微电子研究所 一种新型的常压等离子体自由基清洗喷枪进气方式
NL2007447C2 (nl) * 2011-09-20 2013-03-21 Draka Comteq Bv Werkwijze voor de vervaardiging van een primaire voorvorm voor optische vezels, primaire voorvorm, uiteindelijke voorvorm, optische vezel.
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9437400B2 (en) 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US9488315B2 (en) 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9142456B2 (en) 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9275869B2 (en) 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9642237B2 (en) * 2014-05-20 2017-05-02 Hypertherm, Inc. Method of improving electrode life by simultaneously controlling plasma gas composition and gas flow
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102411194B1 (ko) 2014-09-04 2022-06-20 삼성전자주식회사 냉매의 양방향 흐름이 가능한 정전척 어셈블리 및 이를 구비한 반도체 제조장치
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6685179B2 (ja) * 2016-06-01 2020-04-22 東京エレクトロン株式会社 基板処理方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101988361B1 (ko) * 2017-06-15 2019-06-12 버슘머트리얼즈 유에스, 엘엘씨 가스 공급 시스템
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113383409A (zh) * 2019-01-31 2021-09-10 朗姆研究公司 多位置注入气体以提高快速交替工艺中的均匀性
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP2021082127A (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置及びガス供給システムの制御方法
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022165484A1 (en) * 2021-01-27 2022-08-04 Eugenus, Inc. Precursor delivery system and method for cyclic deposition
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3701682A (en) * 1970-07-02 1972-10-31 Texas Instruments Inc Thin film deposition system
US4570669A (en) * 1982-08-24 1986-02-18 Pauliukonis Richard S Simplified springless check valve
JPS62143427A (ja) * 1985-12-18 1987-06-26 Hitachi Ltd 処理ガス供給装置
US4768544A (en) * 1987-05-26 1988-09-06 Beam Engineering, Inc. Digital valve flow control system
US4932232A (en) * 1988-05-20 1990-06-12 Alcan Aluminum Corporation Methods of detecting and correcting spray header malfunctions
US5074522A (en) * 1991-03-11 1991-12-24 Worcester Controls Corporation Ball valve having improved inherent rangeability
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6328070B2 (en) * 1999-03-01 2001-12-11 Abb Offshore Systems Inc. Valve arrangement for controlling hydraulic fluid flow to a subsea system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
GB2381568A (en) * 2001-10-31 2003-05-07 Fluid Controls U K Ltd Flow control valve
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
EP1613792B1 (en) * 2003-03-14 2014-01-01 Genus, Inc. Methods and apparatus for atomic layer deposition
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
ES2245239B1 (es) * 2004-06-03 2007-03-01 Consejo Sup. Investig. Cientificas Servoposicionador para valvula de microrregulacion.
ES2380699T3 (es) * 2004-06-08 2012-05-17 Dichroic Cell S.R.L. Sistema para la deposición química en fase de vapor asistida por plasma de baja energía
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060163220A1 (en) * 2005-01-27 2006-07-27 Brandt Aaron D Automatic gas control for a plasma arc torch
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484064B (zh) * 2009-09-04 2014-12-03 朗姆研究公司 倾斜刻蚀应用中提高流体运送的装置和方法
CN102484064A (zh) * 2009-09-04 2012-05-30 朗姆研究公司 倾斜刻蚀应用中提高流体运送的装置和方法
US9721763B2 (en) 2012-12-31 2017-08-01 Lam Research Corporation Systems and methods for providing gases to a process chamber
CN103972010A (zh) * 2012-12-31 2014-08-06 朗姆研究公司 用于衬底处理腔室的气体供应系统及其方法
CN104150431A (zh) * 2013-05-14 2014-11-19 北京北方微电子基地设备工艺研究中心有限责任公司 进气系统及基片处理设备
CN105493229B (zh) * 2013-08-19 2019-04-05 应用材料公司 用于杂质分层外延法的设备
CN105493229A (zh) * 2013-08-19 2016-04-13 应用材料公司 用于杂质分层外延法的设备
CN107148661A (zh) * 2014-10-17 2017-09-08 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US10431431B2 (en) 2014-10-17 2019-10-01 Lam Research Corporation Gas supply delivery arrangement including a gas splitter for tunable gas flow control
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
TWI685579B (zh) * 2014-10-17 2020-02-21 美商蘭姆研究公司 具有可調式氣流控制用氣體分離器之氣體供應輸送裝置
CN108231621A (zh) * 2016-12-15 2018-06-29 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
CN111670265A (zh) * 2018-01-31 2020-09-15 朗姆研究公司 用于多前体的歧管阀
US11427908B2 (en) 2018-01-31 2022-08-30 Lam Research Corporation Manifold valve for multiple precursors
US11859282B2 (en) 2018-01-31 2024-01-02 Lam Research Corporation Manifold valve for controlling multiple gases
CN110648910A (zh) * 2018-06-26 2020-01-03 株式会社国际电气 半导体器件的制造方法、零件的管理方法、基板处理装置及记录介质
CN114375236A (zh) * 2019-05-23 2022-04-19 深圳市佳士科技股份有限公司 调节气体流量的改进方法和使用该改进的流量调节方法的系统
TWI771804B (zh) * 2019-12-20 2022-07-21 大陸商中微半導體設備(上海)股份有限公司 氣體分配裝置及等離子體處理裝置

Also Published As

Publication number Publication date
WO2007081686A3 (en) 2008-10-02
MY164322A (en) 2017-12-15
KR101347512B1 (ko) 2014-01-02
US20070158025A1 (en) 2007-07-12
CN101496144B (zh) 2014-03-12
TW200735209A (en) 2007-09-16
WO2007081686A2 (en) 2007-07-19
US8313611B2 (en) 2012-11-20
US20120070997A1 (en) 2012-03-22
US20140148015A1 (en) 2014-05-29
TWI414015B (zh) 2013-11-01
US8772171B2 (en) 2014-07-08
KR20080091805A (ko) 2008-10-14
US8088248B2 (en) 2012-01-03
JP2009523321A (ja) 2009-06-18
JP5055297B2 (ja) 2012-10-24

Similar Documents

Publication Publication Date Title
CN101496144B (zh) 用于气体分配系统的包括具有不同流量系数的阀门的气体切换部分
CN1969060B (zh) 具有快速气体切换能力的气体分配系统
CN101563757B (zh) 快速气体交换等离子处理装置
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
CN100372971C (zh) 分量供给机构及空间分配开关
JP2011233905A (ja) 半導体処理のためのガス供給装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant