CN101471379B - 半导体器件及其制造工艺 - Google Patents

半导体器件及其制造工艺 Download PDF

Info

Publication number
CN101471379B
CN101471379B CN2008101885897A CN200810188589A CN101471379B CN 101471379 B CN101471379 B CN 101471379B CN 2008101885897 A CN2008101885897 A CN 2008101885897A CN 200810188589 A CN200810188589 A CN 200810188589A CN 101471379 B CN101471379 B CN 101471379B
Authority
CN
China
Prior art keywords
recessed portion
gate electrode
film
dielectric film
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008101885897A
Other languages
English (en)
Other versions
CN101471379A (zh
Inventor
松原义久
佐甲隆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Publication of CN101471379A publication Critical patent/CN101471379A/zh
Application granted granted Critical
Publication of CN101471379B publication Critical patent/CN101471379B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及一种半导体器件及其制造工艺。半导体器件(100)包括使用后栅极工艺形成的第一栅极(210)。第一栅极(210)包括:在绝缘膜中形成的第一凹入部分中的底表面上形成的栅绝缘膜;形成在第一凹入部分中的栅绝缘膜上的栅电极;以及形成在第一凹入部分中的栅电极上的保护绝缘膜(140)。另外,该半导体器件(100)包括接触(134),该接触(134)耦合到第一栅极(210)两侧上的N型杂质扩散区(116a),并且掩埋在直径比第一凹入部分更大的第二凹入部分中。

Description

半导体器件及其制造工艺
本申请基于日本专利申请No.2007-332,717,其全部内容通过引用结合于此。
技术领域
本发明涉及一种半导体器件及其制造方法。
背景技术
近年来,经常采用后栅极工艺(镶嵌栅极工艺)用于形成金属栅的工艺,该后栅极工艺包括在形成源极和漏极之后形成栅电极。日本专利特开No.2006-351,580和日本专利特开No.2006-351,978公开了使用后栅极工艺制造栅极的结构。后栅极工艺包括:首先利用多晶硅层形成虚拟栅电极,然后穿过虚拟栅电极的掩模形成源极和漏极。随后,用绝缘膜覆盖该虚拟栅电极,且利用化学机械抛光(CMP)工艺等将绝缘膜的表面平面化,然后选择性去除该虚拟栅电极,以在绝缘膜中形成凹入部分。其后,该凹入部分的内部填充有金属材料,并利用CMP工艺等去除暴露在该凹入部分外部的金属材料部分,以形成栅电极。使用这种工艺允许利用以其他方式很难被图案化的金属材料作为电极材料。
其间,在元件之间具有更窄间距的精细结构中,当在形成耦合到晶体管的源极和漏极的接触孔的工艺期间在图案中产生未对准时,接触孔与栅电极重叠,导致接触和栅电极之间的短路问题。
日本专利特开No.2003-168,732公开了一种结构,其中导电材料和氮化硅涂层形成在半导体衬底上,并且被图案化成栅电极的形状。这提供了一种在栅电极的导电材料上提供具有绝缘氮化硅涂层的结构。因此,即使接触孔与栅电极重叠,这种结构也能防止接触和栅电极之间的短路。
本发明人已认识到如下。由于后栅极工艺提供了通过用金属材料填充在绝缘膜中未对准的凹入部分而形成的栅电极,所以其不能够图案化绝缘涂层和组成栅电极的金属材料。例如,即使在用金属材料填充该凹入部分且然后在半导体衬底的整个表面上形成绝缘膜之后,在选择性图案化以仅部分保留凹入部分位置中的金属材料上的绝缘膜的情况下,使用精细结构导致如上所述的图案未对准,由此难以实现期望的图案。
发明内容
根据本发明的一个方面,提供一种半导体器件,包括:半导体衬底;绝缘膜,形成在该半导体衬底上;第一栅极,包括:栅绝缘膜,在所述绝缘膜中形成的第一凹入部分中的底面中形成;栅电极,形成在第一凹入部分中的栅绝缘膜上;以及保护绝缘膜,形成在第一凹入部分中的栅电极上;源-漏区,提供在第一栅极侧面上;以及形成在第二凹入部分中的接触,形成在绝缘膜中的第一凹入部分的侧面且具有比第一凹入部分的直径更大的直径,并耦合到源-漏区,其中所述栅电极是由第一金属膜和涂覆第一金属膜的底面和侧面的第二金属膜构成。
根据本发明的另一方面,提供一种制造半导体器件的方法,包括:在半导体衬底上形成虚拟栅电极;通过所述虚拟栅电极的掩模向半导体衬底中注入杂质以形成源-漏区;在该半导体衬底上形成覆盖该虚拟栅电极的第一绝缘膜;平面化该第一绝缘膜,以暴露所述虚拟栅电极的上表面;选择性地去除第一绝缘膜,以形成耦合至所述第一绝缘膜中的源-漏区的接触孔;去除虚拟栅电极,以在第一绝缘膜中形成第一凹入部分,该第一凹入部分具有比接触孔小的直径;在半导体衬底的整个表面上形成金属膜,以用该金属膜填充接触孔和第一凹入部分;利用化学机械抛光(CMP)工艺,去除暴露在接触孔和第一凹入部分外部的部分金属膜,以分别在接触孔中形成接触和在第一凹入部分中形成栅电极,以及去除第一凹入部分内的上部中的部分金属膜,以在第一凹入部分内的上部中形成凹口;在半导体衬底的整个表面上形成第二绝缘膜,以用该第二绝缘膜填充该凹口;去除暴露在第一凹入部分外部的部分第二绝缘膜,以选择性地保留第一凹入部分中栅电极上的第二绝缘膜;在半导体衬底的整个表面上形成第三绝缘膜;选择性地去除第三绝缘膜,以在第三绝缘膜中形成耦合到接触的孔;以及用导电膜填充该孔的内部以形成插塞,该插塞电耦合到该接触。
本发明人发现了如下事实:在使用后栅极工艺的情况下,通过去除虚拟栅电极而在绝缘膜中形成的第一凹入部分的直径小于用作接触孔的第二凹入部分的直径,可以用来实现:通过适当控制CMP工艺的条件,该凹口仅选择性地形成在具有较小直径的第一凹入部分内部的上部中,在这些凹入部分用金属膜填充之后,其是导电的。此外,还发现:绝缘膜形成在整个表面的上方,以用该绝缘膜填充该凹口的内部,然后去除凹口外部的绝缘膜的暴露部分,以便即使使用后栅极工艺,保护绝缘膜也可以选择性地形成在栅电极上,从而完成本发明。这使得即使在用后栅极工艺形成栅结构的情况下,也能防止耦合到源-漏区的接触和栅电极之间的短路。
这里,本发明的范畴之间的这些组成或变化的每个的组合,例如工艺、器件和利用该器件的方法等,也可以在本发明的范围内。
根据本发明,在用后栅极工艺形成的栅结构中,可以防止耦合到源-漏区的接触和栅电极之间的短路。
附图说明
结合附图,由下面某些优选示范性实施例的描述,本发明的上述和其他目的、优点和特征将变得更明显,其中:
图1是半导体器件的横截面图,示出根据本发明的示范性实施例的半导体器件的结构;
图2A至2C是半导体器件的横截面图,示出制造半导体器件的工序;
图3A和3B是半导体器件的横截面图,示出制造半导体器件的工序;
图4是半导体器件的横截面图,示出制造半导体器件的工序;
图5A和5B是半导体器件的横截面图,示出制造半导体器件的工序;
图6A和6B是半导体器件的横截面图,示出制造半导体器件的工序;
图7A和7B是半导体器件的横截面图,示出制造半导体器件的工序;
图8A和8B是半导体器件的横截面图,示出制造半导体器件的工序;
图9A和9B是半导体器件的横截面图,示出在本发明的示范性实施例中在形成接触孔期间在图案中造成了未对准的结构;以及
图10是半导体器件的横截面图,示出当在栅电极的上部不存在保护绝缘膜时在图案中造成了未对准的结构。
具体实施方式
这里,现在将参考说明性的示范性实施例,描述本发明。本领域技术人员将认识到,利用本发明的教导可以实现许多可选的示范性实施例,并且本发明不限于这里以说明目的而示出的示范性实施例。
如下参考附图将详细地描述根据本发明的示范性实现。在所有图中,相同的附图标记分配给在图中共同出现的元件,并且将不再重复它们的详细描述。
图1是横截面图,示出本示范性实施例中的半导体器件100的结构。半导体器件100包括半导体衬底102,半导体衬底102可相容地包含用作存储器区(在图中表示为“DRAM”)的动态随机存取存储器区200(DRAM区)和用作逻辑区(在图中表示为“Logic”)的逻辑区202。例如,该半导体衬底102是硅衬底。另外,逻辑区202提供有P型沟道区(在图中表示为“Pch”)和N型沟道区(图中的“Nch”)。另外,DRAM区200可以设计成N型沟道区。这些区域被元件隔离绝缘膜103隔离。DRAM区200的N型沟道区和逻辑区202的N型沟道区提供有N型杂质扩散区116a,且逻辑区202的P型沟道区提供有P型杂质扩散区116b。除了上述之外,DRAM区200具有元件之间的距离比逻辑区202中的元件之间的距离更窄的精细结构。更具体地,在DRAM区200中,各个栅极之间的距离比逻辑区202中各个栅极之间的距离更窄。因此,在DRAM区200中,如果发生图案未对准,会出现导致元件之间短路的更高风险。
在半导体衬底102上,DRAM区200提供有第一栅极210,逻辑区202的P型沟道区提供有第二栅极212,且逻辑区202的N型沟道区提供有第三栅极214。此外,分别在第一栅极210和第三栅极214的两侧上提供每个都由N型杂质扩散区116a组成的源-漏区。另外,分别在第二栅极212的两侧上提供每个都是由P型杂质扩散区116b组成的源-漏区。
半导体器件100包括绝缘膜120、层间绝缘膜122、层间绝缘膜160、层间绝缘膜162、层间绝缘膜172、层间绝缘膜174和层间绝缘膜176,这些膜按该次序沉积在半导体衬底102上。层间绝缘膜122形成为填充形成在半导体衬底102上的第一栅极210、第二栅极212和第三栅极214。
在本示范性实施例中,第一栅极210、第二栅极212和第三栅极214配置成使用后栅极工艺形成。每个栅极由栅绝缘膜和栅电极构造成,其中栅绝缘膜形成在凹入部分的底面中,凹入部分则形成在由层间绝缘膜122和各个栅极的侧壁构成的绝缘膜中(如将在后面论述的凹入部分126);栅电极形成在凹入部分中的栅绝缘膜上(如将在后面论述的栅电极133)。每个栅极的栅电极由第一金属膜(如将在后面论述的第一金属膜132)和第二金属膜(如将在后面论述的第二金属膜130)组成,第二金属膜覆盖第一金属膜的底面和侧表面,并且提供为与栅绝缘膜和凹入部分的侧壁接触。提供第二金属膜以便与凹入部分的侧壁和栅绝缘膜接触。另外,每个栅极的栅绝缘膜由多种类型膜配置成的多层膜构成。详细特征将在后面论述。
在具有形成在凹入部分中的栅电极上的保护绝缘膜140方面,第一栅极210在构成上与第二栅极212和第三栅极214不同。
此外,耦合至各个栅极的源-漏区的接触134提供在半导体器件100的层间绝缘膜122中。接触134可以由与各个栅极的栅电极使用的材料相同的材料构成。更具体地,每个接触134由在形成绝缘膜120和层间绝缘膜122的凹入部分(如将在后面论述的接触孔124)内部中形成的第一金属膜(如将在后面论述的第一金属膜132)和覆盖第一金属膜的底面和侧面且提供为与凹入部分的底面和侧壁接触的第二金属膜(如将在后面论述的第二金属膜130)构成。
在DRAM区200中,耦合到各个接触134、插塞186和插塞188的位线184提供在层间绝缘膜160、162和172中。另外,层间绝缘膜174的内部提供有电容器198,其由下电极192、电容性膜194和上电极196构成。电容器198经由插塞188、插塞186和接触134电耦合到形成在第一栅极210的横向侧中的N型杂质扩散区116a中的一个。另外,在第一栅极210的横向侧中形成的其他N型杂质扩散区116a电耦合到位线184。
另外,在逻辑区202中,耦合到各个接触134的插塞186、插塞188和插塞190提供在层间绝缘膜160、162、172、174和176的内部。
在本示范性实施例中,各个插塞和位线184的每个可以由阻挡金属膜180和金属膜182构成。例如,阻挡金属膜180可以由钛(Ti)、氮化钛(TiN)、钨(W)、氮化钨(WN)、钽(Ta)或氮化钽(TaN)等构成。另外,阻挡金属膜180可以由包括例如沉积在其上的TaN和Ta的多层膜构成。例如,金属膜182可以由铜构成。位线184可以配置成具有双镶嵌结构。在逻辑区202中,第三栅极214电耦合到在其上形成的插塞186。虽然在这里没有示出,但是逻辑区202的P型沟道区中的第二栅极212也可以配置成电耦合到插塞186。
在DRAM区200和逻辑区202中,硅化物层118形成在N型杂质扩散区116a和P型杂质扩散区116b的表面上。通过硅化物层118,各个接触134分别电耦合到N型杂质扩散区116a和P型杂质扩散区116b。在DRAM区200和漏极区202中,晶体管由各个栅极和杂质扩散区构成。
接下来,将描述制造本示范性实施例中的半导体器件100的工序。图2A至2C、图3A和3B、图4、图5A和5B、图6A和6B、图7A和7B以及图8A和8B是横截面图,示出制造半导体器件100的工序。
首先,使用已知的技术在半导体衬底102中形成元件隔离绝缘膜103。例如,元件隔离绝缘膜103可以由二氧化硅膜构成。另外,在元件隔离绝缘膜103的底面和侧面中,还可以配置成形成氮化硅膜等的衬垫膜。
随后,在半导体衬底102的整个表面上顺序形成第一栅绝缘膜104、第二栅绝缘膜106和第三栅极膜108。第一栅绝缘膜104,例如可以由二氧化硅膜构成。第二栅绝缘膜106,例如可以由氮氧化铪(HfON)等的高介电常数膜构成。第三栅极膜108,例如可以由TaN构成。
随后,形成抗蚀剂膜(未示出),以选择性地掩蔽DRAM区200和逻辑区202的N型沟道区,通过这种抗蚀剂膜的掩模,使用湿法蚀刻工艺选择性地去除逻辑区202中P型沟道区的第三栅极膜108。其后,完全去除该抗蚀剂膜(图2A)。
然后,在半导体衬底102的整个表面上形成蚀刻停止膜110(图2B),该蚀刻停止膜110将在多晶硅层的蚀刻中用作蚀刻停止膜。例如,蚀刻停止膜110可以由TiN构成。
随后,例如使用化学气相沉积(CVD)工艺在蚀刻停止膜110上形成多晶硅层112。随后,使用已知的光刻技术,将蚀刻停止膜110、第三栅极膜108、第二栅绝缘膜106、第一栅绝缘膜104和多晶硅层112顺序图案化成栅电极的形状(图2C)。这提供了由多晶硅层112构成的虚拟栅电极的形成。
其后,在半导体衬底102上,通过用作虚拟栅电极的多晶硅层112的掩模进行离子注入,以创建N型杂质扩散区116a和P型杂质扩散区116b的轻掺杂漏极(LDD)结构。随后,在图案化成栅电极形状的多晶硅层112和栅绝缘膜的横向侧中形成侧壁114。侧壁114例如可以由氮化硅膜构成。随后,通过用作虚拟栅电极的多晶硅层112和侧壁114的掩模,在半导体衬底102上进行离子注入,形成N型杂质扩散区116a和P型杂质扩散区116b(图3A)。N型杂质扩散区116a和P型杂质扩散区116b用作各个晶体管的源-漏区。
随后,在半导体衬底102的整个表面上形成金属膜。在本示范性实施例中,这种金属膜是镍或钴构成。金属膜可以通过溅射形成。随后,进行热处理,使金属膜与和该金属膜接触的硅反应以形成硅化物层118。这里,硅化物层118也形成在多晶硅层112上(图3B)。其后,去除未反应的金属膜部分。硅化物层118,例如,可以由硅化镍(NiSi)或硅化钴(CoSi)构成。
随后,绝缘膜120和层间绝缘膜122(其组成侧壁114和第一绝缘膜)以该顺序沉积在半导体衬底102的整个表面上,以填充用作虚拟栅电极的多晶硅层112和侧壁114(图4)。绝缘膜120,例如可以由氮化硅膜构成。层间绝缘膜122,例如,可以由二氧化硅膜构成。
使用CMP工艺,平面化层间绝缘膜122和绝缘膜120的表面。在这种情况下,还去除设置在多晶硅层112表面上的部分硅化物层118,然后暴露用作虚拟栅电极的多晶硅层112的上表面。然后,进行诸如使用掩模的干法蚀刻工艺,以选择性去除层间绝缘膜122和绝缘膜120,然后形成接触孔124,接触孔124耦合到用作源-漏区的P型杂质扩散区116b和N型杂质扩散区116a。这允许在接触孔124的底部上暴露已经形成在N型杂质扩散区116a和P型杂质扩散区116b上的硅化物层118(图5A)。
随后,使用湿法蚀刻工艺选择性去除用作虚拟栅电极的多晶硅层112,然后去除蚀刻停止膜110。因此,形成侧壁114内的各个凹入部分126(图5B)。这里,接触孔124具有比凹入部分126的宽度更大的直径。凹入部分126的宽度可以确定为例如20至50nm。
随后,在半导体衬底102的整个表面上以该顺序沉积第二金属膜130和第一金属膜132。第二金属膜130例如可以由氮化铝钛(TiAlN)构成。另外,第二金属膜130的平坦部分的厚度可以确定为例如10nm。形成第二金属膜130,以分别覆盖凹入部分126和接触孔124的底面和侧壁,且在形成第二金属膜130之后,凹入部分仍然保留在凹入部分126和接触孔124内。在本示范性实施例中,第二金属膜130可以配置成具有形成在栅绝缘膜的上表面中形成的底表面和从凹入部分126中的该底表面的周围升起的环绕壁。另外,第二金属膜130还可以配置成具有覆盖接触孔124的底表面的底表面和甚至在接触孔124中从该底表面的周围升起的环绕壁。随后,在第二金属膜130上形成第一金属膜132,以填充凹入部分126中的凹入部分和接触孔124(图6A)。第一金属膜132可以,例如由钨(W)、铝(Al)或铜(Cu)等构成。
然后,利用CMP,去除暴露在凹入部分126和接触孔124外部的部分第一金属膜132和第二金属膜130。这允许在接触孔124中形成接触134和在凹入部分126中形成栅电极133。在这种情况下,使用包含高浓度过氧化氢水且表现出较高氧化能力的浆液,来进行具有较高化学反应性的CMP工艺,使得在具有更小直径的凹入部分126中去除凹入部分126中第一金属膜132和第二金属膜130的上部,以在凹入部分126内的上部中形成凹口128(图6B)。
随后,在半导体衬底102的整个表面上形成保护绝缘膜140(第二绝缘膜),以用该保护绝缘膜140填充凹口128(图7A)。这里,保护绝缘膜140,例如,可以由二氧化硅膜构成。随后,使用CMP去除暴露在凹口128外部的部分保护绝缘膜140(图7B)。因此,保护绝缘膜140选择性地形成在凹入部分126中的栅电极133上。
然后,去除在逻辑区202的栅极中形成的部分保护绝缘膜140。更具体地,形成仅选择性地掩蔽DRAM区200的抗蚀剂膜142,然后通过这种抗蚀剂膜142的掩模蚀刻,部分去除保护绝缘膜140。在这种情况下,当层间绝缘膜122由二氧化硅膜构成时,其与用作保护绝缘膜140的材料相同,层间绝缘膜122的上部也被同时去除(图8A)。
在去除抗蚀剂膜142之后,层间绝缘膜160和层间绝缘膜162(第二绝缘膜)以该顺序沉积在半导体衬底102的整个表面上。层间绝缘膜160和层间绝缘膜162可以例如由低介电常数膜构成。虽然没有示出,但是根据需要诸如蚀刻停止膜等的其他类型的膜可以适当地提供在各个层间绝缘膜之间。
随后,在层间绝缘膜160和层间绝缘膜162中形成用于形成插塞186的孔164和用于形成位线184的双镶嵌互连沟槽166(图8B)。孔164和双镶嵌互连沟槽166形成为耦合至接触134。另外,在逻辑区202中,孔164形成为耦合到栅电极133。然后,用阻挡金属膜180和金属膜182填充孔164和双镶嵌互连沟槽166的内部。其后,使用CMP工艺去除暴露在孔164和双镶嵌互连沟槽166外部的部分金属膜182和阻挡金属膜180,形成电耦合到接触134和栅电极133的插塞186和位线184。
在这种情况下,在第一栅极210的栅电极133上形成保护绝缘膜140。因此,如果在DRAM区200中的孔164的图案中产生未对准,则可以防止第一栅极210的栅电极133和插塞186之间的短路。在图9A和9B中示出这种情况。图9A示出示范性实施方式,其中在DRAM区200中产生孔164的未对准,使得孔164形成为与第一栅极210重叠。如果在图案中造成这种未对准,则如图10中的围绕的虚线所示意性指示的,在栅电极133的表面上没有保护绝缘膜140就会导致栅电极133和插塞186之间的短路。然而,由于在本示范性实施例中保护绝缘膜140形成在栅电极133上,所以在发生图案未对准的情况下,可以防止其后形成的位线184或插塞186和栅电极之间的短路。图9B是示意图,示出本示范性实施例的结构中插塞186和位线184形成在孔164和双镶嵌互连沟槽166中的结构。
除了以上之外,保护绝缘膜140可以由能够提供对于层间绝缘膜160更高的蚀刻选择性的材料构成。材料的这种选择,在蚀刻层间绝缘膜160以形成孔164和双镶嵌互连沟槽166的情况下,允许实现在栅电极133的表面上形成的保护绝缘膜140没有被蚀刻的结构。
现在返回图1,接着在半导体衬底102的整个表面上形成层间绝缘膜172。其后,在层间绝缘膜172中形成达到插塞186的孔,并且用阻挡金属膜180和金属膜182填充该孔的内部。随后,使用CMP工艺,去除暴露在该孔外部的部分金属膜182和阻挡金属膜180,以形成插塞188。
然后,在半导体衬底102的整个表面上形成层间绝缘膜174。随后,在DRAM区200中,形成用于形成层间绝缘膜174中的电容器198的凹入部分。然后,用下电极192、电容性膜194和上电极196填充凹入部分。因此形成了电容器198。可选地,可以通过使用其他类型的结构和工艺制造该电容器。
其后,在半导体衬底102的整个表面上形成层间绝缘膜176,且在逻辑区202中的层间绝缘膜174和层间绝缘膜176中形成达到插塞188的孔,然后用阻挡金属膜180和金属膜182填充该孔的内部。随后,使用CMP工艺去除暴露在该孔外部的部分金属膜182和阻挡金属膜180,以形成插塞190。如上所述,获得具有图1中示出的结构的半导体器件100。
由于在根据本示范性实施例的半导体器件100的使用后栅极工艺的结构中,保护绝缘膜140可以选择性地形成在栅电极133的上方,所以在以自对准方式形成接触134期间造成图案未对准的情况下,可以防止接触134和栅电极133之间的短路。
尤其是,由于DRAM区200具有元件之间的距离比逻辑区202中的元件之间的距离窄的精细结构,所以在造成图案未对准的情况下,提供造成元件之间短路的更高风险。然而,由于本示范性实施例中的半导体器件100配置为在DRAM区200中栅电极133被保护绝缘膜140保护,所以可以防止接触134和栅电极133之间的短路。另外,在逻辑区202中去除保护绝缘膜140。在相容地包含DRAM区200和逻辑区202的半导体器件中,这允许防止DRAM区200中的短路,并在逻辑区202中在栅电极133上形成电耦合在其间的插塞186等。
虽然参考附图在上文中全面地描述了本发明的示范性实施例,但是应该指出,这些示范性实施例仅是以说明本发明为目的的,并且除了上面描述的这些之外,还可以进行各种修改。
上面的示范性实施例描述了这种结构:用抗蚀剂膜142保护DRAM区200,并且去除逻辑区202的所有保护绝缘膜140,如图8A所示。然而,可以不进行这种工艺,代替的是,在逻辑区202中形成孔164,同时保留保护绝缘膜140,并且仅DRAM区200用抗蚀剂膜保护,然后可选择性地去除逻辑区202的孔164底部中暴露的部分保护绝缘膜140。
除了上面的之外,在上述的示范性实施例中,各个栅绝缘膜形成为基本平坦。这允许可控地减小栅绝缘膜厚度的变化,并且将各个晶体管的阈值确定为期望的值。尤其是,当由多层膜构成栅绝缘膜时,或当为P型晶体管和N型晶体管选择不同厚度或不同数目的层时,由于栅绝缘膜的形状选择为基本平坦,所以可以更容易实现阈值的控制。然而,栅绝缘膜的结构并不具体限制于这种结构,在去除了虚拟栅电极之后,栅绝缘膜可以形成在凹入部分126的底面和侧壁上。
很明显,本发明并不限于上面的示范性实施例,并且在没有偏离本发明的范围和精神的情况下,可以进行修改和变化。

Claims (3)

1.一种半导体器件,包括:
半导体衬底,相容地包括存储区和逻辑区;
绝缘膜,其形成在所述半导体衬底之上;
第一栅极,其形成在所述存储区中,该第一栅极包括:
栅绝缘膜,其形成在所述绝缘膜中所形成的第一凹入部分中的底面中;
栅电极,其形成在所述第一凹入部分中的所述栅绝缘膜之上;
保护绝缘膜,其形成在所述第一凹入部分中的所述栅电极之上;
源-漏区,提供在所述第一栅极的侧面;以及
在第二凹入部分中形成的并且与所述源-漏区相耦合的接触,所述第二凹入部分形成在所述绝缘膜中的所述第一凹入部分的侧面并且具有比所述第一凹入部分的直径更大的直径,
第二栅极,其形成在所述逻辑区中,除了不包括所述保护绝缘膜从而在所述第一凹入部分中形成凹口之外,所述第二栅极具有与所述第一栅极相同结构,以及
插塞,其形成在所述第二栅极的栅电极之上且与所述栅电极电耦合,所述插塞的一部分被形成在形成于所述第一凹入部分中的所述凹口中从而与所述第二栅极的所述栅电极接触,
其中,所述栅电极是由第一金属膜和涂覆所述第一金属膜的底面和侧表面的第二金属膜构成的。
2.根据权利要求1所述的半导体器件,其中,
所述接触是由所述第一金属膜和涂敷在所述第一金属膜的底面和侧表面的第二金属膜构成的。
3.一种制造半导体器件的方法,包括:
在半导体衬底之上形成虚拟栅电极;
通过所述虚拟栅电极的掩模向所述半导体衬底中注入杂质,以形成源-漏区;
在所述半导体衬底之上,形成覆盖所述虚拟栅电极的第一绝缘膜;
平面化所述第一绝缘膜,以暴露所述虚拟栅电极的上表面;
选择性地去除所述第一绝缘膜,以在所述第一绝缘膜中形成耦合于所述源-漏区的接触孔;
去除所述虚拟栅电极,以在所述第一绝缘膜中形成第一凹入部分,所述第一凹入部分具有比所述接触孔小的直径;
在所述半导体衬底的整个表面之上形成金属膜,以用所述金属膜填充所述接触孔和所述第一凹入部分;
利用化学机械抛光(CMP)工艺,去除暴露在所述接触孔和所述第一凹入部分的外部的所述金属膜的各部分,以分别在所述接触孔中形成接触和在所述第一凹入部分中形成栅电极,并去除所述第一凹入部分内的上部中的所述金属膜的各部分,以在所述第一凹入部分内的上部中形成凹口;
在所述半导体衬底的整个表面之上形成第二绝缘膜,以用所述第二绝缘膜填充所述凹口;
去除暴露在所述第一凹入部分的外部的所述第二绝缘膜的各部分,以选择性地保留在所述第一凹入部分中的所述栅电极之上的所述第二绝缘膜;
在所述半导体衬底的整个表面之上形成第三绝缘膜;
选择性地去除所述第三绝缘膜,以在所述第三绝缘膜中形成耦合于所述接触的孔;以及,
用导电膜填充所述孔的内部以形成插塞,所述插塞电耦合于所述接触。
CN2008101885897A 2007-12-25 2008-12-25 半导体器件及其制造工艺 Expired - Fee Related CN101471379B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007332717A JP2009158591A (ja) 2007-12-25 2007-12-25 半導体装置およびその製造方法
JP2007332717 2007-12-25
JP2007-332717 2007-12-25

Publications (2)

Publication Number Publication Date
CN101471379A CN101471379A (zh) 2009-07-01
CN101471379B true CN101471379B (zh) 2011-03-02

Family

ID=40787577

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101885897A Expired - Fee Related CN101471379B (zh) 2007-12-25 2008-12-25 半导体器件及其制造工艺

Country Status (3)

Country Link
US (2) US7986012B2 (zh)
JP (1) JP2009158591A (zh)
CN (1) CN101471379B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011049250A (ja) * 2009-08-25 2011-03-10 Renesas Electronics Corp 半導体装置およびその製造方法
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8946828B2 (en) * 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US8232148B2 (en) * 2010-03-04 2012-07-31 International Business Machines Corporation Structure and method to make replacement metal gate and contact metal
JP2011192744A (ja) * 2010-03-12 2011-09-29 Panasonic Corp 半導体装置及びその製造方法
CN102214576A (zh) * 2010-04-09 2011-10-12 中国科学院微电子研究所 半导体器件及其制作方法
CN101950758B (zh) * 2010-07-13 2012-10-10 中国科学院上海微系统与信息技术研究所 一种基于soi衬底的高介电常数材料栅结构及其制备方法
US8749067B2 (en) 2010-08-18 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
CN102376682B (zh) * 2010-08-18 2014-09-24 中国科学院微电子研究所 一种半导体器件及其形成方法
US8633520B2 (en) * 2010-10-21 2014-01-21 Samsung Electronics Co., Ltd. Semiconductor device
KR101186038B1 (ko) * 2010-11-26 2012-09-26 에스케이하이닉스 주식회사 반도체 소자의 제조 방법
US8951907B2 (en) * 2010-12-14 2015-02-10 GlobalFoundries, Inc. Semiconductor devices having through-contacts and related fabrication methods
US8492214B2 (en) * 2011-03-18 2013-07-23 International Business Machines Corporation Damascene metal gate and shield structure, methods of manufacture and design structures
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
JP5863381B2 (ja) * 2011-10-17 2016-02-16 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
CN103066010B (zh) * 2011-10-19 2016-03-16 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US20130181265A1 (en) * 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8946049B2 (en) 2012-04-11 2015-02-03 International Business Machines Corporation Replacement gate structures and methods of manufacturing
CN103117285B (zh) * 2013-02-04 2015-12-02 京东方科技集团股份有限公司 一种阵列基板、显示装置及阵列基板的制造方法
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9136131B2 (en) * 2013-11-04 2015-09-15 Globalfoundries Inc. Common fill of gate and source and drain contacts
US9117927B2 (en) 2014-01-17 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
KR20150104362A (ko) * 2014-03-05 2015-09-15 에스케이하이닉스 주식회사 매립 게이트를 갖는 반도체 장치 및 그 제조 방법
KR102243492B1 (ko) 2014-07-21 2021-04-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102306674B1 (ko) 2015-03-17 2021-09-29 삼성전자주식회사 반도체 소자 및 그 제조방법
CN106206714B (zh) * 2015-04-30 2020-06-30 联华电子股份有限公司 半导体器件
CN104867928B (zh) * 2015-04-30 2018-05-01 上海集成电路研发中心有限公司 一种cmos器件中栅极金属和接触孔金属的制备方法
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10804140B2 (en) * 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
TWI696270B (zh) * 2019-04-15 2020-06-11 力晶積成電子製造股份有限公司 記憶體結構及其製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291278B1 (en) * 1999-05-03 2001-09-18 Advanced Micro Devices, Inc. Method of forming transistors with self aligned damascene gate contact
US6329232B1 (en) * 1999-06-30 2001-12-11 Hyundai Electronics Co., Ltd. Method of manufacturing a semiconductor device
US6420273B1 (en) * 1997-06-30 2002-07-16 Koninklijke Philips Electronics N.V. Self-aligned etch-stop layer formation for semiconductor devices
CN1933158A (zh) * 2005-09-15 2007-03-21 松下电器产业株式会社 半导体装置及其制造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JP3025478B2 (ja) * 1998-07-13 2000-03-27 松下電器産業株式会社 半導体装置およびその製造方法
JP2001267561A (ja) * 2000-03-21 2001-09-28 Sony Corp 半導体装置の製造方法及び半導体装置
JP2002026311A (ja) * 2000-07-04 2002-01-25 Miyazaki Oki Electric Co Ltd Soi型mos素子およびその製造方法
JP2003168732A (ja) 2001-11-29 2003-06-13 Promos Technologies Inc コンタクトプラグの形成方法
JP2005079206A (ja) * 2003-08-28 2005-03-24 Semiconductor Leading Edge Technologies Inc 半導体装置及び半導体装置の製造方法
JP2006120904A (ja) * 2004-10-22 2006-05-11 Elpida Memory Inc 半導体装置及びその製造方法
JP2006351582A (ja) 2005-06-13 2006-12-28 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP4882287B2 (ja) 2005-06-20 2012-02-22 ソニー株式会社 半導体装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420273B1 (en) * 1997-06-30 2002-07-16 Koninklijke Philips Electronics N.V. Self-aligned etch-stop layer formation for semiconductor devices
US6291278B1 (en) * 1999-05-03 2001-09-18 Advanced Micro Devices, Inc. Method of forming transistors with self aligned damascene gate contact
US6329232B1 (en) * 1999-06-30 2001-12-11 Hyundai Electronics Co., Ltd. Method of manufacturing a semiconductor device
CN1933158A (zh) * 2005-09-15 2007-03-21 松下电器产业株式会社 半导体装置及其制造方法

Also Published As

Publication number Publication date
US20110263113A1 (en) 2011-10-27
US7986012B2 (en) 2011-07-26
CN101471379A (zh) 2009-07-01
US20090159978A1 (en) 2009-06-25
JP2009158591A (ja) 2009-07-16

Similar Documents

Publication Publication Date Title
CN101471379B (zh) 半导体器件及其制造工艺
EP3631847B1 (en) Interconnect structure containing a metal silicide hydrogen diffusion barrier and method of making thereof
US9514980B2 (en) Semiconductor device with air gap and method for fabricating the same
US9059213B2 (en) Embedded DRAM for extremely thin semiconductor-on-insulator
KR100665852B1 (ko) 반도체 소자의 제조방법
US20180102395A1 (en) High density resistive random access memory (rram)
KR970003953A (ko) 고집적 dram 셀 및 그 제조방법
US7495292B2 (en) Integrated circuit devices having pad contact plugs in the cell array and peripheral circuit regions of the integrated circuit substrate
US7888804B2 (en) Method for forming self-aligned contacts and local interconnects simultaneously
US10304943B2 (en) Integrated circuit devices with blocking layers
US20200127103A1 (en) Semiconductor device
TWI582841B (zh) 製造電晶體閘極之方法及包含電晶體閘極之半導體裝置
KR20140028944A (ko) 반도체 장치 및 그 제조 방법
US20160013128A1 (en) Semiconductor device and manufacturing method thereof
US7338871B2 (en) Method for fabricating semiconductor device
US6878597B2 (en) Methods of forming source/drain regions using multilayer side wall spacers and structures so formed
KR100850068B1 (ko) 반도체 소자 및 이의 실리사이드막 제조 방법
CN110896076A (zh) 半导体器件及其制备方法
US20080023756A1 (en) Semiconductor device and fabricating method thereof
CN110875318B (zh) 存储器及其形成方法
US20060003536A1 (en) Method for fabricating a trench capacitor with an insulation collar which is electrically connected to a substrate on one side via a buried contact, in particular for a semiconductor memory cell
US20070269974A1 (en) Methods for forming a metal contact in a semiconductor device in which an ohmic layer is formed while forming a barrier metal layer
US20230200070A1 (en) Semiconductor device including a vertical channel pillar having a hybrid channel layer and a method of fabricating the semiconductor device
KR100846367B1 (ko) 강유전체 메모리 소자의 제조 방법
TWI619205B (zh) 記憶體裝置及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110302

Termination date: 20131225