CN100437972C - 结合动态弯液面的无应力蚀刻处理 - Google Patents

结合动态弯液面的无应力蚀刻处理 Download PDF

Info

Publication number
CN100437972C
CN100437972C CNB2004800411022A CN200480041102A CN100437972C CN 100437972 C CN100437972 C CN 100437972C CN B2004800411022 A CNB2004800411022 A CN B2004800411022A CN 200480041102 A CN200480041102 A CN 200480041102A CN 100437972 C CN100437972 C CN 100437972C
Authority
CN
China
Prior art keywords
inhomogeneities
cover part
etch processes
liquid meniscus
dynamic liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800411022A
Other languages
English (en)
Other versions
CN1906752A (zh
Inventor
A·D·贝利三世
M·瑞夫肯
M·克罗立克
P·亚德夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1906752A publication Critical patent/CN1906752A/zh
Application granted granted Critical
Publication of CN100437972C publication Critical patent/CN100437972C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

在构图的半导体衬底上用于平坦化并控制不均匀性的系统和方法包括接收构图的半导体衬底。构图的半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有过覆盖部分。去除大量过覆盖部分并且过覆盖部分的剩余部分具有不均匀性。映射该不均匀性,确定最优方案并开发动态弯液面蚀刻处理配方以校正不均匀性。应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以校正不均匀性以基本平坦化过覆盖部分的剩余部分。

Description

结合动态弯液面的无应力蚀刻处理
技术领域
本发明通常涉及双镶嵌半导体制造处理,具体涉及在半导体制造处理中用于平坦化部件(features)和层的方法和系统。
背景技术
在半导体制造中双镶嵌制造处理变得更加普及。在典型的镶嵌制造处理中,在预先构图的形成在半导体衬底中的沟槽和通路中或形成在半导体衬底上的薄膜中淀积一或多种导电材料以形成需要的电路互连,常常形成导电材料的过量的或过覆盖(overburden)部分。导电材料的过覆盖部分是不需要并且不希望的,对于制造双镶嵌部件以及提供用于后续处理的均匀和平坦化表面都是必须去除的。
典型地通过化学机械抛光(CMP)和电化学抛光(ECP)(例如,蚀刻)处理以及CMP和ECP处理的结合从半导体衬底去除导电材料的过覆盖部分。这些处理的每个都具有明显的不足。例如,典型地ECP具有相对较低的产量,较差的均匀性并且不能有效去除不导电材料。
CMP需要实体接触处理,典型地该处理留下导电残留物,或造成各种材料的腐蚀,或导致不均匀去除,并且不能适宜地平坦化互连以及夹层介电材料(ILD)的顶面。CMP也对余下的互连和ILD结构造成应力相关的损坏(例如,夹层脱离,剥落)。目前使用材料的较差夹层粘附特性进一步恶化了CMP引起的应力损坏。减少CMP处理的实际作用力(physical force)以减少实际应力(physical stress)常常导致不能接受的低产率和其它较差处理性能参数。
根据前述,需要改进的平坦化系统和方法以均匀并基本上去除过覆盖材料同时最小化对剩余部件的实际应力。改进的平坦化系统和方法适于用在半导体制造中以及用于如双镶嵌处理或其它半导体制造处理。
发明内容
广泛地讲,本发明通过提供用于平坦化和蚀刻半导体衬底的系统和方法满足这些需要。可理解为通过包括如处理、装置、系统、计算机可读介质、或者器件的多种方式完成本发明。下面描述本发明的几个创造性实施例。
一个实施例包括一种用于平坦化构图的半导体衬底的方法,该方法包括接收构图的半导体衬底。构图的半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有过覆盖部分。去除大量过覆盖部分并且过覆盖部分的剩余部分具有不均匀性。映射不均匀性并开发动态弯液面蚀刻处理配方(recipe)以校正不均匀性。应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以校正不均匀性以基本平坦化过覆盖部分的剩余部分。导电互连材料可包括铜和/或铜元素。在双镶嵌处理中在构图的半导体衬底上形成图形。映射不均匀性可包括确定衬底的不均匀性轮廓。衬底的不均匀性轮廓可包括确定在前处理(例如,大量去除处理)的不均匀性轮廓模型(profile model)。开发校正不均匀性的动态弯液面蚀刻处理配方可包括确定用于后续处理的去除速率轮廓模型,将衬底的不均匀轮廓与后续处理的去除率轮廓模型进行比较,以及最优化后续处理的一或多个参数。后续处理包括由动态弯液面蚀刻处理、干法蚀刻处理、以及湿法蚀刻处理组成的组中的至少一个处理。也可最优化用于去除大量过覆盖部分的大量去除处理以基本消除在用于后续接收的构图的半导体衬底的大量去除处理期间由不均匀性轮廓模型描绘的不均匀性的连续产生。由此该实施例提供在前处理的数据反馈以动态调整和最优化在前处理的各个操作。该实施例也能提供数据前馈以动态调整和最优化后续处理的后续操作。
去除大量过覆盖部分可以包括在CMP操作中去除大量过覆盖部分。CMP操作是较低的向下力(down-force)的CMP操作。去除大量过覆盖部分也可以包括最小化施加到衬底的侧应力量的各种方法。
在过覆盖部分上也可形成附加层。附加层为基本平坦的。去除大量过覆盖部分也包括基本完全去除附加层。附加层和过覆盖部分可具有基本1∶1的蚀刻选择性。
应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理可包括监测动态弯液面蚀刻处理并向动态弯液面蚀刻处理控制器提供反馈。动态弯液面蚀刻处理控制器可根据反馈自动修改动态弯液面蚀刻处理配方的至少一个方面。动态弯液面蚀刻处理控制器可相对衬底表面移动动态弯液面。
监测动态弯液面蚀刻处理也可包括用计量传感器扫描衬底表面。计量传感器可以被包括在动态弯液面中。计量传感器也可映射不均匀性。
另一实施例提供形成半导体器件的方法。该方法包括接收构图的半导体衬底。半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有过覆盖部分。去除大量过覆盖部分留下具有不均匀性的过覆盖部分的剩余部分。映射不均匀性。开发动态弯液面蚀刻处理配方以校正不均匀性。应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以将不均匀性校正为基本平坦化过覆盖部分的剩余部分。
而且另一实施例提供形成双镶嵌互连结构的方法。该方法包括接收双镶嵌构图的半导体衬底。半导体衬底,具有填充双镶嵌图形中的一或多个部件的导电互连材料。导电互连材料具有具有不均匀性的过覆盖部分。在过覆盖部分上形成附加层,基本平坦地形成附加层。蚀刻附加层和至少部分过覆盖部分以去除大量过覆盖部分,基本完全地去除附加层。过覆盖部分的剩余部分具有不均匀性。映射不均匀性。开发动态弯液面蚀刻处理配方以校正不均匀性。应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以将不均匀性校正为基本平坦化过覆盖部分的剩余部分。
应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理可包括监测动态弯液面蚀刻处理并向动态弯液面蚀刻处理控制器提供反馈。监测动态弯液面蚀刻处理也可以包括用计量传感器扫描衬底表面。计量传感器可以包括在动态弯液面中。
本发明提供最小化机械应力同时基本消除局部不均匀性的优点。由于接下来的邻近蚀刻处理可精确补偿任何CMP的不平坦性(例如,边缘效应、局部或整体的不平坦性,等等)因此明显放宽了CMP平坦度要求从而本发明也实现较宽的CMP宽限度。
从结合附图、通过本发明原理示例说明的下面详细描述,本发明的其它方面和优点变得明显。
附图说明
通过结合下面附图的详细描述更容易理解本发明,并且相同的附图标记表示相同的结构元件。
图1表示根据本发明一个实施例的构图的半导体衬底。
图2表示根据本发明一个实施例的增加的附加层。
图3表示根据本发明一个实施例的基本平坦的过覆盖部分。
图4A表示根据本发明一个实施例的经历了第二蚀刻处理的衬底。
图4B表示根据本发明一个实施例的经历了阻挡去除处理的衬底。
图5是根据本发明一个实施例的,执行局部平坦化的方法操作的流程图。
图6A-6D表示根据本发明一个实施例的,对衬底施加的一系列化学转化和回蚀处理以提高局部均匀性。
图7是根据本发明一个实施例的对衬底施加的化学转化和回蚀处理以提高局部均匀性的方法操作的流程图。
图8是根据本发明一个实施例的校正整体不均匀性的方法操作的流程图。
图9表示根据本发明一个实施例的基本去除的、平坦化的过覆盖部分。
图10A描述根据本发明一个实施例执行示例的晶片处理操作的邻近头(proximity head)。
图10B表示根据本发明一个实施例的部分邻近头的顶视图。
图11A描述根据本发明一个实施例的示例性邻近头。
图11B描述根据本发明一个实施例的,邻近头和由邻近头形成的弯液面的剖面图。
图12表示根据本发明一个实施例的晶片处理系统。
图13是根据本发明一个实施例的,用于提供基本平坦和均匀的过覆盖部分的可选方法操作的流程图。
图14表示根据本发明一个实施例的晶片处理系统的框图。
图15是根据本发明一个实施例的前馈最优化处理的方法操作的流程图。
具体实施方式
现在描述用于改进均匀性控制和平坦化系统和方法的几个示例性实施例。对那些本领域技术人员很显然实践本发明而不限于在此阐明的一些或所有具体细节。
改进的均匀性控制和平坦化系统和方法的一个实施例提供改进的遍及半导体衬底的局部的局部平坦化和均匀性。改进的局部平坦化和均匀性基本消除了由在下面的层中的部件以及淀积和蚀刻处理中的变化引起的局部不均匀性。另外的实施例提供遍及整个衬底的改进的整体平坦化和均匀性(例如,与中心均匀性相比的边缘均匀性)。
一个实施例包括用于平坦化构图的半导体衬底的方法,该方法包括接收构图的半导体衬底。构图的半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有过覆盖部分。过覆盖部分包括局部不均匀性。在过覆盖部分上形成附加层。平坦化附加层和过覆盖部分。平坦化处理基本完全去除附加层。导电互连材料可包括铜、含导电材料的铜和元素铜以及其它导电材料。在双镶嵌处理中在构图的半导体衬底上形成图形。
平坦化附加层和过覆盖部分包括基本消除局部的、图形相关的不均匀性。平坦化附加层和过覆盖部分也可包括基本消除局部的、图形相关的不均匀性而不会对多个部件施加机械应力。
附加层和过覆盖部分可以具有基本1∶1的蚀刻选择性。基本平坦和均匀地形成附加层。附加层为基本平坦的填充材料。平坦化附加层和过覆盖部分也可包括蚀刻附加层以及至少部分过覆盖部分。也可包括暴露在构图的部件上形成的阻挡层的第二蚀刻处理。
在过覆盖部分上形成附加层可包括化学转化过覆盖部分的顶面和顶部。化学转化过覆盖部分的顶面和顶部可包括将过覆盖部分的顶面暴露给反应气体如卤素。附加层是过覆盖部分的卤化反应物。
平坦化附加层和过覆盖部分包括蚀刻附加层和至少部分过覆盖部分。平坦化附加层和过覆盖部分也包括包括蚀刻附加层、形成第二附加层、以及蚀刻第二附加层的反复处理。反复处理可是原地的反复处理。
在另一实施例中,通过包括接收构图的半导体衬底的方法形成半导体器件。构图的半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有包括局部不均匀性的过覆盖部分。在过覆盖部分上形成附加层并且平坦化附加层和过覆盖部分。在平坦化处理中基本完全去除附加层。
而且另外一个实施例包括形成包括接收双镶嵌构图的半导体衬底的双镶嵌互连结构的方法。双镶嵌构图的半导体衬底具有填充双镶嵌图形中的多个部件的导电互连材料。导电互连材料具有包括局部不均匀性的过覆盖部分。在过覆盖部分上形成附加层。基本平坦地形成附加层。蚀刻附加层和至少部分过覆盖部分以基本平坦化过覆盖部分,基本完全去除附加层。
并且另一个实施例包括形成包括接收双镶嵌构图的半导体衬底的双镶嵌互连结构的方法。双镶嵌构图的半导体衬底具有填充双镶嵌图形中的多个部件的导电互连材料。导电互连材料具有包括局部不均匀性的过覆盖部分。化学转化过覆盖部分的顶面和顶部以在过覆盖部分上形成附加层。平坦化附加层和过覆盖部分,在平坦化处理中基本完全去除附加层。平坦化处理包括包括蚀刻附加层、形成第二附加层、并蚀刻第二附加层的反复处理。该反复处理可是持续的直到剩余的过覆盖部分基本平坦化。
一个实施例提供用于构图的半导体衬底的平坦化和均匀性控制的方法。该方法包括接收构图的半导体衬。构图的半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有过覆盖部分。过覆盖部分具有局部不均匀性。去除过覆盖部分的大部分以平坦化过覆盖部分。映射基本局部平坦化的过覆盖部分以确定整体不均匀性。蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性。在双镶嵌处理中在构图的半导体衬底上形成图形。
去除大部分过覆盖部分包括在过覆盖部分上形成附加层并平坦化附加层和过覆盖部分。在平坦化处理中基本完全去除附加层。
可选地,去除大量过覆盖部分可以包括通过化学转化过覆盖部分的顶面和顶部在过覆盖部分上形成附加层并蚀刻附加层和至少部分过覆盖部分以基本平坦化过覆盖部分,基本完全去除附加层。平坦化附加层和过覆盖部分可以包括包括蚀刻附加层、形成第二附加层并蚀刻第二附加层的反复处理。
映射基本局部平坦化的过覆盖部分以确定整体不均匀性可包括用涡流传感器映射基本局部平坦化的过覆盖部分。映射基本局部平坦化的过覆盖部分以确定整体不均匀性可以包括原地映射基本局部平坦化的过覆盖部分。
蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性可包括调整蚀刻配方以弥补整体不均匀性。可选地,蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性可以包括基本消除整体不均匀性而不对多个部件施加机械应力。
在另一可选实施例中,蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性可以包括蚀刻以暴露在图形部件上形成的阻挡层。可选择蚀刻阻挡层。蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性可包括基本最小化多个部件中的导电互连材料的任意凹部。
也可包括最后的蚀刻处理。最后的蚀刻处理可基本去除构图的部件上形成的阻挡层。最后的蚀刻处理也可包括掩模材料的去除。导电材料也可包括铜和/或元素铜。
另一实施例包括由包括接收构图的半导体衬底的方法形成的半导体器件。构图的半导体衬底具有填充图形中的多个部件的导电互连材料。导电互连材料具有包括局部不均匀性的过覆盖部分。去除大量过覆盖部分以平坦化过覆盖部分。映射基本局部平坦化的过覆盖部分以确定整体不均匀性。蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性。
另一实施例包括形成双镶嵌互连结构的方法。该方法包括接收双镶嵌构图的半导体衬底。双镶嵌构图的半导体衬底具有填充双镶嵌图形中的多个部件的导电互连材料。导电互连材料具有包括局部不均匀性的过覆盖部分。去除大量过覆盖部分以平坦化过覆盖部分。去除大量过覆盖部分包括在过覆盖部分上形成附加层并平坦化附加层和过覆盖部分。在平坦化处理中基本完全去除附加层。映射基本局部平坦化的过覆盖部分以确定整体不均匀性。蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性。
另一实施例包括形成双镶嵌互连结构的方法。该方法包括接收双镶嵌构图的半导体衬底。双镶嵌构图的半导体衬底具有填充双镶嵌图形中的多个部件的导电互连材料。导电互连材料包括包括局部不均匀性的过覆盖部分。去除大量过覆盖部分以平坦化过覆盖部分。去除大量过覆盖部分包括通过化学转化过覆盖部分的顶面和顶部在过覆盖部分上形成附加层。蚀刻附加层和至少部分过覆盖部分以基本平坦化过覆盖部分。基本完全去除附加层。映射基本局部平坦化的过覆盖部分以确定整体不均匀性。蚀刻基本局部平坦化的过覆盖部分以基本去除整体不均匀性。
图1表示根据本发明一个实施例的在双镶嵌处理中的构图的半导体衬底100。构图衬底100作为半导体制造处理如双镶嵌制造处理的一部分。使用掩模构图衬底100。衬底100包括大的,有些隔离的部件102(例如,沟槽,通路等);小的,有些隔离的部件104以及紧密聚集(pack)在一起的几个部件106。也包括阻挡层110。典型地阻挡层110为与衬底100或导电互连材料120不同的材料。导电互连材料120可是铜或铜合金或其它导电材料。
导电互连材料120的过覆盖部分112在部件102、104、106上延伸并包括在过覆盖部分112的厚度上的相应的局部变化114、116、118。如显示的,与在过覆盖部分112的厚度上具有稍微较小变化的较小部件104相比,较大部件102在过覆盖部分112的厚度上具有相应的较大降低。紧密聚集的部件106具有过覆盖部分112的稍微增加的厚度。
典型的蚀刻处理以相当均匀的速率在整个晶片区域上蚀刻导电互连材料120的过覆盖部分112,并由此在暴露邻近紧密聚集的部件106的阻挡层110的第二部分之前,典型蚀刻处理将暴露邻近较大部件102的阻挡层110的第一部分。总之,典型的蚀刻处理不能平坦化和补偿导电互连材料的过覆盖部分112的不均匀性。
图2表示根据本发明一个实施例的增加的附加层202。在过覆盖部分112的顶部上形成附加层202。附加层202可是基本平坦的填充材料(例如,旋涂玻璃(SOG))、多晶硅、聚合物抗蚀剂、双层、UV或热固化材料(thermally curable material)或能流动以形成平坦表面并具有适宜的蚀刻特性的其它材料)。可选地,在附加层202和过覆盖部分112之间也包括相对薄的(例如,约25-100nm厚)的保形层(conformal layer)204。保形层204可是阻挡层或粘附层。保形层204可为用于附加层202的较宽泛的各种材料。
附加层202和过覆盖部分112具有基本1∶1的蚀刻选择性(selectivity)以便随后的蚀刻处理(例如,等离子体或气体蚀刻处理)可以基本相同的速率蚀刻附加层202和过覆盖部分112。
图3表示根据本发明一个实施例的基本平坦的过覆盖部分112’。因为附加层202在叠层100、110、112、202上形成基本平坦的表面,因此第一蚀刻处理可在整个区域上均匀地蚀刻附加层202和过覆盖部分112直到剩余的过覆盖部分112’基本局部平坦为止,其中基本消除了局部变化114、116、118。
典型配方包括在附加层202和过覆盖部分112之间提供1∶1蚀刻选择性的情况。例如,如果附加层202是SOG,并且过覆盖部分112是铜,那么卤素(例如,Cl,F,Br,I)基化学物质提供对SOG以及铜的蚀刻速率控制以可调整为需要的1∶1选择性。尽管可使用产生反应的卤素自由基的任意等离子体原料气(feed gas),但是CF4、Cl2和HCl是典型示例。可调整各种处理参数以控制蚀刻速率、选择性、均匀性并减少包括各种处理变化如衬底温度和一种或多种添加剂(例如,Ar、H2、Cl、O2、CH3X(X=F、Cl、Br、I),CH2F2以及CH4)的组分的变化的腐蚀。
另一方法包括用Ar或其它惰性气体如He、Xe、Ne、Kr,作为与其它添加剂一起的铜过覆盖部分112的主要蚀刻剂的溅射主导蚀刻(sputter dominant etch)以提供附加层202的蚀刻速率控制和剩余铜112的顶面的钝化。其它的添加剂包括,例如H2和/或CF4。每个这些处理都能在约75℃和约400℃之间的较宽温度范围操作。
第一蚀刻处理设计为使剩余过覆盖部分112’保留为基本局部平坦的蚀刻处理,其中基本消除局部变化114、116、118。一个或多个后续蚀刻处理将去除大量或大部分过覆盖部分112’。应用完成(finish)蚀刻处理以将蚀刻处理持续到终点,在该终点从阻挡层110去除过覆盖部分112’。在大量蚀刻处理中也包括完成蚀刻处理。在完成蚀刻后的后续处理包括选择性的阻挡层的去除以及钝化剩余的导电材料120以防止腐蚀并提供对于进一步处理的稳定性。在完成蚀刻后的附加操作可设计为不是明显去除任何材料而只是钝化剩余导电材料120以防止腐蚀并提供用于进一步处理的稳定性。
图4A表示根据本发明一个实施例的经历第二蚀刻处理的衬底100。第二蚀刻处理持续到终点以便基本同时在所有位置暴露阻挡层110并仅留下填充部件102、104、106的导电材料(例如,铜、含铜合金和化合物、以及其它导电材料)的部分120。
第一蚀刻处理和第二蚀刻处理可基本相同或明显不同。例如,第一蚀刻处理可是用于改进由于局部不均匀性114、116、118引起(例如,由下面层中的部件102、104、106的位置、尺寸和聚集引起)的过覆盖部分112的局部平坦度。在第一蚀刻处理中去除整个附加层202和部分过覆盖部分112。比较而言,第二蚀刻处理可是去除大量剩余的、平坦的过覆盖部分112’直到终点(即,当暴露阻挡层时)的更具有选择性的蚀刻处理。
图4B表示根据本发明一个实施例的经历阻挡层去除处理的衬底。去除部分阻挡层110以暴露下面的掩模层402。只保留在部件102、104、106中形成的部分阻挡层110。典型的第二蚀刻处理以高速率并优选具有对阻挡层110的高选择性来去除大量过覆盖部分112。例如,如果过覆盖部分112是铜、则卤素基化学物质(例如,Cl2、CF4、HCl、HBr、BCl3)可有效地用于第二蚀刻处理。在另一种方法中,使用如Ar(或其它稀有气体或惰性气体)基的溅射处理的物理主导的蚀刻处理。调整各种处理参数以控制蚀刻速率和选择性。各种处理参数包括调整处理变化如反应组分的衬底温度平衡,以及一种或多种添加剂(例如,H2、O2、Ar、He、Xe、Ne、Kr等)的组分。
图5是根据本发明一个实施例的执行局部平坦化的方法操作的流程图500。在操作505中,在导电过覆盖部分112的顶部添加附加层202。在操作510中,应用第一蚀刻处理以去除大部分附加层202和导电过覆盖部分112。在操作515中,应用第二蚀刻处理以去除剩余过覆盖部分112’直到终点。
在可选实施例中,操作515也可包括如上描述的完成蚀刻处理。在完成蚀刻后的后续处理包括选择性的阻挡层去除以及钝化剩余导电材料120以防止腐蚀并提供对与进一步处理的稳定性。可将完成蚀刻处理后的附加操作设计为不明显去除任何材料而只是钝化剩余导电材料120以防止腐蚀并提供进一步处理的稳定性。
图6A-6D表示根据本发明一个实施例的应用到衬底600的一系列化学转化和回蚀处理以提高局部均匀性。图7是根据本发明一个实施例的应用到衬底600以提高局部均匀性的化学转化和回蚀处理的方法操作的流程图700。如图6A所示,与上述图1中描述的衬底100相似,衬底600具有基本不平坦的过覆盖部分602,而基本不平坦的过覆盖部分602具有不平坦表面轮廓606。
现在参考图6B和7,在操作705中,在过覆盖部分602的顶部形成附加层604。将附加层604淀积或形成在过覆盖部分602上。例如,通过过覆盖部分602的最顶部的化学转化形成附加层604。如果过覆盖部分602是铜或铜合金,则控制对气体的暴露而形成铜反应产物层604。一个示例是可形成卤化铜层604的卤素气体。铜反应层604扩散到铜过覆盖部分602的表面以转化铜过覆盖部分602的顶部。用于铜化学转化的处理在现有技术中是已知的,如Nagraj S.Kullkarni和RobertT.DeHoff,“Application of Volatility Diagrams for LowTemperature,Dry Etching,and Planarization of Copper”,电子化学世界期刊,149(11)G620-G632,2002。
在另一示例中,将附加层604淀积在过覆盖部分602上。淀积的层604可包括聚合物层或淀积在过覆盖部分602上的氧化物层。
现在参考操作710和图6C,应用回蚀处理以去除附加层604。也可去除部分过覆盖部分602。去除附加层604导致过覆盖部分的轮廓进一步软化(即,平坦化)而成为轮廓606’。卤化铜基本软化过覆盖部分602的外形。卤化铜与铜过覆盖部分602也保持基本1∶1的回蚀选择性。多次重复操作705和710以基本平坦化过覆盖部分602到后来的轮廓606’和606”,如图6D所示,直到形成的轮廓基本平坦。
典型地通过在铜反应组分界面氧化铜获得利用依赖化合物形成的形状的铜过覆盖部分602的化学转化。在该实例中铜的氧化可包括在正氧化状态下将元素铜化学转化为具有正氧化状态的铜的铜化合物。例如,在表面处铜氧化为氯化亚铜或氯化铜(CuCl或CuCl2)可发生在较低温度(例如,<200℃)的氯等离子体中。
回蚀处理包括还原这种铜化合物为能挥发的另一种化学化合物由此在固定的衬底温度下离开剩余过覆盖部分602’的表面。例如,在存在氢反应组分(例如,H2等离子体)时可将CuCl2还原为挥发的CuCl3。当同时平坦化铜过覆盖部分602的外形(例如,轮廓)的时候,交替的进行随后跟有回蚀转化部分的形状相关转化可导致铜过覆盖部分602的大量去除。
在操作715中,如果过覆盖部分602基本平坦化,则操作方法结束。可选地,如果在操作715中,过覆盖部分602没有基本平坦化,则方法操作在上述操作705持续。在一个实施例中,操作705-715可在单个蚀刻室中原地进行。在可选实施例中,操作710可发生在外部(ex-stu)并包括ECD或向下(low-down)作用力的CMP处理以获得图6D所示基本平坦的过覆盖部分602’。
可将图6A-7中描述的方法操作用作执行不平坦的过覆盖部分602的平坦化并去除大量过覆盖部分602的平坦化大量去除处理。
可通过现有技术已知的多个公知的层厚度映射技术的任一个或多个确定衬底100、600的局部厚度。例如,如Gotkis等人在2002年12月23日提交的共同拥有的名称为Method And Apparatus ForThin-Film Substrate Signal Separation Using Eddy Current的美国专利申请10/328,912以及Gotkis等人在2002年9月19日提交的名称为System And Method For Metal Residue Detection And MappingWithin A Multi-Step Sequence的共同拥有的的美国专利申请10/251,033中描述了涡流传感器可映射过覆盖部分112、112’的厚度。
上面图1-7中描述的方法和系统描述了基本消除在过覆盖部分中的局部的、图形相关的不均匀性的各种方法。但是,上面图1-7图描述的方法和系统没有直接解决整体不均匀性的校正。整体不均匀性可包括与衬底边缘相比的衬底中心处的材料去除速率的变化以及不是局部现象的其它不均匀性。
图8是根据本发明一个实施例的校正整体不均匀性的方法操作800的流程图。在操作805中,接收具有局部不均匀性如在过覆盖部分中的部件-图形相关的不均匀性的衬底。在操作810中,如通过CMP、EMP或上面图1-7中描述的方法和系统或现有技术已知的任意其它方法基本消除局部不均匀性。基本去除局部不均匀性形成基本、局部平坦化的过覆盖部分如上面图3所示的平坦化的过覆盖部分112’。
图9表示根据本发明一个实施例的基本去除的、平坦化的过覆盖部分902。基本去除的、平坦化的过覆盖部分902可是相对较薄的过覆盖部分,如几百埃的厚度。
在操作815中,映射具有平坦化的过覆盖部分的衬底以在平坦化的过覆盖部分中识别并量化任意整体的不均匀性。使用上面描述的现有技术中已知的多种公知层厚映射技术的任何一种或多种来映射平坦化的过覆盖部分。映射可是原地(在电流处理室中)进行或外部(在电流处理室外)进行。原地映射处理也可是动态的并允许将后续处理动态调整为后续处理进度。
在操作820中,如上面操作815中确定的,通过调整和控制蚀刻处理以在完成蚀刻处理中解决探测的整体不均匀性的具体要求以在基本无机械应力处理中去除整体不均匀性的位置和数量。例如,如果剩余的过覆盖部分902中心处为约500埃厚并且边缘处为300埃厚,那么可调整配方以补偿中心到边缘的不均匀性以便同时暴露整个阻挡层110。因为在回蚀处理中无机械力施加到衬底,因此无应力处理避免上面描述的CMP问题。
选定的配方(如处理变量的选定值)对阻挡层110是选择性的(即以比蚀刻铜的配方更慢的速率蚀刻阻挡层,例如,在这些处理中在阻挡蚀刻上的铜蚀刻的典型选择范围是大于1小于3)并且最小化任意凹部(例如,在部件102,104,106中的导电材料120的过量去除)。
完成蚀刻对于剩余的过覆盖部分902的铜和阻挡层110都具有相对慢的蚀刻速率以相对阻挡层110的剩余高度的阻挡最小化部件102、104、106中的任意凹部。结果,完成蚀刻对于蚀刻铜不具有高的选择性。
也包括最后的回蚀处理。最后的回蚀处理包括用合适的选择性和均匀性控制回蚀掩模材料和/或ILD材料以便最后的产物是提供具有最小铜和ILD损失的基本整体均匀和基本平坦的部件(例如,在最后蚀刻和阻挡层去除处理结束时任何铜凹部在衬底100各处是整体均匀的)。在这个实例中,最后蚀刻包括具有高选择性的回蚀掩模材料的均匀化处理以最小化铜的损失和最小化铜的凹部。例如,卤素浓度低并且衬底温度较低(例如,小于约200℃)的卤素基处理将保持较低的铜蚀刻速率同时仍可足够地化学蚀刻掩模材料。可使用包括卤素反应组分(例如,CF4,C2F6,C4F6)的任何等离子原料气。蚀刻速率控制添加剂可包括Ar,O2,CH2F2以及可以包括其它添加剂。
如果在完成蚀刻以及最后回蚀处理结束时,整体铜凹部和/或掩模/ILD的损失在衬底各处是不均匀的,那么必须在配方中采取另外的改变以校正整体不均匀性。例如,典型的实例是将蚀刻不均匀性的结果描述为中心较快或边缘较快的蚀刻速率。在其中一个这些实例中,可导致在衬底各处的铜凹部和/或掩模/ILD的各种变化。在掩模/ILD材料的最后的回蚀期间,利用适合的均匀性和选择性控制可以实现补偿以抵消此变化以便获得具有最小的铜和掩模损失的整体平坦的部件。导致在衬底中心处的较大铜凹部的中心较快的完成蚀刻处理的情况可通过边缘较快的最后回蚀处理补偿,该边缘较快的最后回蚀处理选择性蚀刻掩模材料以达到与部件102、104、106中的铜高度相同的高度。在这个处理中获得的典型选择性为大于约2。提供均匀性控制的配方的变化包括压力、衬底各处的温度变化、离子通量均匀性控制、气体浓度和室壁温度。控制选择性的变化包括反应的卤素组分浓度、衬底温度、以及偏压功率。
可选地,在剩余的过覆盖部分中的不均匀性将通过选择性湿法蚀刻处理来校正和控制。
可以用邻近头支撑并移动(例如,到晶片上、晶片外以及越过晶片)动态弯液面。在2002年12月24日提交的名为“Meniscus,Vacuum,IPA Vapor,Drying Manifold”的共拥有的、共同悬而未决的美国专利申请10/330,843中描述了各种邻近头和使用邻近头的方法。该申请是2002年9月30日提交的名为“Method andApparatus for Drying Semiconductor Wafer Surfaces Using aPlurality of Inlets and Outlets Held in Close Proximity to theWafer Surfaces”的共同悬而未决的美国专利申请No.10/261,839的部分继续申请。另外的实施例以及邻近头的使用也公开在2002年12月24日提交的名为“System for Substrate Processing withMeniscus,Vacuum,IPA vapor,Drying Manifold”的美国专利申请No.10/330,897以及2003年3月31日提交的名为“Methods andSystems for Processing a Substrate Using a Dynamic LiquidMeniscus”的美国专利申请No.10/404,692中。而且2003年3月31日提交的名为“Methods and Systems for Processing a Substrate Usinga Dynamic Liquid Meniscus”的美国专利申请No.10/404,692和2003年6月24日提交的名为“Methods and Systems for Processing aBevelEdge of a Substrate Using a Dynamic Liquid Meniscus”的美国专利申请No.10/603,427以及2003年6月24日提交的名为“System and Method for Integrating In-Situ Metrology within aWafer Process”的美国专利申请No.10/606,022中也公开了邻近头的其它实施例。
图10A描述根据本发明一个实施例的执行示例晶片处理操作的邻近头1020。在一个实施例中,邻近头1020移动同时紧密邻近晶片1030的顶面1030a以进行清洁、干燥、蚀刻或其它处理操作。应该意识到将邻近头1030也可被用于处理(例如清洁、干燥、蚀刻等)晶片1030的底面1030b。在一个实施例中,旋转晶片1030以便沿头的移动方向以线性方式移动邻近头1020同时从顶面1030a去除流体。通过经过源入口1002施加IPA 1010、经过源出口1004施加真空1012、并且通过源入口1006施加去离子水1014产生弯液面1016。
图10B表示根据本发明一个实施例的部分邻近头1020的顶视图。在一个实施例的顶视图中,从左到右是一组源入口1002、一组源出口1004、一组源入口1006、一组源出口1004以及一组源入口1002。因此,当将N2/IPA和DIW输入到邻近头1020和晶片1030之间的区域时,真空去除N2/IPA和DIW连同存在于晶片1030上的任何流体薄膜。在此描述的源入口1002、源入口1006、以及源出口1004也可为任何适合类型的几何形状,如圆形开口、方形开口等。在一个实施例中,源入口1002和10006以及源出口1004具有圆形开口。
图11A描述根据本发明一个实施例的示例的邻近头1100。图11B描述根据本发明一个实施例的邻近头1100和由邻近头1100形成的弯液面1150的剖面图。邻近头1100包括一圈的多个处理化学物质入口1104、两圈的多个IPA入口1102和1108以及一圈的多个真空出口1106。围绕传感器1120设置各种入口1102、1104、1106和出口1108。传感器1120是可评价由处理头1100施加的制造处理进程的计量传感器。传感器可是光学终点检测传感器以能使用上述的终点检测系统和方法。
弯液面1150可包括“干的”中心区域1152,在该区域中移动弯液面以便传感器1120不受来自传感器和晶片1030表面之间的弯液面1150的处理化学物质的干扰。旋转晶片1030并扫描邻近头1100,由此当邻近头处理晶片时,穿过晶片1030的传感器1120可提供晶片整个表面的原地扫描。传感器1120也可提供蚀刻处理的实时反馈。提供实时反馈给控制蚀刻处理的控制系统将提供蚀刻处理的闭合控制环路。蚀刻处理的闭合环路控制允许控制系统实时交互调整蚀刻处理。调整多个蚀刻处理变量的任一个包括头的位置、浓度、滞留时间、流速、压力、化学物质和其它处理变量。以这种方式提供更精确的处理控制。更精确的处理控制允许使用甚至更高浓度的蚀刻化学物质,其反过来将晶片处理时间减少到最小。
处理的原地、实时控制也能将变量处理应用到晶片表面以便在晶片处理过程中校正不均匀性。例如,如果在蚀刻处理中,传感器可在晶片1030的第一区域检测到较薄薄膜并在第二区域检测到较厚薄膜。当邻近头1100扫描晶片1030各处时,对于检测的薄膜厚度动态调整蚀刻处理配方(例如,蚀刻化学物质浓度、滞留时间等)。结果,当将蚀刻处理应用到晶片1030时原地动态校正不均匀薄膜厚度由此基本消除反复处理晶片以校正不均匀性的需要。
在可选实施例中,不需要干燥区域1152。例如,如果传感器1120可以通过诸如施加到晶片1030的表面的处理化学物质的液体层(例如,弯液面1150)测量薄膜厚度。
图12表示根据本发明一个实施例的晶片处理系统1200。晶片处理系统1200支撑在多个辊式拉边器1212A-1212C之间的晶片1030。活动臂1214A支撑邻近头1020并使其在晶片1030表面上移动。将原位传感器1202安装在臂1214A上、位于邻近头1020的外部或者可安装在可独立于活动臂1214A移动的分离的活动臂1204上,活动臂1214A支撑邻近头1020。结果,传感器1202通过邻近头1020扫描并测量接近施加到晶片1030的处理的对应位置。可选地,传感器1202可通过邻近头1020测量接近施加到晶片1030的处理的对应位置,并当邻近头对晶片进行处理时基本同时独立扫描晶片表面的位置。
图13是根据本发明一个实施例的用于提供基本平坦的过覆盖部分112’的可选操作方法1300的流程图。在操作1305中,如上面图1所示的,接收用于处理的不平坦和/或不均匀的晶片。在可选操作1310中,如上面图2所描述添加基本平坦的附加层202。在操作1315中,执行CMP平坦化操作以去除大量过覆盖层。剩余的过覆盖部分包括多个局部甚至整体不均匀区域。如上所述,许多原因引起局部和整体的不均匀性。CMP平坦化操作可是低的向下力的CMP操作以便在衬底上形成的下面的层上施加尽可能少的剪应力。
在操作1325中,映射晶片上的多个不均匀区域。通过任意数量类型的计量传感器以及使用各种计量传感器的方法映射这些不均匀区域。例如,通过诸如2002年12月23日提交的名为“System,Method andApparatus for Thin-Film Substrate Signal Separation Using EddyCurrent”的共同拥有共同悬而未决的申美国专利申请APN10/328,912和2002年9月25日提交的名为“Enhancement of Eddy Current BasedMeasurement Capabilities的APN10/256,055”中描述通过涡流传感器映射不均匀性。可原位或外位映射不均匀性。也可如2002年12月24日提交的名为“User Interface for Quantifying WaferNon-Uniformities and Graphically Explore Significance”的共同拥有共同悬而未决的美国专利10/331,194中描述的来映射和显示不均匀性。
在操作1330中,可自动开发用于校正映射的不均匀性的配方。开发配方可包括量化每个映射的不均匀性。例如,可精确计算每个不均匀性的厚度和位置。一旦量化不均匀性,那么就可确定合适的处理化学物质(例如,硫酸和过氧化氢的混合物)的浓度,时间以及由动态弯液面处理的其它处理变量。
在操作1335中,如上面图10A-12中描述,动态弯液面处理晶片。动态弯液面可蚀刻每个映射的不均匀性以形成上面图3显示的基本均匀的过覆盖部分112’。如上面图8所述,进一步蚀刻晶片以去除剩余的、基本均匀的过覆盖部分112’。
如果在动态弯液面支撑装置中包括如传感器1120或1202的传感器,则也可使用反馈监测信号以动态监测并控制动态弯液面。图14表示根据本发明一个实施例的晶片处理系统1400的框图。该系统包括包括配方1404的控制器1402。配方1404控制通过一对动态弯液面1410A、1410B施加到晶片1408的处理的各种参数和方面。例如,如果晶片旋转,配方确定DIW、IPA、IPA的流率以及真空的蒸气和压力以及动态弯液面1410A、1410B的精确位置以及晶片1408的方向和旋转速率。传感器1420A、1420B通过动态弯液面监测并评估施加到晶片1408的处理。在一个实施例中,传感器1420A、1420B将反馈提供给控制器1402。然后控制器1402动态修改配方以响应一个或多个传感器的反馈。如上面图11A和11B所描述,在支撑动态弯液面1410A、1410B的邻近头中包括传感器1420A、1420B。可选地,传感器可在支撑动态弯液面1410A、1410B的邻近头的外部。如上面的操作1325中描述的传感器1420A、1420B也可用于映射不均匀性。
在一个实施例中,采用改进平坦化和不均匀性的系统和方法的最优方案,其将进入衬底的空间厚度变化与具有预先确定的后续制造处理(例如,动态弯液面蚀刻处理)的去除率轮廓相关。对能接着执行具体蚀刻处理以校正厚度变化的动态弯液面蚀刻处理发送控制信号。图15是根据本发明的一个实施例的前馈最优化处理的方法操作1500的流程图。在操作1505中,接收衬底。在操作1510中,确定衬底的不均匀性轮廓。通过映射衬底的厚度确定衬底的不均匀性轮廓以识别任何厚度变化。任何适宜的映射处理,无论是原位还是外位都可用于确定衬底的不均匀性轮廓。在在前的在衬底表面留下不均匀层的处理(例如CMP处理)中的不均匀性都可引起厚度变化。不均匀性轮廓包括不均匀性的位置(即笛卡尔X和Y坐标或者半径和角度或者其它位置识别类型)和厚度。
也可以确定在前处理的不均匀性轮廓模型。在前处理的不均匀性轮廓模型可是由在前处理输出的多个衬底的不均匀性轮廓的统计分析(例如,平均)。使用不均匀性轮廓模型以预测在前处理的执行。
在操作1515中,确定后续处理的去除速率轮廓模型。通过经过后续处理的衬底的多种处理的统计分析确定去除速率轮廓模型。可提供后续处理的在前和在后的测量,例如,用于衬底表面上的多个位置的每个位置的平均去除速率。例如,将动态弯液面蚀刻处理应用到多个衬底。在动态弯液面蚀刻处理之前和之后映射多个衬底的每个并由此确定去除速率轮廓模型。去除速率轮廓模型包括衬底表面的多个位置的每个位置的平均去除速率。
去除速率轮廓模型也包括一或多个参数范围以及在去除速率轮廓模型上的对应效果。例如,具体的蚀刻化学物质浓度可导致较小或较大的蚀刻速率。由此浓度和处理时间是关联的。
在操作1520中,将接收的衬底的不均匀性轮廓与后续处理的去除速率轮廓模型相比较。然后选择后续处理的各种参数(例如,时间、处理化学物质、压力等)以产生最优化配方以校正接收的衬底的不均匀性轮廓。可选地,将在前处理的不均匀性轮廓模型与后续处理的去除速率轮廓进行比较以产生最优化配方。在操作1525中,将衬底和用于后续处理的最优化配方输入到后续处理。
在操作1530中,后续处理从衬底去除不均匀性以便平坦化衬底的表面。例如,在动态弯液面蚀刻处理和具有不均匀铜层的衬底中,通过动态弯液面蚀刻处理去除不均匀性以留下均匀的铜层。在操作1535中,去除剩余的铜层在衬底上留下基本平坦和均匀的表面。
可进一步理解在任意上面图表中的操作代表的指令不需要依描述的顺序执行,并且操作代表的所有处理对于实践本发明不是必须的。进一步,任何上述附图中所描述的处理也可以以存储在RAM、ROM或硬盘驱动器中的任何一个或其组合中的软件的形式实现。
尽管为清楚理解的目的细节描述了在前的本发明,很明显可以在附加权利要求的范围内实践特定的变换和改变。因此,本发明实施例被认为是描述性的,而不是限制的,并且本发明不限于在此给出的细节,而是可以在附属权利要求的范围和等同物中进行变化。

Claims (23)

1.一种用于平坦化构图的半导体衬底的方法,包括:
接收具有填充图形中的多个部件的导电互连材料的构图的半导体衬底,导电互连材料具有过覆盖部分;
去除大量过覆盖部分而不对所述多个部件施加机械应力,过覆盖部分的剩余部分在厚度上具有不均匀性;
映射不均匀性;
形成动态弯液面蚀刻处理配方,包括多个方面,包括:
量化不均匀性;和
计算对应于量化的不均匀性的蚀刻处理化学浓度和应用时间,以及
应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以校正过覆盖部分的剩余部分的不均匀性。
2.根据权利要求1的方法,其中去除大量过覆盖部分包括在CMP操作中去除大量过覆盖部分。
3.根据权利要求1的方法,其中去除大量过覆盖部分包括在小的向下力的CMP操作中去除大量过覆盖部分。
4.根据权利要求1的方法,进一步包括,在过覆盖部分上形成附加层,附加层是平坦的。
5.根据权利要求4的方法,其中去除大量过覆盖部分包括完全去除附加层。
6.根据权利要求4的方法,其中附加层和过覆盖部分具有1∶1的蚀刻选择性。
7.根据权利要求1的方法,其中应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理包括:
监测动态弯液面蚀刻处理;以及
向动态弯液面蚀刻处理控制器提供反馈。
8.根据权利要求7的方法,其中动态弯液面蚀刻处理控制器根据反馈自动修改动态弯液面蚀刻处理配方的所述多个方面中的至少一个。
9.根据权利要求7的方法,其中动态弯液面蚀刻处理控制器相对衬底表面移动动态弯液面。
10.根据权利要求7的方法,其中监测动态弯液面蚀刻处理包括用计量传感器扫描衬底表面。
11.根据权利要求10的方法,其中在动态弯液面中包括计量传感器。
12.根据权利要求10的方法,其中计量传感器映射不均匀性。
13.根据权利要求1的方法,其中映射不均匀性包括识别衬底的不均匀性轮廓,其包括在不均匀性厚度上的一个或多个变化以及在不均匀性厚度上的一个或多个变化的每一个的相应位置。
14.根据权利要求13的方法,进一步包括优化用于去除大量过覆盖部分的大量去除处理以消除在用于后续接收的构图的半导体衬底的大量去除处理期间由不均匀性轮廓描绘的不均匀性的产生。
15.根据权利要求14的方法,其中形成动态弯液面蚀刻处理配方进一步包括:
计算用于后续处理的去除速率轮廓模型;
将衬底的不均匀性轮廓与后续处理的去除速率轮廓模型比较;以及
最优化随后处理的一个或多个参数。
16.根据权利要求15的方法,其中后续处理可以包括由动态弯液面蚀刻处理、干法蚀刻处理和湿法蚀刻处理组成的处理组中的至少一个。
17.根据权利要求1的方法,其中导电互连材料包括导电材料。
18.根据权利要求1的方法,其中导电互连材料包括元素铜。
19.根据权利要求1的方法,其中在双镶嵌处理中在构图的半导体衬底上形成图形。
20.一种包括下面的方法形成的半导体器件:
接收具有填充图形中的多个部件的导电互连材料的构图的半导体衬底,导电互连材料具有过覆盖部分;
去除大量过覆盖部分而不对所述多个部件施加机械应力,过覆盖部分的剩余部分在厚度上具有不均匀性;
映射该不均匀性;
形成动态弯液面蚀刻处理配方,包括:
量化不均匀性;和
计算对应于量化的不均匀性的蚀刻处理化学浓度和应用时间,以及
应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以校正过覆盖部分的剩余部分的不均匀性。
21.一种形成双镶嵌互连结构的方法,包括:
接收具有填充双镶嵌图形中的多个部件的导电互连材料的双镶嵌构图的半导体衬底,导电互连材料具有具有在厚度上不均匀性的过覆盖部分;
在过覆盖部分上形成附加层,将附加层形成为平坦的;以及
蚀刻附加层和至少部分过覆盖部分以去除大量过覆盖部分,完全去除附加层,过覆盖部分的剩余部分具有不均匀性;
映射该不均匀性;
形成动态弯液面蚀刻处理配方,包括:
量化不均匀性,和
计算对应于量化的不均匀性的蚀刻处理化学浓度和应用时间,以及
应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理以校正过覆盖部分的剩余部分的不均匀性。
22.根据权利要求21的方法,其中应用使用动态弯液面蚀刻处理配方的动态弯液面蚀刻处理包括:
监测动态弯液面蚀刻处理;以及
向动态弯液面蚀刻处理控制器提供反馈。
23.根据权利要求22的方法,其中监测动态弯液面蚀刻处理包括用计量传感器扫描衬底表面,计量传感器包括在动态弯液面中。
CNB2004800411022A 2004-01-30 2004-12-30 结合动态弯液面的无应力蚀刻处理 Expired - Fee Related CN100437972C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/769,498 US7078344B2 (en) 2003-03-14 2004-01-30 Stress free etch processing in combination with a dynamic liquid meniscus
US10/769,498 2004-01-30

Publications (2)

Publication Number Publication Date
CN1906752A CN1906752A (zh) 2007-01-31
CN100437972C true CN100437972C (zh) 2008-11-26

Family

ID=34837813

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800411022A Expired - Fee Related CN100437972C (zh) 2004-01-30 2004-12-30 结合动态弯液面的无应力蚀刻处理

Country Status (8)

Country Link
US (1) US7078344B2 (zh)
EP (1) EP1709678A1 (zh)
JP (1) JP2007520079A (zh)
KR (1) KR101117050B1 (zh)
CN (1) CN100437972C (zh)
IL (1) IL176808A (zh)
TW (1) TWI286817B (zh)
WO (1) WO2005076347A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US7632542B2 (en) * 2005-10-26 2009-12-15 University Of Maryland Method for controlling uniformity of thin films fabricated in processing systems
WO2007062199A2 (en) * 2005-11-23 2007-05-31 Materials And Technologies Corporation Device and method for holding a substrate
US20070238201A1 (en) * 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7567700B2 (en) * 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US7502709B2 (en) * 2006-03-28 2009-03-10 Tokyo Electron, Ltd. Dynamic metrology sampling for a dual damascene process
JP4321595B2 (ja) * 2007-01-23 2009-08-26 住友電気工業株式会社 Iii−v族化合物半導体基板の製造方法
JP4924226B2 (ja) * 2007-06-14 2012-04-25 東ソー株式会社 表面加工方法及び表面加工装置
US8051863B2 (en) 2007-10-18 2011-11-08 Lam Research Corporation Methods of and apparatus for correlating gap value to meniscus stability in processing of a wafer surface by a recipe-controlled meniscus
TWI410710B (zh) * 2010-01-29 2013-10-01 Sureway Technology Co Ltd 玻璃面板之應力消除方法及其治具
ITMI20100407A1 (it) 2010-03-12 2011-09-13 Rise Technology S R L Cella foto-voltaica con regioni di semiconduttore poroso per ancorare terminali di contatto
US20120264300A1 (en) * 2011-04-13 2012-10-18 Nanya Technology Corporation Method of fabricating semiconductor component
CN103187341B (zh) * 2011-12-27 2015-11-18 芝浦机械电子株式会社 基板的处理装置及处理方法
JP6091193B2 (ja) * 2011-12-27 2017-03-08 芝浦メカトロニクス株式会社 基板の処理装置及び処理方法
TWI629720B (zh) * 2015-09-30 2018-07-11 東京威力科創股份有限公司 用於濕蝕刻製程之溫度的動態控制之方法及設備
JP2017216443A (ja) * 2016-05-20 2017-12-07 ラム リサーチ コーポレーションLam Research Corporation 再配線層における均一性を実現するためのシステム及び方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096230A (en) * 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6383935B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
WO2003002990A2 (en) * 2001-06-27 2003-01-09 Advanced Micro Devices, Inc. Using scatterometry to develop real time etch image
WO2003092049A1 (en) * 2002-04-23 2003-11-06 The Boc Group Inc. Improvement in process control for etch processes
CN1471725A (zh) * 2000-12-04 2004-01-28 株式会社尼康 研磨状况监视方法及其装置、研磨装置、半导体器件制造方法、以及半导体器件

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS6477143A (en) * 1987-09-18 1989-03-23 Toshiba Corp Formation of copper thin film wiring
US4985113A (en) 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
EP0416774B1 (en) 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5098516A (en) 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5200031A (en) 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5387315A (en) 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
JPH07183299A (ja) * 1993-12-22 1995-07-21 Nec Corp 銅配線の形成方法
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JPH08153710A (ja) 1994-11-30 1996-06-11 Toshiba Corp 半導体装置の製造方法
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
DE19622015A1 (de) 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW357406B (en) 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
JP3109449B2 (ja) 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
JP2003526191A (ja) 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
US6008130A (en) 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US5968847A (en) 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
TW430946B (en) 1998-07-22 2001-04-21 United Microelectronics Corp Dual damascene process
TW398036B (en) 1998-08-18 2000-07-11 Promos Technologies Inc Method of monitoring of chemical mechanical polishing end point and uniformity
US6004188A (en) 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6051496A (en) 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6221775B1 (en) 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6056864A (en) 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6368517B1 (en) 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
US6153530A (en) 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
SG93856A1 (en) * 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6147005A (en) 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6133144A (en) 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6083822A (en) 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6573187B1 (en) 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6313025B1 (en) 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6365327B1 (en) 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6350664B1 (en) 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6423200B1 (en) 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6350364B1 (en) 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
TW580735B (en) 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP2001244240A (ja) 2000-02-25 2001-09-07 Speedfam Co Ltd 半導体ウエハの製造方法
JP2001267310A (ja) 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
JP2001358105A (ja) * 2000-06-12 2001-12-26 Mitsubishi Electric Corp 埋め込み配線の形成方法およびcmp装置、並びに半導体装置およびその製造方法
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
AU2001279126A1 (en) 2000-07-31 2002-02-13 Silicon Valley Group Inc In-situ method and apparatus for end point detection in chemical mechanical polishing
US6475298B1 (en) 2000-10-13 2002-11-05 Lam Research Corporation Post-metal etch treatment to prevent corrosion
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6417093B1 (en) 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
US6482755B1 (en) 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6696358B2 (en) 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6486059B2 (en) 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
TWI243404B (en) 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
US20020182853A1 (en) 2001-05-31 2002-12-05 Hsueh-Chung Chen Method for removing hard-mask layer after metal-CMP in dual-damascene interconnect structure
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100430472B1 (ko) 2001-07-12 2004-05-10 삼성전자주식회사 듀얼 다마신 공정을 이용한 배선 형성 방법
US6696222B2 (en) 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
TW567554B (en) 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
AU2002336360A1 (en) * 2001-08-17 2003-03-03 Acm Research, Inc. Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
US6579800B2 (en) * 2001-10-12 2003-06-17 Nutool, Inc. Chemical mechanical polishing endpoint detection
US6709314B2 (en) 2001-11-07 2004-03-23 Applied Materials Inc. Chemical mechanical polishing endpoinat detection
US6582974B2 (en) 2001-11-15 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6780772B2 (en) * 2001-12-21 2004-08-24 Nutool, Inc. Method and system to provide electroplanarization of a workpiece with a conducting material layer
US6653224B1 (en) 2001-12-27 2003-11-25 Lam Research Corporation Methods for fabricating interconnect structures having Low K dielectric properties
JP2003203897A (ja) * 2002-01-08 2003-07-18 Toshiba Corp ノズル、基板処理装置、基板処理方法、及び基板処理プログラム
US6440840B1 (en) 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
DE10208166B4 (de) 2002-02-26 2006-12-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Metallleitungen mit verbesserter Gleichförmigkeit auf einem Substrat
DE10208165C1 (de) * 2002-02-26 2003-10-02 Advanced Micro Devices Inc Verfahren, Steuerung und Vorrichtung zum Steuern des chemisch-mechanischen Polierens von Substraten
US6828245B2 (en) 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6806948B2 (en) * 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
US6764810B2 (en) * 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
US6706637B2 (en) * 2002-05-09 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096230A (en) * 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6383935B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
CN1471725A (zh) * 2000-12-04 2004-01-28 株式会社尼康 研磨状况监视方法及其装置、研磨装置、半导体器件制造方法、以及半导体器件
WO2003002990A2 (en) * 2001-06-27 2003-01-09 Advanced Micro Devices, Inc. Using scatterometry to develop real time etch image
WO2003092049A1 (en) * 2002-04-23 2003-11-06 The Boc Group Inc. Improvement in process control for etch processes

Also Published As

Publication number Publication date
US7078344B2 (en) 2006-07-18
EP1709678A1 (en) 2006-10-11
JP2007520079A (ja) 2007-07-19
TW200603337A (en) 2006-01-16
IL176808A0 (en) 2006-10-31
TWI286817B (en) 2007-09-11
KR101117050B1 (ko) 2012-02-22
WO2005076347A1 (en) 2005-08-18
CN1906752A (zh) 2007-01-31
US20050090093A1 (en) 2005-04-28
IL176808A (en) 2011-03-31
KR20060127115A (ko) 2006-12-11

Similar Documents

Publication Publication Date Title
CN100437972C (zh) 结合动态弯液面的无应力蚀刻处理
US8017516B2 (en) Method for stress free conductor removal
US6395152B1 (en) Methods and apparatus for electropolishing metal interconnections on semiconductor devices
EP1918979A2 (en) Etching oxide with high selectivity to titanium nitride
US20050070105A1 (en) Small volume process chamber with hot inner surfaces
IL170851A (en) System, method and apparatus for improved local dual-damascene planarization
IL170852A (en) System, method and standard for improved global plannerization of the Damascus-double type
CN100366386C (zh) 用于控制衬底的化学机械式抛光的方法及装置
US6923710B2 (en) Apparatus and method for chemical mechanical polishing process
JP4792200B2 (ja) リセスエッチング前のインタフェロメトリによるin−situモニタリングを伴う平坦化エッチング方法
US7129167B1 (en) Methods and systems for a stress-free cleaning a surface of a substrate
CN100479104C (zh) 无应力抛光的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081126

Termination date: 20161230