ATE200916T1 - Zusammensetzung und verfahren zum polieren - Google Patents

Zusammensetzung und verfahren zum polieren

Info

Publication number
ATE200916T1
ATE200916T1 AT94918171T AT94918171T ATE200916T1 AT E200916 T1 ATE200916 T1 AT E200916T1 AT 94918171 T AT94918171 T AT 94918171T AT 94918171 T AT94918171 T AT 94918171T AT E200916 T1 ATE200916 T1 AT E200916T1
Authority
AT
Austria
Prior art keywords
composition
polishing
anion
silica
methods
Prior art date
Application number
AT94918171T
Other languages
English (en)
Inventor
Gregory Brancaleoni
Lee Melbourne Cook
Original Assignee
Rodel Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22074607&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=ATE200916(T1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Rodel Inc filed Critical Rodel Inc
Application granted granted Critical
Publication of ATE200916T1 publication Critical patent/ATE200916T1/de

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S451/00Abrading
    • Y10S451/905Metal lap

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Disintegrating Or Milling (AREA)
AT94918171T 1993-05-26 1994-05-25 Zusammensetzung und verfahren zum polieren ATE200916T1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/067,234 US5391258A (en) 1993-05-26 1993-05-26 Compositions and methods for polishing
PCT/US1994/006091 WO1994028194A1 (en) 1993-05-26 1994-05-25 Improved compositions and methods for polishing

Publications (1)

Publication Number Publication Date
ATE200916T1 true ATE200916T1 (de) 2001-05-15

Family

ID=22074607

Family Applications (1)

Application Number Title Priority Date Filing Date
AT94918171T ATE200916T1 (de) 1993-05-26 1994-05-25 Zusammensetzung und verfahren zum polieren

Country Status (11)

Country Link
US (2) US5391258A (de)
EP (1) EP0706582B9 (de)
JP (1) JP2819196B2 (de)
KR (1) KR100222768B1 (de)
CN (1) CN1053933C (de)
AT (1) ATE200916T1 (de)
DE (2) DE69427165T3 (de)
MY (1) MY110381A (de)
SG (1) SG48220A1 (de)
TW (1) TW329434B (de)
WO (1) WO1994028194A1 (de)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
WO1995024054A1 (en) * 1994-03-01 1995-09-08 Rodel, Inc. Improved compositions and methods for polishing
DE19525521B4 (de) * 1994-07-15 2007-04-26 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Verfahren zum Reinigen von Substraten
US5525191A (en) * 1994-07-25 1996-06-11 Motorola, Inc. Process for polishing a semiconductor substrate
US5695384A (en) * 1994-12-07 1997-12-09 Texas Instruments Incorporated Chemical-mechanical polishing salt slurry
WO1996027206A2 (en) * 1995-02-24 1996-09-06 Intel Corporation Polysilicon polish for patterning improvement
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US6046110A (en) * 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
US5665199A (en) * 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US6135856A (en) * 1996-01-19 2000-10-24 Micron Technology, Inc. Apparatus and method for semiconductor planarization
US5899799A (en) * 1996-01-19 1999-05-04 Micron Display Technology, Inc. Method and system to increase delivery of slurry to the surface of large substrates during polishing operations
JP4204649B2 (ja) * 1996-02-05 2009-01-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5916819A (en) * 1996-07-17 1999-06-29 Micron Technology, Inc. Planarization fluid composition chelating agents and planarization method using same
US5827781A (en) * 1996-07-17 1998-10-27 Micron Technology, Inc. Planarization slurry including a dispersant and method of using same
US5863838A (en) * 1996-07-22 1999-01-26 Motorola, Inc. Method for chemically-mechanically polishing a metal layer
JP3507628B2 (ja) * 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5893983A (en) * 1996-08-28 1999-04-13 International Business Machines Corporation Technique for removing defects from a layer of metal
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US5738800A (en) * 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US6132637A (en) * 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
FR2754937B1 (fr) * 1996-10-23 1999-01-15 Hoechst France Nouveau procede de polissage mecano-chimique de couches de materiaux isolants a base de derives du silicium ou de silicium
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5756398A (en) * 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5922091A (en) * 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6001269A (en) * 1997-05-20 1999-12-14 Rodel, Inc. Method for polishing a composite comprising an insulator, a metal, and titanium
MY124578A (en) * 1997-06-17 2006-06-30 Showa Denko Kk Magnetic hard disc substrate and process for manufacturing the same
US5770103A (en) * 1997-07-08 1998-06-23 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6083419A (en) * 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US5891205A (en) * 1997-08-14 1999-04-06 Ekc Technology, Inc. Chemical mechanical polishing composition
KR19990023544A (ko) * 1997-08-19 1999-03-25 마쯔모또 에이찌 무기 입자의 수성 분산체와 그의 제조 방법
EP1019456A1 (de) * 1997-09-26 2000-07-19 Infineon Technologies AG Poliermittel, verfahren zum chemisch-mechanischen planarisieren und verwendung des poliermittels zum planarisieren eines halbleitersubstrats
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US7202497B2 (en) * 1997-11-27 2007-04-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP4014710B2 (ja) 1997-11-28 2007-11-28 株式会社半導体エネルギー研究所 液晶表示装置
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US6294105B1 (en) 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
US6284151B1 (en) * 1997-12-23 2001-09-04 International Business Machines Corporation Chemical mechanical polishing slurry for tungsten
US6432828B2 (en) 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
JP4163785B2 (ja) * 1998-04-24 2008-10-08 スピードファム株式会社 研磨用組成物及び研磨加工方法
JP2002517593A (ja) 1998-06-10 2002-06-18 ロデール ホールディングス インコーポレイテッド 金属cmpにおける研磨用組成物および研磨方法
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
TW455626B (en) * 1998-07-23 2001-09-21 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6220934B1 (en) 1998-07-23 2001-04-24 Micron Technology, Inc. Method for controlling pH during planarization and cleaning of microelectronic substrates
FR2781922B1 (fr) * 1998-07-31 2001-11-23 Clariant France Sa Procede de polissage mecano-chimique d'une couche en un materiau a base de cuivre
TW416104B (en) * 1998-08-28 2000-12-21 Kobe Steel Ltd Method for reclaiming wafer substrate and polishing solution composition for reclaiming wafer substrate
US6468909B1 (en) 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
US6572449B2 (en) 1998-10-06 2003-06-03 Rodel Holdings, Inc. Dewatered CMP polishing compositions and methods for using same
US6241586B1 (en) 1998-10-06 2001-06-05 Rodel Holdings Inc. CMP polishing slurry dewatering and reconstitution
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
WO2000024842A1 (en) 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6372648B1 (en) * 1998-11-16 2002-04-16 Texas Instruments Incorporated Integrated circuit planarization method
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
EP1150341A4 (de) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd Materialien für metallpolierflüssigkeit, metallpolierflüssigkeit, ihre herstellung und poliermethode
EP1036836B1 (de) 1999-03-18 2004-11-03 Kabushiki Kaisha Toshiba Wässerige Dispersionsaufschlämmung für chemisch-mechanisches Polierverfahren
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
TW486514B (en) 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6443812B1 (en) 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
TW499471B (en) 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP4264781B2 (ja) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6734110B1 (en) 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP2004127327A (ja) * 1999-12-27 2004-04-22 Showa Denko Kk 磁気ディスク基板研磨用組成物
JP2001267273A (ja) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd 金属用研磨材、研磨組成物及び研磨方法
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
WO2001057150A1 (en) * 2000-02-02 2001-08-09 Rodel Holdings, Inc. Polishing composition
TWI296006B (de) 2000-02-09 2008-04-21 Jsr Corp
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6447375B2 (en) 2000-04-19 2002-09-10 Rodel Holdings Inc. Polishing method using a reconstituted dry particulate polishing composition
JP3456466B2 (ja) 2000-04-27 2003-10-14 三菱住友シリコン株式会社 シリコンウェーハ用研磨剤及びその研磨方法
TWI268286B (en) * 2000-04-28 2006-12-11 Kao Corp Roll-off reducing agent
US6443811B1 (en) 2000-06-20 2002-09-03 Infineon Technologies Ag Ceria slurry solution for improved defect control of silicon dioxide chemical-mechanical polishing
US6406923B1 (en) 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
EP1307319A2 (de) 2000-08-11 2003-05-07 Rodel Holdings, Inc. Chemisch-mechanisch planarisieren von metallsubstraten
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6540935B2 (en) 2001-04-05 2003-04-01 Samsung Electronics Co., Ltd. Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
EP1385915A1 (de) 2001-04-12 2004-02-04 Rodel Holdings, Inc. Tensid enthaltende poliermittelzusammensetzung
US6632259B2 (en) 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
SG144688A1 (en) 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6812193B2 (en) 2001-08-31 2004-11-02 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
JP3899456B2 (ja) 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
WO2003038883A1 (fr) 2001-10-31 2003-05-08 Hitachi Chemical Co., Ltd. Fluide et procede de polissage
US20030139069A1 (en) * 2001-12-06 2003-07-24 Block Kelly H. Planarization of silicon carbide hardmask material
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
JP2003257910A (ja) * 2001-12-28 2003-09-12 Fujikoshi Mach Corp 基板における銅層の研磨方法
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US20030136759A1 (en) * 2002-01-18 2003-07-24 Cabot Microelectronics Corp. Microlens array fabrication using CMP
US7132058B2 (en) 2002-01-24 2006-11-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Tungsten polishing solution
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6884729B2 (en) * 2002-02-11 2005-04-26 Cabot Microelectronics Corporation Global planarization method
US6899596B2 (en) 2002-02-22 2005-05-31 Agere Systems, Inc. Chemical mechanical polishing of dual orientation polycrystalline materials
US6682575B2 (en) 2002-03-05 2004-01-27 Cabot Microelectronics Corporation Methanol-containing silica-based CMP compositions
US6853474B2 (en) * 2002-04-04 2005-02-08 Cabot Microelectronics Corporation Process for fabricating optical switches
WO2003094216A1 (fr) 2002-04-30 2003-11-13 Hitachi Chemical Co., Ltd. Fluide de polissage et procede de polissage
TWI282360B (en) * 2002-06-03 2007-06-11 Hitachi Chemical Co Ltd Polishing composition and polishing method thereof
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
JP4083502B2 (ja) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP3981616B2 (ja) * 2002-10-02 2007-09-26 株式会社フジミインコーポレーテッド 研磨用組成物
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
DE602004008880T2 (de) * 2003-02-18 2008-06-26 Parker-Hannifin Corp., Cleveland Polierartikel für elektro-chemisches-mechanisches polieren
US20040188379A1 (en) * 2003-03-28 2004-09-30 Cabot Microelectronics Corporation Dielectric-in-dielectric damascene process for manufacturing planar waveguides
US7964005B2 (en) * 2003-04-10 2011-06-21 Technion Research & Development Foundation Ltd. Copper CMP slurry composition
US7300478B2 (en) * 2003-05-22 2007-11-27 Ferro Corporation Slurry composition and method of use
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US7160807B2 (en) * 2003-06-30 2007-01-09 Cabot Microelectronics Corporation CMP of noble metals
US7037351B2 (en) * 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
US7300603B2 (en) * 2003-08-05 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical planarization compositions for reducing erosion in semiconductor wafers
US7300480B2 (en) 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US6929983B2 (en) 2003-09-30 2005-08-16 Cabot Microelectronics Corporation Method of forming a current controlling device
ATE463838T1 (de) * 2003-09-30 2010-04-15 Fujimi Inc Polierzusammensetzung und polierverfahren
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050109980A1 (en) * 2003-11-25 2005-05-26 Hongyu Wang Polishing composition for CMP having abrasive particles
US20050148289A1 (en) * 2004-01-06 2005-07-07 Cabot Microelectronics Corp. Micromachining by chemical mechanical polishing
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
JP2005268664A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP2005268666A (ja) * 2004-03-19 2005-09-29 Fujimi Inc 研磨用組成物
JP4316406B2 (ja) * 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
JP4644434B2 (ja) * 2004-03-24 2011-03-02 株式会社フジミインコーポレーテッド 研磨用組成物
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
JP2006086462A (ja) * 2004-09-17 2006-03-30 Fujimi Inc 研磨用組成物およびそれを用いた配線構造体の製造法
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP2006135072A (ja) * 2004-11-05 2006-05-25 Fujimi Inc 研磨方法
JP4836441B2 (ja) * 2004-11-30 2011-12-14 花王株式会社 研磨液組成物
KR100497413B1 (ko) * 2004-11-26 2005-06-23 에이스하이텍 주식회사 텅스텐-화학적 기계적 연마에 유용한 슬러리 및 그 제조방법
EP1828333B1 (de) * 2004-12-22 2011-10-19 Showa Denko K.K. Polierzusammensetzung und polierverfahren
US7291280B2 (en) * 2004-12-28 2007-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multi-step methods for chemical mechanical polishing silicon dioxide and silicon nitride
US7419519B2 (en) * 2005-01-07 2008-09-02 Dynea Chemicals Oy Engineered non-polymeric organic particles for chemical mechanical planarization
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060205219A1 (en) * 2005-03-08 2006-09-14 Baker Arthur R Iii Compositions and methods for chemical mechanical polishing interlevel dielectric layers
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
CN1865387A (zh) * 2005-05-17 2006-11-22 安集微电子(上海)有限公司 抛光浆料
US20060278879A1 (en) * 2005-06-09 2006-12-14 Cabot Microelectronics Corporation Nanochannel device and method of manufacturing same
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
JP5026710B2 (ja) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド 研磨用組成物
CN100536081C (zh) * 2005-09-02 2009-09-02 福吉米株式会社 抛光组合物
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070176141A1 (en) * 2006-01-30 2007-08-02 Lane Sarah J Compositions and methods for chemical mechanical polishing interlevel dielectric layers
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
KR20090018202A (ko) * 2006-07-04 2009-02-19 히다치 가세고교 가부시끼가이샤 Cmp용 연마액
SG139699A1 (en) * 2006-08-02 2008-02-29 Fujimi Inc Polishing composition and polishing process
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
JP2009164188A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
JP2009164186A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
CN102187434A (zh) * 2008-10-20 2011-09-14 霓达哈斯股份有限公司 用于研磨氮化硅的组合物以及使用所述组合物控制选择比的方法
US20120001118A1 (en) * 2010-07-01 2012-01-05 Koo Ja-Ho Polishing slurry for chalcogenide alloy
JP2014529183A (ja) * 2011-08-01 2014-10-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 特定の有機化合物を含む化学機械研磨用組成物の存在下での元素ゲルマニウムおよび/またはSi1−xGex材料の化学機械研磨を含む、半導体デバイスを製造するための方法
US10217645B2 (en) * 2014-07-25 2019-02-26 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
JP6268069B2 (ja) 2014-09-12 2018-01-24 信越化学工業株式会社 研磨組成物及び研磨方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3385682A (en) 1965-04-29 1968-05-28 Sprague Electric Co Method and reagent for surface polishing
DE2629709C2 (de) * 1976-07-02 1982-06-03 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur Herstellung eines metallionenfreien amorphen Siliciumdioxids und daraus hergestelltes Poliermittel zum mechanischen Polieren von Halbleiteroberflächen
US4169337A (en) * 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
US4238275A (en) * 1978-12-29 1980-12-09 International Business Machines Corporation Pyrocatechol-amine-water solution for the determination of defects
DE2949383C2 (de) * 1979-12-07 1982-01-21 Sälzle, Erich, Dr., 8000 München Verfahren zur Schwefelsäure-Flußsäure-Polieren von Glasgegenständen
DE3237235C2 (de) 1982-10-07 1986-07-10 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen Verfahren zum Polieren von III-V-Halbleiteroberflächen
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
DE3735158A1 (de) * 1987-10-16 1989-05-03 Wacker Chemitronic Verfahren zum schleierfreien polieren von halbleiterscheiben
US4867757A (en) * 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
US4954142A (en) * 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4959113C1 (en) * 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
JP2868885B2 (ja) * 1989-11-09 1999-03-10 新日本製鐵株式会社 シリコンウェハの研磨液及び研磨方法
DE4002327A1 (de) * 1990-01-26 1991-08-01 Wacker Chemitronic Verfahren zur nasschemischen behandlung von halbleiteroberflaechen und loesung zu seiner durchfuehrung
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5274964A (en) 1992-08-19 1994-01-04 Abrasive Cleaning Systems, Inc. Dry abrasive belt cleaner
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing

Also Published As

Publication number Publication date
EP0706582B1 (de) 2001-05-02
CN1124504A (zh) 1996-06-12
EP0706582B9 (de) 2004-11-03
TW329434B (en) 1998-04-11
KR960702540A (ko) 1996-04-27
MY110381A (en) 1998-04-30
KR100222768B1 (ko) 1999-10-01
DE706582T1 (de) 1996-10-24
SG48220A1 (en) 1998-04-17
JP2819196B2 (ja) 1998-10-30
US5391258A (en) 1995-02-21
EP0706582A4 (de) 1997-06-11
DE69427165D1 (de) 2001-06-07
JPH08510437A (ja) 1996-11-05
WO1994028194A1 (en) 1994-12-08
EP0706582A1 (de) 1996-04-17
EP0706582B2 (de) 2004-03-17
US5476606A (en) 1995-12-19
DE69427165T2 (de) 2001-11-29
CN1053933C (zh) 2000-06-28
DE69427165T3 (de) 2004-09-09

Similar Documents

Publication Publication Date Title
DE69427165D1 (de) Zusammensetzung und verfahren zum polieren
DE69318577D1 (de) Kompositionen und verfahren zum polieren und egalisieren von oberflächen
AU640925B2 (en) Glass-like polysaccharide abrasive grit
TW365028B (en) Improved polishing slurries and methods for their use
DK0411095T3 (da) Fremgangsmåde og indretning til finbearbejdning og superfinishing
WO2004072199A3 (en) Mixed-abrasive polishing composition and method for using the same
MY111131A (en) Activated polishing compositions.
DE60022099D1 (de) Schleifmittel und verfahren zum schleifen von glas
DE60008025D1 (de) Zusammensetzungen und verfahren zum polieren und egalisieren von oberflächen
ATE258576T1 (de) Zusammensetzung und verfahren zum egalisieren von oberflächen
ES2010349A6 (es) Procedimiento automatico para pulir y lustrar losas de marmol, granito y piedra en general.
ES8707480A1 (es) Un metodo para tratar una superficie de piedra
ATE228580T1 (de) Legierung und verfahren zum herstellen von gegenständen aus dieser legierung
MY113647A (en) Apparatus and method of lapping works
DE60133662D1 (de) Verfahren zum fräsen von motorblöcken
HUP0002981A2 (hu) Nem folyékony súrolószer és tisztítási eljárás
AU3204000A (en) Method for treatment of a catalyst mass and product thereof
TW362249B (en) Controller of polishing process termination point for semiconductor wafer
Mickelson Seven Types of Compounds for Mass Finishing
FR2453004A1 (fr) Procede d'usinage d'une polisseuse et machine-outil destinee a mettre en oeuvre ce procede

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties