JP2002517593A - 金属cmpにおける研磨用組成物および研磨方法 - Google Patents

金属cmpにおける研磨用組成物および研磨方法

Info

Publication number
JP2002517593A
JP2002517593A JP2000553521A JP2000553521A JP2002517593A JP 2002517593 A JP2002517593 A JP 2002517593A JP 2000553521 A JP2000553521 A JP 2000553521A JP 2000553521 A JP2000553521 A JP 2000553521A JP 2002517593 A JP2002517593 A JP 2002517593A
Authority
JP
Japan
Prior art keywords
composition
polishing
group
organic compound
abrasive particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000553521A
Other languages
English (en)
Inventor
ヴァイカス サチャン
エリザベス ケジェライス
クリスティン イー
ケイス ジー. ピアース
クレイグ ディー. ラック
テレンス エム, トーマス
ピーター エー. ブルケ
デイヴィット ゲットマン
サラー レーン
Original Assignee
ロデール ホールディングス インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ロデール ホールディングス インコーポレイテッド filed Critical ロデール ホールディングス インコーポレイテッド
Publication of JP2002517593A publication Critical patent/JP2002517593A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Abstract

(57)【要約】 【構成】この発明においては、金属層(タングステン、アルミニウム、銅等)、バリア層(タンタル、窒化タンタル、チタンまたは窒化チタン)及び絶縁層(二酸化ケイ基等) からなる合成半導体構造体を研磨するための組成物を提供している。この組成物は、水性媒体、研磨剤、酸化剤、重合度が少なくとも5であり、二酸化ケイ素表面に含まれる表面基に対して親和性を有する複数の官能基を有する酸化物薄層の除去を抑制する有機ポリマーからなる。この組成物は、適宜、錯化剤および/または分散剤を含有するものであってもよい。

Description

【発明の詳細な説明】
本出願は、同時係属中の、1998年6月10日に出願された米国仮特許出願
第60/088,849号の優先権を主張する。
【0001】
【発明の属する技術分野】
本特許出願に記載されている発明は、集積回路表面、特に、金属、バリア層お
よび絶縁層をからなる集積回路表面の研磨および平坦化に関する。
【0002】
【従来の技術】
化学的/機械的平坦化(又は研磨)、すなわちCMPは、集積回路の製造途中
における半導体基板表面の様々な薄い膜の除去/平坦化を可能にする半導体産業
で使用される技術である。この技術は当初、絶縁性薄膜(SiO2 など)の研磨
に適用することに集中していたが、回路接続のために使用される金属薄膜の研磨
への適用が急速に伸びている。現在、タングステンおよびアルミニウムが、イン
ターコネクト構造体に使用される最も一般的な金属である。しかし、低いkの絶
縁体と組み合わせた銅インターコネクトは、(Al/SiO2 と比較した場合)
チップ速度を早め、必要とされる金属層の数を減らし、電力放散を最小限にし、
そして製造コストを低下させる可能性を有している。
【0003】 しかし、銅インターコネクトを問題なく組み込むことは簡単なことではない。
代表的な銅インターコネクト構造体は、シリコン基板の上の二酸化ケイ素に形成
される溝(典型的には、深さが10,000オングストロームで、幅が1〜10
0ミクロン)を有する。(銅の接着を改善するために、そして絶縁構造体への銅
の拡散を抑えるために使用される)バリア層材が、典型的には、その溝が形成さ
れた後に被着される。このバリア層は、通常、タンタル、窒化タンタル、チタン
または窒化チタンのいずれかからなる。このようなバリア材はまた、溝の上の水
平な絶縁性表面にも被着される。バリア層は、典型的には厚さが<1000オン
グストロームである。次いで、溝部を埋めるために、銅が化学蒸着法または電気
メッキによってこの構造体の上部に被着される。溝を確実に完全に埋めるために
は、通常、10,000〜15,000オングストロームの銅を被着することが
必要である。次いで、CMPを使用して、溝の上の過剰な銅および溝の上の水平
なバリア材が取り除かれる。これを問題なく経済的に行うためには、銅の除去は
できる限り早くなければならず、典型的には3000オングストローム/分以上
でなければならない。さらに、溝部内の銅の除去(典型的には、「ディッシング
」と呼ばれる)を避けるために、銅薄膜の除去と匹敵し得る速度でのバリア層の
除去が必要である。さらに、バリア層の下にあるSiO2 薄膜の分解(典型的に
は、「浸食」と呼ばれる)を避け、そして全体の平坦化を向上させるために、下
に位置する絶縁性薄膜の除去速度はできる限り緩やかでなければならない。まと
めると、バリア薄膜(タンタル、窒化タンタル、チタンまたは窒化チタン)の除
去速度に対する選択度は、銅薄膜に関しては大きくなければならないが、絶縁性
薄膜(SiO2 )の除去速度に対する選択度は小さくなければならない(好まし
くは、<100:1)。
【0004】 このような要件を達成するために、2つの異なるスラリーを使用する2工程の
研磨プロセスが提案されている。米国特許第5,676,587号「チタン、窒
化チタン、タンタルおよび窒化タンタルに対する選択的研磨プロセス」には、最
初に(1)金属薄膜(タングステンまたは銅など)の大部分を除くためのスラリ
ーを使用し、次に(2)バリア薄膜を除くためのスラリーを使用する2工程プロ
セスが提案されている。
【0005】 CMPプロセス中における二酸化ケイ素の除去速度を抑えるために、以前から
二酸化ケイ素表面を不動態化する様々な添加剤が提唱されている。米国特許第5
,614,444号「金属CMPでの選択度を高めるシリカ系スラリーに添加剤
を使用する方法」には、少なくとも1つの極性成分および1つの無極性成分から
なる添加剤が、酸化物の除去を抑制するとして提唱されている。この特許には、
極性基および非極性基の両方を含有する多数の化合物が列挙されている。このよ
うな化合物は、アニオン性(カリウムブチルスルファート)、カチオン性(テト
ラブチルアンモニウムヒドロキシド)、または非イオン性(ブタノール)のいず
れかである。しかし、この特許は、必須なものとして、極性成分(基)および無
極性成分(基)の両方が存在することを請求している。
【0006】 米国特許第5,876,490号では、高分子電解質が、スラリー中の研磨剤
粒子をコーティングするために使用されている。この高分子電解質は、スラリー
に通常の応力作用をもたらす。溶液において、高分子電解質は通常の応力作用を
示し、高分子電解質が研磨剤粒子に吸着することによって同じ作用が粒子にもた
らされる。
【0007】 米国特許第5,876,490号によると、平坦化を達成するためには、研磨
剤懸濁物における高分子電解質の量は、粒子の一部が高分子電解質でコーティン
グされ、その一方で、研磨剤粒子の他の一部はコーティングされないままである
程度である。これを達成するためには、高分子電解質の重量割合は、スラリー中
の研磨剤粒子の約5重量%〜約50重量%であり、好ましくは約15重量%〜約
30重量%であり、最も好ましくは約20重量%である。これらの割合は、研磨
剤粒子および高分子電解質の相対的なサイズにいくらか依存する。
【0008】 高分子電解質を含有する米国特許第5,876,490号のスラリー組成物は
、好ましくは、研磨剤粒子を既に含有するスラリーに高分子電解質を加え、これ
によって研磨剤粒子の一部を「その場」でコーティングして調製される。別の手
順として、研磨剤粒子の一部を予備コーティングし、次いで、コーティングされ
ない残りの研磨剤粒子を含有するスラリーと混合してもよい。さらに、研磨剤粒
子の一部を前処理して、その研磨剤粒子がスラリーからの高分子電解質をより良
く吸着するものとすることが望ましい。
【0009】 米国特許第5,391,258号、同第5,476,606号、同第5,73
8,800号および同第5,770,103号は、CMPスラリーにおいて、二
酸化ケイ素の除去を抑制する化合物について記載している。これらの特許は、こ
の出願において参照され、本明細書の一部をなす。
【0010】
【発明が解決しようとする課題】
この発明の課題は、従来のスラリーに改善をもたらすことである。
【0011】
【課題を解決するための手段】
本発明は、驚くべきことに、金属CMP中に酸化物薄膜の除去を弱めることが
見出された1つまたは複数の有機ポリマーに関するもので、従来のスラリーを上
回る改善をもたらす。本発明の有機ポリマーは、炭素骨格を有し、その骨格から
官能基が延びている、高分子量の有機ポリマーである。官能基は二酸化ケイ素表
面と強く相互作用し、その結果、かなりの程度で二酸化ケイ素薄膜の除去を抑え
る保護層となる。官能基とヒドロキシル表面との相互作用のメカニズムは、極性
種の水素結合(ヒドロキシル基の相互作用など)において認められるが、これに
限定されるものではない。有機ポリマーは、さらには、重合度が少なくとも3(
すなわち、分子内で3つのモノマーユニットが重合)であり、より好ましくは1
0よりも大きく、最も好ましくは50よりも大きい高分子量の有機物質として定
義される。有機ポリマーは、二酸化ケイ素表面に含まれる表面基(すなわち、シ
ラノールおよびシロキサン)に対して親和性を有する複数の官能基からなる。こ
れらの官能基は、一般には、ヒドロキシ、カルボキシ、カルボニル、アルコキシ
、スルホニルおよびホスホニルなどの極性基であるが、これらに限定されるもの
ではない。このタイプの有機ポリマー分子の例としては、ポリビニルアルコール
、ポリビニルピロリドン、ポリメタクリル酸メチル、ポリホルムアルデヒド、ポ
リエチレンオキシド、ポリエチレングリコールおよびポリメタクリル酸などがあ
る。
【0012】 これらと同じ化合物の多くが、上記の米国特許第5,876,490号におい
て、研磨剤粒子をコーティングするのに有用であるとして記載されている。それ
らを二酸化ケイ素の速度抑制剤として使用することは、米国特許第5,876,
490号には記載されていない。さらに、本発明の高分子電解質は、スラリー中
の研磨剤粒子の約5重量%未満の濃度で二酸化ケイ素の速度抑制剤として効果的
であることが見出された。それらはまた、約10,000よりも大きな分子量を
有するときに効果的であることも見出された。
【0013】 本発明の別の局面は、金属および絶縁体をからなる基板の研磨方法である。こ
こで、基板は研磨パッドに押しつけられ、基板とパッドはその相互間で互いに動
き、研磨用組成物が研磨操作中にこのパッドに対して加えられる。本発明の研磨
用組成物は、このような方法に有用である。
【0014】
【発明の実施の形態】
本発明において使用されるスラリーは、下記の一般的な手順で調製された。い
ずれの場合においても、最初に化学添加剤を脱イオン水に溶解させる。化学添加
剤のすべてが脱イオン水に溶解した後、pHを所望する値に調節する。別の容器
で、脱イオン水に無機酸化物研磨剤粒子からなる研磨剤パッケージを混合する。
研磨剤パッケージのpHも同様に所望する値に調節する。スラリー配合調製の最
後の工程は、水性の化学パッケージを水性の研磨剤パッケージと混合することで
ある。先行技術とは逆に、高分子電解質の添加剤は、いかなる特別な研磨剤の吸
着を必要とすることなくこの水溶液に加えられる。
【0015】 典型的には、化学的研磨剤には、酸化剤、本発明の有機ポリマー除去速度抑制
剤、および必要に応じて、錯化剤および/または分散剤が含まれる。この化学パ
ッケージの混合順序は、すべての添加剤が完全に溶解するように決めることのみ
が必要である。
【0016】 「高等無機化学 (Advanced Inorganic Chemistry) 」(エフ.エー.コットン
(F.A. Cotton) 及び ジー. ウィルキンソン (G. Wilkinson) 著、第3版、ウィ
リィ インターサイエンス (Wiley Interscience) )において定義されているよ
うに、錯体は以下の通りである。即ち、『用語「配位化合物」および「錯体」は
、中心の原子が一組の外側または配位子の原子により取り囲まれ、それによって
系のエネルギーが低下(すなわち、E>0および/またはG<0)する荷電また
は非荷電のすべての化学種を包含するように広く定義することができる。中性錯
体の例としてはSF6 があり、中心のS原子が6個のF原子により八面体配置で
取り囲まれている。陽性錯体の例としては[Cu(NH3 4 2+があり、中心
のCu原子が4個のNH3 分子により四面体配置で取り囲まれている。陰性錯体
の例として[Cu(Cl)5 3-があり、中心のCu原子が5個のCl原子によ
り五角両錐体配置で取り囲まれている。』 本発明のスラリーにおいて錯化剤と
呼ばれる一般的な配位子の例としては、酢酸、クエン酸、アセト酢酸エチル、グ
リコール酸、グリオキシル酸、乳酸、リンゴ酸、シュウ酸、サリチル酸、ジエチ
ルジチオカルバミン酸ナトリウム、コハク酸、酒石酸、チオグリコール酸、グリ
シン、アラニン、アスパラギン酸、エチレンジアミン、トリメチレンジアミン、
1,2−エタンジチオール、1,4−ジチオスレイトール、ビス(メチルチオ)
メタン、ジメチルジチオカルバマート、5−メチル−3,4−チアジアゾール−
2−チオール、マロン酸、グルタル酸、3−ヒドロキシ酪酸、プロピオン酸、フ
タル酸、イソフタル酸、3−ヒドロキシサリチル酸、3,5−ジヒドロキシサリ
チル酸、および没食子酸が揚げられる。
【0017】 本発明のスラリーは、必要に応じて、分散剤を含むことができる。
【0018】 本発明の組成物における酸化剤は、硝酸塩、ヨウ素酸塩、塩素酸塩、過塩素酸
塩、亜塩素酸塩、硫酸塩、過硫酸塩、過酸化物、オゾン処理水および酸素処理水
などの一般的な酸化剤のいずれかから構成され得る。酸化剤は、CMP用スラリ
ーにおいて約0.01重量%〜約7重量%の濃度で使用することができる。一般
には、酸化剤は、約1重量%〜約7重量%の濃度で使用される。ヨウ素酸塩が好
ましい酸化剤の一つてある。最も好ましいものは、約2重量%〜約4重量%のヨ
ウ素酸カリウムである。
【0019】 下記に示される実施例では、試験スラリーにおける研磨剤成分として、シリカ
およびチタニアを主に使用した。しかし、任意の金属酸化物または研磨用研磨剤
(アルミナ、セリア、ジルコニア、炭酸バリウムまたはダイヤモンドなど)もま
た使用することができる。
【0020】
【実施例】
特に別途示さない限り、下記の実施例で使われている割合はすべて、説明され
ているスラリーにおける重量比である。
【0021】 実施例1 表1は、錯化剤および酸化剤の含有量を変えて、銅、タンタルおよび(TEO
Sから得られた)二酸化ケイ素のウエハーを研磨した結果を示す。これらの実験
は、アイペック(IPEC)社のウェステック(Westech)372U研磨機において、
ローデル(Rodel) 社のIC1400パッドを使用して、5psiの押さえ圧、6
0rpmのキャリア速度、50rpmのプラテン速度、および110ml/分の
スラリー流速という条件下で行った。6インチのシートウエハーを使用した。本
実施例におけるすべてのスラリーは10%のコロイドシリカ研磨剤(クレボゾル
(Klebosol) 1498)を含有し、pH10.5とした。水酸化カリウムの量を
いろいろ変えて、スラリーをそのpHに調節した。
【0022】
【表1】
【0023】 これらの結果は、銅およびタンタルの両方について大きな除去速度を得るため
には、水溶液において両金属の溶解度を大きくする錯化剤を有し、かつ過酸化水
素などの酸化剤を含有することが必要であることを示している。このような成分
の組合せによって、銅の除去速度とタンタルの除去速度の間での良好な選択度(
ほぼ2:1)を保ちながら、銅の十分な除去速度を確保することが可能である。
本実施例からは、さらに、二酸化ケイ素の除去速度を抑えるための追加成分が必
要であることが明らかである。
【0024】 実施例2 表2は、酸化物の除去を抑制すると考えられる作用剤の含有量を変えて、銅お
よび(TEOSから得られた)二酸化ケイ素のウエハーを研磨した結果を示す。
これらの実験は、アイペック (IPEC) 社のウェステック(Westech) 372U研磨
機において、ローデル(Rodel) 社のIC1400パッドを使用して、5psiの
押さえ圧、3psiの背圧、60rpmのキャリア速度、50rpmのプラテン
速度、および110ml/分のスラリー流速という条件下で行った。6インチの
シートウエハーを使用した。本実施例におけるすべてのスラリーは、10%のコ
ロイドシリカ研磨剤(クレボゾル (Klebosol) 1498)、3%のシュウ酸、0
.2%の水酸化アンモニウム、0.2%の過酸化水素を含有し、水酸化カリウム
の量をいろいろ変えて、列記されたpHに調節した。下記の実験で使用されたポ
リビニルピロリドン(PVP)は10,000〜30,000ダルトンの分子量
を有する(すなわち、90から270の間の重合度を有する)。極性基および長
鎖の炭化水素テイルを有する従来の界面活性剤であるドデシル硫酸ナトリウム(
SDS)も、比較のために試験している。
【0025】
【表2】
【0026】 これらの結果は、銅の除去速度を高くし二酸化ケイ素の除去速度を低くする(
すなわち、選択度を大きくする)ためには、銅の除去速度を抑制しない一方で、
二酸化ケイ素の除去速度を抑制するPVPなどの成分を追加することが必要であ
ることを示している。さらに、SDSのような従来の界面活性剤は、酸化物薄膜
または銅薄膜の除去速度には大した影響を及ぼさないことが認められる。
【0027】 実施例3 表3は、錯化剤および酸化剤の含有量を変えて、銅およびタンタルのウエハー
を研磨した結果を示す。これらの実験は、アイペック (IPEC) 社のウェステック
(Westech) 372U研磨機において、ローデル(Rodel) 社のIC1400パッド
を使用して、5psiの押さえ圧、3psiの背圧、60rpmのキャリア速度
、50rpmのプラテン速度、および110ml/分のスラリー流速という条件
下で行った。6インチのシートウエハーを使用した。本実施例におけるすべての
スラリーは、10%のコロイドシリカ研磨剤(クレボゾル (Klebosol) 1498
)、0.75%のPVPを含有し、硝酸または水酸化カリウムの量を変えてpH
を調節した。
【0028】
【表3】
【0029】 これらの結果は、良好なタンタルの除去速度を保ちながら銅の除去速度を高く
するためには、スラリーが酸化剤および錯化剤の両方を含有することが必要であ
ることを示している。
【0030】 実施例4 表4は、2つの異なるpHレベルで、銅、タンタル、窒化タンタルおよびチタ
ンのウエハーを研磨した結果を示す。これらの実験は、アイペック (IPEC) 社の
ウェステック(Westech) 372U研磨機において、ローデル(Rodel) 社のIC1
400パッドを使用して、5psiの押さえ圧、3psiの背圧、50rpmの
キャリア速度、60rpmのプラテン速度、および120ml/分のスラリー流
速という条件下で行った。6インチのシートウエハーを使用した。本実施例にお
けるすべてのスラリーは、7%のチタニア研磨剤(デガッサ(Degussa) P−25
)、0.7%のPVP、4%のシュウ酸、1%の過酸化水素を含有し、水酸化カ
リウムの量を変えて特定のpHに調節した。
【0031】
【表4】
【0032】 これらの結果は、PVPなどの酸化物抑制剤を使用することによって、非常に
高いCu:SiO2 選択度(100:1よりも大きい) が得られることを示して
いる。
【0033】 実施例5 表5は、パターン化したウエハーを研磨した結果を示す。これらの実験はアイ
ペック (IPEC) 社のウェステック(Westech) 372U研磨機において行った。サ
ンプル1については、ローデル(Rodel) 社のIC1400/K−XYパッドを、
3psiの押さえ圧、2psiの背圧、40rpmのキャリア速度、65rpm
のプラテン速度、および150ml/分のスラリー流速という研磨条件で使用し
た。サンプル2については、ロデール(Rodel) 社のIC1000パッドを、4p
siの押さえ圧、3psiの背圧、75rpmのキャリア速度、60rpmのプ
ラテン速度、および150ml/分のスラリー流速という条件下で使用した。本
実施例におけるすべてのスラリーは、7%のチタニア研磨剤(デガッサ(Degussa
) P−25)、0.7%のPVP、4%のシュウ酸、1%の過酸化水素を含有し
、水酸化カリウムの量を変えて特定のpHに調節した。
【0034】
【表5】
【0035】 これらの結果は、PVPなどの化合物を含むスラリーに晒された酸化物浸食は
、典型的に認められる酸化物浸食よりもはるかに小さいことを示している。
【0036】 実施例6 ポリマー添加剤の使用が、広範囲の使用レベルで効果的であることが示されて
いる。本実施例では、上記のスラリー調製法を使用して、PVPを、総研磨剤に
対して約4%のレベルで銅研磨用スラリーに加えた。研磨性能を、ストラスボー
(Strasbauch) 6ECを使用して、5psiの押さえ力および80rpmのプラ
テン速度で測定した。スラリー流速は150ml/分であった。
【0037】 本実施例では、試験スラリーは、酸化剤としてのKIO3、および銅錯化剤と
しての乳酸、ならびにスラリー中に存在する研磨剤に対して4%のPVPを含有
していた。研磨除去速度の結果は下記の表6の通りである。
【0038】
【表6】
【0039】 表7のデータに基づくと、良好な選択度および低い酸化物除去速度を得るため
には、金属研磨用スラリーにおいてPVPが低い濃度で利用できることが明らか
である。
【0040】 上述の実施例および考察は、特許請求項に記載している本発明の範囲を限定す
るものではない。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ケジェライス エリザベス アメリカ合衆国 デラウェア州 19711 ニューアーク クリスティナ ミル ロー ド 413 (72)発明者 イー クリスティン アメリカ合衆国 デラウェア州 19713 ニューアーク クリスティアナ ロード 758 アパートメント 806 (72)発明者 ピアース ケイス ジー. アメリカ合衆国 デラウェア州 19713 ニューアーク ベニー ストリート 54− ビー (72)発明者 ラック クレイグ ディー. アメリカ合衆国 デラウェア州 19808 ウィルミングトン グリーンウッド ドラ イブ 438 (72)発明者 トーマス テレンス エム, アメリカ合衆国 オハイオ州 44060 メ ントール グローブウッド ドライブ 8029 (72)発明者 ブルケ ピーター エー. アメリカ合衆国 ペンシルヴァニア州 19311 エイヴォンデール インターラッ チェン コート 104 (72)発明者 ゲットマン デイヴィット アメリカ合衆国 デラウェア州 19701 ベア クリスティアナ メドーズ 3909 (72)発明者 レーン サラー アメリカ合衆国 メリーランド州 21921 エルクトン ラッセル ロード 162 Fターム(参考) 3C049 AA07 CA04 CB01 CB03 5E343 AA22 EE33 FF23

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 金属および絶縁体からなる基板の化学的−機械的研磨に有用
    な水性スラリーである組成物であって、水、サブミクロン研磨粒子、酸化剤、及
    び、酸化物薄膜の除去を抑制する有機ポリマーからなり、前記有機ポリマーは、
    重合度が少なくとも3であって、二酸化ケイ基表面に含まれる表面基に対して親
    和性を有する複数の官能基を有することを特徴とする組成物。
  2. 【請求項2】 前記官能基が、ヒドロキシ、カルボキシ、カルボニル、アル
    コキシ、スルホニル、及び、ホスホニルからなるグループから得られるものであ
    ることを特徴とする請求項1記載の組成物。
  3. 【請求項3】 前記有機化合物が、ポリビニルアルコール、ポリビニルピロ
    リドン、ポリメタクリル酸メチル、ポリホルムアルデヒド、ポリエチレンオキシ
    ド、ポリエチレングリコール、および、ポリメタクリル酸からなるグループから
    得られるものであることを特徴とする請求項2記載の組成物。
  4. 【請求項4】 前記有機化合物が、ポリビニルピロリドンであることを特徴
    とする請求項3記載の組成物。
  5. 【請求項5】 前記有機化合物が、この組成物に存在する前記サブミクロン
    研磨粒子量に対して5重量%以下の濃度でこの組成物に存在していることを特徴
    とする請求項1記載の組成物。
  6. 【請求項6】 前記有機化合物が10,000よりも大きい分子量を有する
    ことを特徴とする請求項1記載の組成物。
  7. 【請求項7】 この組成物が、さらに、錯化剤を含むことを特徴とする請求
    項1記載の組成物。
  8. 【請求項8】 この組成物が、さらに、分散剤を含むことを特徴とする請求
    項1記載の組成物。
  9. 【請求項9】 金属及び絶縁体からなる基板の研磨方法であって、この基板
    が研磨パットに対して押し付けられ、この基板とパッドが相互間で相対して移動
    し、研磨工程において研磨組成物がパッドに加えられるものであり、この研磨組
    成物が、水、サブミクロン研磨粒子、酸化剤、及び、酸化物薄膜の除去を抑制す
    る有機ポリマーからなり、前記有機ポリマーは、重合度が少なくとも3であって
    、二酸化ケイ基表面に含まれる表面基に対して親和性を有する複数の官能基を有
    することを特徴とする方法。
  10. 【請求項10】前記官能基が、ヒドロキシ、カルボキシ、カルボニル、アル
    コキシ、スルホニル、及び、ホスホニルからなるグループから得られるものであ
    ることを特徴とする請求項9記載の方法。
  11. 【請求項11】前記有機化合物が、ポリビニルアルコール、ポリビニルピロ
    リドン、ポリメタクリル酸メチル、ポリホルムアルデヒド、ポリエチレンオキシ
    ド、ポリエチレングリコール、および、ポリメタクリル酸からなるグループから
    得られるものであることを特徴とする請求項10記載の方法。
  12. 【請求項12】前記有機化合物が、ポリビニルピロリドンであることを特徴
    とする請求項11記載の方法。
  13. 【請求項13】前記有機化合物が、この組成物に存在する前記サブミクロン
    研磨粒子量に対して5重量%以下の濃度でこの組成物に存在していることを特徴
    とする請求項9記載の方法。
  14. 【請求項14】前記有機化合物が10,000よりも大きい分子量を有する
    ことを特徴とする請求項9記載の方法。
  15. 【請求項15】前記組成物が、さらに、錯化剤を含むことを特徴とする請求
    項9記載の方法。
  16. 【請求項16】前記組成物が、さらに、分散剤を含むことを特徴とする請求
    項9記載の方法。
JP2000553521A 1998-06-10 1999-06-10 金属cmpにおける研磨用組成物および研磨方法 Pending JP2002517593A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8884998P 1998-06-10 1998-06-10
US60/088,849 1998-06-10
PCT/US1999/013244 WO1999064527A1 (en) 1998-06-10 1999-06-10 Composition and method for polishing in metal cmp

Publications (1)

Publication Number Publication Date
JP2002517593A true JP2002517593A (ja) 2002-06-18

Family

ID=22213858

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000553521A Pending JP2002517593A (ja) 1998-06-10 1999-06-10 金属cmpにおける研磨用組成物および研磨方法

Country Status (5)

Country Link
US (2) US6616717B2 (ja)
EP (1) EP1102821A4 (ja)
JP (1) JP2002517593A (ja)
KR (1) KR100581649B1 (ja)
WO (1) WO1999064527A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003514374A (ja) * 1999-11-04 2003-04-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 有機添加剤含有のtaバリアスラリー
EP1757665A1 (en) 2005-08-24 2007-02-28 JSR Corporation Aqueous dispersion for chemical mechanical polishing, kit for preparing the aqueous dispersion for a chemical mechanical polishing process, and process for producing semiconductor devices
JP2007220723A (ja) * 2006-02-14 2007-08-30 Shinko Electric Ind Co Ltd 配線基板の配線形成方法
WO2008044477A1 (fr) 2006-10-06 2008-04-17 Jsr Corporation Dispersion aqueuse pour polissage chimico-mécanique et procédé de polissage chimico-mécanique pour dispositif semi-conducteur
JP2010538457A (ja) * 2007-08-28 2010-12-09 キャボット マイクロエレクトロニクス コーポレイション イオン性高分子電解質を含有する銅cmp組成物及び方法
JP2012182473A (ja) * 1999-08-17 2012-09-20 Hitachi Chem Co Ltd 化学機械研磨用研磨剤
JP2015189806A (ja) * 2014-03-27 2015-11-02 株式会社フジミインコーポレーテッド 研磨用組成物、その使用方法、及び基板の製造方法

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149830A (en) * 1998-09-17 2000-11-21 Siemens Aktiengesellschaft Composition and method for reducing dishing in patterned metal during CMP process
US6568989B1 (en) 1999-04-01 2003-05-27 Beaver Creek Concepts Inc Semiconductor wafer finishing control
US6267644B1 (en) 1998-11-06 2001-07-31 Beaver Creek Concepts Inc Fixed abrasive finishing element having aids finishing method
US6541381B2 (en) 1998-11-06 2003-04-01 Beaver Creek Concepts Inc Finishing method for semiconductor wafers using a lubricating boundary layer
US6428388B2 (en) 1998-11-06 2002-08-06 Beaver Creek Concepts Inc. Finishing element with finishing aids
US6291349B1 (en) 1999-03-25 2001-09-18 Beaver Creek Concepts Inc Abrasive finishing with partial organic boundary layer
US6634927B1 (en) 1998-11-06 2003-10-21 Charles J Molnar Finishing element using finishing aids
US6293851B1 (en) 1998-11-06 2001-09-25 Beaver Creek Concepts Inc Fixed abrasive finishing method using lubricants
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US6283829B1 (en) 1998-11-06 2001-09-04 Beaver Creek Concepts, Inc In situ friction detector method for finishing semiconductor wafers
US6656023B1 (en) 1998-11-06 2003-12-02 Beaver Creek Concepts Inc In situ control with lubricant and tracking
JP4053165B2 (ja) * 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6551933B1 (en) 1999-03-25 2003-04-22 Beaver Creek Concepts Inc Abrasive finishing with lubricant and tracking
KR20050118314A (ko) * 1999-06-18 2005-12-16 히다치 가세고교 가부시끼가이샤 Cmp연마제, 이것을 사용한 기판의 연마방법과반도체장치의 제조방법 및 cmp연마제용 첨가제
US20040055993A1 (en) * 1999-10-12 2004-03-25 Moudgil Brij M. Materials and methods for control of stability and rheological behavior of particulate suspensions
US6720264B2 (en) * 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
EP1252248A1 (en) * 1999-12-14 2002-10-30 Rodel Holdings, Inc. Polishing compositions for noble metals
KR100563166B1 (ko) 1999-12-17 2006-03-27 캐보트 마이크로일렉트로닉스 코포레이션 기판의 연마 또는 평탄화 방법
US6881674B2 (en) 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
JP2001267273A (ja) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd 金属用研磨材、研磨組成物及び研磨方法
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
JP4078787B2 (ja) * 2000-03-31 2008-04-23 Jsr株式会社 化学機械研磨用水系分散体
JP2003533023A (ja) * 2000-04-28 2003-11-05 スリーエム イノベイティブ プロパティズ カンパニー 半導体ウェハの表面を改質する方法
DE10024874A1 (de) * 2000-05-16 2001-11-29 Siemens Ag Polierflüssigkeit und Verfahren zur Strukturierung von Metallen und Metalloxiden
JP4123685B2 (ja) * 2000-05-18 2008-07-23 Jsr株式会社 化学機械研磨用水系分散体
EP1307319A2 (en) * 2000-08-11 2003-05-07 Rodel Holdings, Inc. Chemical mechanical planarization of metal substrates
US6468137B1 (en) 2000-09-07 2002-10-22 Cabot Microelectronics Corporation Method for polishing a memory or rigid disk with an oxidized halide-containing polishing system
DE10060343A1 (de) * 2000-12-04 2002-06-06 Bayer Ag Polierslurry für das chemisch-mechanische Polieren von Metall- und Dielektrikastrukturen
US6676718B2 (en) * 2001-01-12 2004-01-13 Rodel Holdings, Inc. Polishing of semiconductor substrates
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6796883B1 (en) 2001-03-15 2004-09-28 Beaver Creek Concepts Inc Controlled lubricated finishing
KR100464429B1 (ko) 2002-08-16 2005-01-03 삼성전자주식회사 화학 기계적 폴리싱 슬러리 및 이를 사용한 화학 기계적폴리싱 방법
US6632259B2 (en) * 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US6656241B1 (en) * 2001-06-14 2003-12-02 Ppg Industries Ohio, Inc. Silica-based slurry
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
DE10152993A1 (de) * 2001-10-26 2003-05-08 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen mit hoher Selektivität
WO2003044123A1 (en) * 2001-11-16 2003-05-30 Ferro Corporation Particles for use in cmp slurries and method for producing them
JP4003116B2 (ja) * 2001-11-28 2007-11-07 株式会社フジミインコーポレーテッド 磁気ディスク用基板の研磨用組成物及びそれを用いた研磨方法
US6821897B2 (en) 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
DE10164262A1 (de) * 2001-12-27 2003-07-17 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
JP2003277734A (ja) * 2001-12-31 2003-10-02 Hynix Semiconductor Inc 金属用cmpスラリー及びこれを利用した半導体素子の金属配線コンタクトプラグ形成方法
JP4187497B2 (ja) 2002-01-25 2008-11-26 Jsr株式会社 半導体基板の化学機械研磨方法
US6841480B2 (en) * 2002-02-04 2005-01-11 Infineon Technologies Ag Polyelectrolyte dispensing polishing pad, production thereof and method of polishing a substrate
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
CN100369210C (zh) * 2002-02-20 2008-02-13 株式会社荏原制作所 抛光方法
JP2003313542A (ja) * 2002-04-22 2003-11-06 Jsr Corp 化学機械研磨用水系分散体
JP2003338469A (ja) * 2002-05-21 2003-11-28 Fujitsu Ltd 研磨剤、研磨方法および洗浄方法
KR100479416B1 (ko) * 2002-05-29 2005-03-30 테크노세미켐 주식회사 화학기계적 연마용 슬러리의 제조방법
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
JP2004253775A (ja) * 2003-01-31 2004-09-09 Nec Electronics Corp 化学機械的研磨方法
US6916742B2 (en) * 2003-02-27 2005-07-12 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Modular barrier removal polishing slurry
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US7427361B2 (en) 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US20050126588A1 (en) * 2003-11-04 2005-06-16 Carter Melvin K. Chemical mechanical polishing slurries and cleaners containing salicylic acid as a corrosion inhibitor
US20050104048A1 (en) * 2003-11-13 2005-05-19 Thomas Terence M. Compositions and methods for polishing copper
US20050194562A1 (en) * 2004-02-23 2005-09-08 Lavoie Raymond L.Jr. Polishing compositions for controlling metal interconnect removal rate in semiconductor wafers
US7497967B2 (en) 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
US7303993B2 (en) 2004-07-01 2007-12-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US7384871B2 (en) 2004-07-01 2008-06-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US7435356B2 (en) 2004-11-24 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Abrasive-free chemical mechanical polishing compositions and methods relating thereto
US7086935B2 (en) 2004-11-24 2006-08-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cellulose-containing polishing compositions and methods relating thereto
KR100497413B1 (ko) * 2004-11-26 2005-06-23 에이스하이텍 주식회사 텅스텐-화학적 기계적 연마에 유용한 슬러리 및 그 제조방법
US20060135045A1 (en) * 2004-12-17 2006-06-22 Jinru Bian Polishing compositions for reducing erosion in semiconductor wafers
US7674716B2 (en) * 2004-12-29 2010-03-09 Lg Chem. Ltd. Adjuvant for chemical mechanical polishing slurry
KR20060077353A (ko) * 2004-12-30 2006-07-05 삼성전자주식회사 슬러리 조성물, 이를 이용한 가공물의 연마방법 및 반도체장치의 콘택 형성방법
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
JP4776269B2 (ja) 2005-04-28 2011-09-21 株式会社東芝 金属膜cmp用スラリー、および半導体装置の製造方法
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20090215269A1 (en) * 2005-06-06 2009-08-27 Advanced Technology Materials Inc. Integrated chemical mechanical polishing composition and process for single platen processing
TWI385226B (zh) 2005-09-08 2013-02-11 羅門哈斯電子材料Cmp控股公司 用於移除聚合物阻障之研磨漿液
KR101022982B1 (ko) * 2005-09-30 2011-03-18 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 폴리싱 슬러리 및 그 사용 방법
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
WO2007095972A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Semiconductordevice including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprissing multiple organic components for use in a semiconductor device
WO2007095973A1 (en) * 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Integrated system for semiconductor substrate processing using liquid phase metal deposition
US20070219103A1 (en) * 2006-03-17 2007-09-20 Applied Materials, Inc. Novel rinse solution to remove cross-contamination
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
JP2008036783A (ja) * 2006-08-08 2008-02-21 Sony Corp 研磨方法および研磨装置
US7538969B2 (en) * 2006-08-23 2009-05-26 Imation Corp. Servo pattern with encoded data
JP5204960B2 (ja) 2006-08-24 2013-06-05 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
TW200916564A (en) * 2007-01-31 2009-04-16 Advanced Tech Materials Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US7909677B2 (en) * 2007-05-14 2011-03-22 United Microelectronics Corp. Method of transferring a wafer
US20100221918A1 (en) * 2007-09-03 2010-09-02 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and method for preparing the same, kit for preparing aqueous dispersion for chemical mechanical polishing, and chemical mechanical polishing method for semiconductor device
JP5467804B2 (ja) * 2008-07-11 2014-04-09 富士フイルム株式会社 窒化ケイ素用研磨液及び研磨方法
US8540893B2 (en) * 2008-08-04 2013-09-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
KR101034794B1 (ko) * 2008-12-03 2011-05-17 주식회사 퀀텀에너지연구소 상전이조성물, 이의 제조방법 및 상전이조성물을 이용한 모듈의 제조방법
CN102477259B (zh) * 2010-11-30 2015-05-27 安集微电子(上海)有限公司 一种化学机械抛光浆料
US10879115B2 (en) * 2017-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
KR102502899B1 (ko) * 2017-12-28 2023-02-24 엔테그리스, 아이엔씨. Cmp 연마 패드 컨디셔너
US20200087538A1 (en) * 2018-09-14 2020-03-19 Fujimi Corporation Composition for selective polishing of work function metals

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4478883A (en) 1982-07-14 1984-10-23 International Business Machines Corporation Conditioning of a substrate for electroless direct bond plating in holes and on surfaces of a substrate
US4554182A (en) 1983-10-11 1985-11-19 International Business Machines Corporation Method for conditioning a surface of a dielectric substrate for electroless plating
US4752628A (en) 1987-05-15 1988-06-21 Nalco Chemical Company Concentrated lapping slurries
US5352277A (en) * 1988-12-12 1994-10-04 E. I. Du Pont De Nemours & Company Final polishing composition
JP2714411B2 (ja) * 1988-12-12 1998-02-16 イー・アイ・デュポン・ドゥ・ヌムール・アンド・カンパニー ウェハーのファイン研摩用組成物
US5391258A (en) 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5614444A (en) 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US5603739A (en) 1995-06-09 1997-02-18 Diamond Scientific, Inc. Abrasive suspension system
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5876490A (en) * 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
US6153525A (en) 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
TW365563B (en) 1997-04-28 1999-08-01 Seimi Chem Kk Polishing agent for semiconductor and method for its production
US5770103A (en) 1997-07-08 1998-06-23 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6099604A (en) 1997-08-21 2000-08-08 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012182473A (ja) * 1999-08-17 2012-09-20 Hitachi Chem Co Ltd 化学機械研磨用研磨剤
JP2003514374A (ja) * 1999-11-04 2003-04-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 有機添加剤含有のtaバリアスラリー
EP1757665A1 (en) 2005-08-24 2007-02-28 JSR Corporation Aqueous dispersion for chemical mechanical polishing, kit for preparing the aqueous dispersion for a chemical mechanical polishing process, and process for producing semiconductor devices
JP2007220723A (ja) * 2006-02-14 2007-08-30 Shinko Electric Ind Co Ltd 配線基板の配線形成方法
WO2008044477A1 (fr) 2006-10-06 2008-04-17 Jsr Corporation Dispersion aqueuse pour polissage chimico-mécanique et procédé de polissage chimico-mécanique pour dispositif semi-conducteur
US8574330B2 (en) 2006-10-06 2013-11-05 Jsr Corporation Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method for semiconductor device
JP2010538457A (ja) * 2007-08-28 2010-12-09 キャボット マイクロエレクトロニクス コーポレイション イオン性高分子電解質を含有する銅cmp組成物及び方法
JP2015189806A (ja) * 2014-03-27 2015-11-02 株式会社フジミインコーポレーテッド 研磨用組成物、その使用方法、及び基板の製造方法

Also Published As

Publication number Publication date
KR20010052718A (ko) 2001-06-25
US20030181046A1 (en) 2003-09-25
US6699299B2 (en) 2004-03-02
EP1102821A1 (en) 2001-05-30
KR100581649B1 (ko) 2006-05-23
WO1999064527A1 (en) 1999-12-16
EP1102821A4 (en) 2004-05-19
US20010024933A1 (en) 2001-09-27
US6616717B2 (en) 2003-09-09

Similar Documents

Publication Publication Date Title
JP2002517593A (ja) 金属cmpにおける研磨用組成物および研磨方法
JP6480381B2 (ja) セリア被覆シリカ研磨剤を使用したバリア化学機械平坦化スラリー
JP4081064B2 (ja) アスパラギン酸/トリルトリアゾールを用いる化学的機械的平坦化のための調整可能な組成物および方法
JP4750362B2 (ja) Cmpのための正電荷高分子電解質で処理したアニオン性研磨粒子
EP1081200B1 (en) Chemical mechanical polishing method using an aqueous dispersion composition for use in manufacture of semiconductor devices
US20050194563A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US20020111024A1 (en) Chemical mechanical polishing compositions
JP2004512681A (ja) 化学機械的研磨スラリー及び研磨方法
US20020019202A1 (en) Control of removal rates in CMP
US20070049025A1 (en) Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
WO2001041973A9 (en) Chemical-mechanical polishing method
WO2009017734A1 (en) Slurry containing multi-oxidizer and nano-sized diamond abrasive for tungsten cmp
TW201723139A (zh) 一種化學機械拋光液及其應用
EP2069452B1 (en) Onium-containing cmp compositions and methods of use thereof
KR102312220B1 (ko) 텅스텐 화학적 기계적 연마 조성물
JP2010535424A (ja) ルテニウムcmp組成物及び方法
US20190352535A1 (en) Chemical Mechanical Polishing Tungsten Buffing Slurries
JP2005294798A (ja) 研磨剤および研磨方法
JP5907333B2 (ja) 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法
JP6021584B2 (ja) 調整可能な研磨配合物を用いて研磨する方法
JP2010010717A (ja) 研磨剤および研磨方法
KR100850878B1 (ko) 금속막의 화학-기계적 연마 슬러리 조성물
US8551887B2 (en) Method for chemical mechanical planarization of a copper-containing substrate
KR102544607B1 (ko) 화학적 기계적 연마 슬러리 조성물 및 이를 이용한 반도체 소자의 제조방법
TW202007754A (zh) 化學機械研磨組成物、化學機械研磨漿料及基板研磨方法