WO2018168464A1 - マスクブランク、転写用マスクおよび半導体デバイスの製造方法 - Google Patents

マスクブランク、転写用マスクおよび半導体デバイスの製造方法 Download PDF

Info

Publication number
WO2018168464A1
WO2018168464A1 PCT/JP2018/007475 JP2018007475W WO2018168464A1 WO 2018168464 A1 WO2018168464 A1 WO 2018168464A1 JP 2018007475 W JP2018007475 W JP 2018007475W WO 2018168464 A1 WO2018168464 A1 WO 2018168464A1
Authority
WO
WIPO (PCT)
Prior art keywords
shielding film
light
light shielding
film
mask
Prior art date
Application number
PCT/JP2018/007475
Other languages
English (en)
French (fr)
Inventor
和丈 谷口
博明 宍戸
Original Assignee
Hoya株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya株式会社 filed Critical Hoya株式会社
Priority to SG11201908105V priority Critical patent/SG11201908105VA/en
Priority to KR1020237034056A priority patent/KR102609398B1/ko
Priority to US16/492,904 priority patent/US11314162B2/en
Priority to CN202310002958.3A priority patent/CN115933308A/zh
Priority to CN201880016943.XA priority patent/CN110603489B/zh
Priority to KR1020197025767A priority patent/KR102587661B1/ko
Publication of WO2018168464A1 publication Critical patent/WO2018168464A1/ja
Priority to US17/698,151 priority patent/US11624979B2/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Definitions

  • the present invention relates to a mask blank, a transfer mask manufactured using the mask blank, and a semiconductor device manufacturing method using the transfer mask.
  • a fine pattern is formed using a photolithography method.
  • a number of transfer masks are usually used for forming this fine pattern.
  • miniaturizing a semiconductor device pattern it is necessary to shorten the wavelength of an exposure light source used in photolithography in addition to miniaturization of a mask pattern formed on a transfer mask.
  • the exposure light source used in the manufacture of semiconductor devices has been shortened from a KrF excimer laser (wavelength 248 nm) to an ArF excimer laser (wavelength 193 nm).
  • MoSi molybdenum silicide
  • Patent Document 1 A molybdenum silicide (MoSi) -based material is widely used for the phase shift film of the halftone phase shift mask.
  • ArF exposure light ArF excimer laser exposure light
  • Patent Document 1 plasma treatment, UV irradiation treatment, or heat treatment is performed on the MoSi-based film after the pattern is formed, and a passive film is formed on the surface of the MoSi-based film pattern. Sexuality is enhanced.
  • Patent Document 2 discloses a phase shift mask including a phase shift film made of SiN
  • Patent Document 3 describes that it has been confirmed that a phase shift film made of SiN has high ArF light resistance. Has been.
  • JP 2010-217514 A Japanese Patent Laid-Open No. 7-159981 JP 2014-137388 A
  • the problem of ArF light resistance in a transfer mask is not limited to a phase shift mask, but also occurs in a binary mask.
  • miniaturization of a light shielding pattern of a binary mask has been advanced.
  • the light-shielding film of chromium-based material that has been widely used in the past can be subjected to patterning by dry etching with a relatively low anisotropy etching gas (a mixed gas of chlorine-based gas and oxygen gas), and can meet the demand for miniaturization. It's getting harder. Therefore, in recent years, molybdenum silicide-based materials have begun to be used for light shielding films of mask blanks for manufacturing binary masks.
  • the transition metal silicide-based material thin film has a problem of low ArF light resistance.
  • the simplest approach is to apply a silicon nitride-based material to the light shielding film of the binary mask.
  • the light shielding film of the binary mask is required to have high light shielding performance against ArF exposure light (for example, an optical density (OD) of 3.0 or more with respect to ArF exposure light).
  • the light shielding film of the binary mask has a surface reflectance (reflectance of the surface opposite to the substrate of the light shielding film) and a back surface reflectance (surface of the light shielding film on the substrate side) with respect to ArF exposure light as compared with the phase shift film.
  • the light-shielding film has a laminated structure of a light-shielding layer and an antireflection layer to reduce the surface reflectance and back surface reflectance for ArF exposure light.
  • Silicon nitride-based materials have lower light shielding performance against ArF exposure light than chromium-based materials and transition metal silicide-based materials.
  • a bias (a correction amount of a pattern line width or the like, hereinafter referred to as an EMF bias) due to an electromagnetic field (EMF) effect is large.
  • EMF electromagnetic field
  • the thickness of the light shielding film is reduced and the phase difference of the light shielding film (exposure light passing through the light shielding film is transmitted through the air by the same distance as the thickness of the light shielding film). It is effective to reduce the phase difference between the exposure light and the exposure light.
  • the light shielding film is formed of a silicon nitride material having a relatively low light shielding performance, it is necessary to increase the thickness of the light shielding film in order to ensure high light shielding performance. For this reason, it is not easy to reduce the EMF bias of the pattern of the light shielding film, which has been a problem.
  • the EMF bias greatly affects the CD accuracy of the transfer pattern line width to the resist on the wafer. For this reason, it is necessary to perform a simulation of an electromagnetic field effect and to correct a transfer pattern produced on a transfer mask for suppressing the influence of an EMF bias.
  • This transfer pattern correction calculation becomes more complicated as the EMF bias increases. Further, the corrected transfer pattern becomes more complicated as the EMF bias is larger, and a larger load is imposed on the production of the transfer mask.
  • the present invention has been made to solve the conventional problems, and is composed of a single layer film made of a silicon nitride material in a mask blank provided with a light shielding film on a light transmitting substrate.
  • Another object of the present invention is to provide a mask blank in which the light shielding film has high light shielding performance against ArF exposure light and can reduce the EMF bias of the pattern of the light shielding film.
  • Another object of the present invention is to provide a transfer mask manufactured using the mask blank.
  • an object of the present invention is to provide a method of manufacturing a semiconductor device using such a transfer mask.
  • the present invention has the following configuration.
  • a mask blank provided with a light shielding film on a translucent substrate The light-shielding film is a single layer film formed of a material consisting of silicon and nitrogen, or a material consisting of one or more elements selected from metalloid elements and nonmetallic elements, and silicon and nitrogen, The light shielding film has an optical density of 3.0 or more with respect to the exposure light of the ArF excimer laser,
  • a transfer mask provided with a light-shielding film having a transfer pattern on a translucent substrate,
  • the light-shielding film is a single layer film formed of a material consisting of silicon and nitrogen, or a material consisting of one or more elements selected from metalloid elements and nonmetallic elements, and silicon and nitrogen,
  • the light shielding film has an optical density of 3.0 or more with respect to the exposure light of the ArF excimer laser,
  • the transfer mask wherein a refractive index n and an extinction coefficient k of the light shielding film with respect to the exposure light simultaneously satisfy a relationship defined by the following formulas (1) and (2).
  • the mask blank of the present invention is provided with a light-shielding film on a translucent substrate, the light-shielding film is a single layer film formed of a silicon nitride material, and has an optical density of 3.0 or more with respect to ArF exposure light. And the refractive index n and the extinction coefficient k of the light-shielding film with respect to ArF exposure light simultaneously satisfy the relationship defined by the following expressions (1) and (2).
  • the optical density of the light shielding film with respect to ArF exposure light is 3.0 or more
  • the refractive index n and the extinction coefficient k of the light shielding film with respect to ArF exposure light are expressed by the following equations: Since the relationship defined by (1) and Equation (2) is satisfied at the same time, the light shielding film has high light shielding performance against ArF exposure light, and the EMF bias of the pattern of the light shielding film can be reduced.
  • the transfer mask of the present invention is characterized in that the light shielding film having a transfer pattern has the same configuration as the light shielding film of the mask blank of the present invention.
  • a predetermined optical density for example, optical density (OD) with respect to ArF exposure light 3.0 or more
  • OD optical density
  • silicon atoms that are not bonded to other elements tend to bond with oxygen in the air.
  • oxidation proceeds when left in the air after the manufacture, and this is largely due to the initial optical characteristics (especially the light-shielding performance) formed by the light-shielding film. The problem of changing.
  • the black defect is corrected by an EB defect correction technique.
  • the EB defect correction while supplying fluorine gas in a non-excited state, such as XeF 2 gas around the black defect, is to remove the black defect by irradiating an electron beam to the black defect portion.
  • This EB defect correction is performed by etching the non-excited fluorine-based gas of the portion of the light-shielding film (black defect portion) excited by the electron beam irradiation and the non-excited fluorine-based portion of the non-excited light-shielding film.
  • black defect portion By sufficiently ensuring an etching rate difference from the etching rate with respect to the gas, only the black defect portion can be removed.
  • a silicon-based material that does not contain oxygen or nitrogen has low resistance to this non-excited fluorine-based gas, and etching (this is called spontaneous etching) even in a state where it is not irradiated with an electron beam (not excited). ) Is easy to progress.
  • the light-shielding film made of a silicon-based material that does not contain oxygen or nitrogen has a problem that, when EB defect correction is performed, spontaneous etching of the pattern side walls of the light-shielding film other than black defects is likely to proceed.
  • the light shielding film is formed of a silicon-based material, it is preferable to apply a material containing silicon in nitrogen (silicon nitride-based material).
  • the light-shielding film made of a silicon nitride-based material as the nitrogen content in the film increases, the extinction coefficient k (hereinafter simply referred to as the extinction coefficient k) of the light-shielding film with respect to ArF exposure light decreases.
  • refractive index n (hereinafter simply referred to as refractive index n) for ArF exposure light increases.
  • refractive index n the refractive index for ArF exposure light increases.
  • the extinction coefficient k of the light shielding film decreases, the optical density of the light shielding film decreases, so that it is necessary to increase the thickness of the light shielding film in order to ensure a predetermined optical density in the light shielding film.
  • the refractive index n of the light shielding film increases, the phase difference of the light shielding film increases. Both an increase in the refractive index n and a decrease in the extinction coefficient k of the light shielding film lead to an increase in the EMF bias of the light shielding film. For this reason, it is necessary to control the refractive index n and extinction coefficient k of the light-shielding film made of the silicon nitride-based material to be in a predetermined range.
  • k the extinction coefficient of a light-shielding film that can simultaneously satisfy two conditions.
  • the exposure light is an ArF excimer laser
  • the light-shielding film is a single-layered thin film made of an optically uniform material
  • the refractive index n is in the range of 0.8 to 2.6
  • the extinction coefficient k In the range of 1.0 to 2.6, the phase difference ⁇ and the surface in the film thickness d when the optical density (OD) is 3.0 while changing the values of the refractive index n and the extinction coefficient k.
  • the reflectance Rf and the back surface reflectance Rb were obtained.
  • FIG. 1 is a diagram showing the relationship between the refractive index n and the extinction coefficient k, the film thickness d, the phase difference ⁇ , and the surface reflectance Rf derived from the simulation results.
  • FIG. 2 is a diagram showing the relationship between the refractive index n and the extinction coefficient k, the surface reflectance Rf, and the back surface reflectance Rb, which are derived from the simulation results. 1 and 2 also show approximate curves obtained from the respective relationships. Note that the data used to obtain approximate curves of the following formulas (a) to (m) are the data plotted in FIGS. Each approximate curve below varies somewhat depending on the calculation method.
  • the variation in the range of the refractive index n and the extinction coefficient k caused by the variation of the approximate expression has little influence on the phase difference, film thickness, front surface reflectance, and rear surface reflectance of the light shielding film, and is within an allowable range. is there.
  • n 0.0733 ⁇ k 2 + 0.4069 ⁇ k + 1.0083
  • Formula (a) n 0.0966 ⁇ k 2 + 0.3660 ⁇ k + 0.9956
  • Formula (b) n 0.0637 ⁇ k 2 ⁇ 0.1096 ⁇ k + 0.9585
  • Formula (c) n 0.0636 ⁇ k 2 ⁇ 0.0147 ⁇ k + 0.9613
  • the approximate curve when the surface reflectance Rf is 50% shown in FIG. 1 and FIG. 2 is represented by the following formula (h), and the approximate curve when the surface reflectance Rf is 45% is The approximate curve when the surface reflectance Rf is 40% is expressed by the following formula (j).
  • formula (i) data of two points plotted with a refractive index n from about 2.4 to about 2.6 is not used.
  • the approximate curve of the formula (j) one point of data in which the refractive index n is plotted from around 2.4 to around 2.6 is not used.
  • n 0.7929 ⁇ k 2 ⁇ 2.1606 ⁇ k + 2.1448
  • the thickness of the light shielding film having an OD of 3.0 can be made 80 nm or less, and the phase difference ⁇ of the light shielding film can be made 90 degrees or less. Therefore, the EMF bias of the pattern of the light shielding film is reduced, and the load when manufacturing the transfer mask from the mask blank having the light shielding film is also reduced. Furthermore, when the relationship of the expression (3) is satisfied, the surface reflectance of the light shielding film having an OD of 3.0 can be reduced to 50% or less, so that it is easy to suppress the deterioration of the projected optical image at the time of transfer exposure. As shown in FIG. 2, when the surface reflectance is 50% or less, the back surface reflectance is also 50% or less. Therefore, when the relationship of Expression (3) is satisfied, the transfer exposure due to the back surface reflection of the light shielding film. It is easy to suppress the deterioration of the projection optical image at the time.
  • the phase difference ⁇ of the light shielding film having an OD of 3.0 can be set to 80 degrees or less, so that the EMF bias of the pattern of the light shielding film is further reduced.
  • the load at the time of manufacturing a transfer mask from the mask blank is also reduced.
  • the phase difference ⁇ of the light shielding film having an OD of 3.0 can be set to ⁇ 20 degrees or more.
  • the OD is 3.0.
  • the phase difference ⁇ of a certain light shielding film can be set to 0 degree or more.
  • the conditions necessary to make the film thickness of 0) 60 nm or less are shown.
  • the surface reflectance of the light-shielding film having an OD of 3.0 can be reduced to 45% or less, so that it is easier to suppress deterioration of the projected optical image during transfer exposure.
  • the surface reflectance of the light shielding film having an OD of 3.0 can be made 40% or less, so that the deterioration of the projected optical image at the time of transfer exposure can be further suppressed.
  • the back surface reflectance is also 45% or less. Therefore, when the relationship of the formula (9) is satisfied, the transfer exposure due to the back surface reflection is performed.
  • FIG. 3 is a cross-sectional view showing the configuration of the mask blank 100 according to the embodiment of the present invention.
  • a mask blank 100 shown in FIG. 3 has a structure in which a light-shielding film 2 and a hard mask film 3 are laminated in this order on a translucent substrate 1.
  • the translucent substrate 1 is made of a material containing silicon and oxygen, and is formed of a glass material such as synthetic quartz glass, quartz glass, aluminosilicate glass, soda lime glass, low thermal expansion glass (SiO 2 —TiO 2 glass or the like). can do.
  • synthetic quartz glass has a high transmittance with respect to ArF exposure light, and is particularly preferable as a material for forming a light-transmitting substrate of a mask blank.
  • the light shielding film 2 is a single layer film formed of a silicon nitride material.
  • the silicon nitride-based material in the present invention is a material composed of silicon and nitrogen, or a material composed of silicon and nitrogen, one or more elements selected from metalloid elements and nonmetallic elements.
  • the number of manufacturing steps is reduced, the production efficiency is increased, and manufacturing quality control including defects is facilitated.
  • the light shielding film 2 is formed of a silicon nitride material, it has high ArF light resistance.
  • the light shielding film 2 may contain any metalloid element in addition to silicon.
  • metalloid elements it is preferable to include one or more elements selected from boron, germanium, antimony, and tellurium, because the conductivity of silicon used as a sputtering target can be expected to be increased.
  • the light shielding film 2 may contain any nonmetallic element in addition to nitrogen.
  • the nonmetallic element in the present invention refers to a substance containing a nonmetallic element (nitrogen, carbon, oxygen, phosphorus, sulfur, selenium, hydrogen), halogen (fluorine, chlorine, bromine, iodine, etc.) and a noble gas in a narrow sense.
  • a nonmetallic element nitrogen, carbon, oxygen, phosphorus, sulfur, selenium, hydrogen
  • halogen fluorine, chlorine, bromine, iodine, etc.
  • the light-shielding film 2 preferably has an oxygen content of 5 atomic% or less, except for a surface layer on the side of the light-transmitting substrate 1 described later and a surface layer opposite to the light-transmitting substrate 1.
  • the translucent substrate 1 is generally formed of a material mainly composed of silicon oxide such as synthetic quartz glass.
  • the light-shielding film 2 is disposed in contact with the surface of the light-transmitting substrate 1, when the light-shielding film 2 contains oxygen, the difference between the composition of the silicon nitride-based material film containing oxygen and the composition of the light-transmitting substrate is small.
  • the noble gas is an element that can increase the deposition rate and improve the productivity by being present in the deposition chamber when the light shielding film 2 is deposited by reactive sputtering.
  • this noble gas is turned into plasma and collides with the target, the target constituent element jumps out of the target, and the light shielding film 2 is formed on the light-transmitting substrate 1 while taking in the reactive gas in the middle.
  • the noble gas in the film forming chamber is slightly taken in until the target constituent element jumps out of the target and adheres to the translucent substrate 1.
  • Preferable noble gases required for this reactive sputtering include argon, krypton, and xenon.
  • helium and neon having a small atomic weight may be actively incorporated into the light shielding film 2.
  • the light shielding film 2 is preferably formed of a material composed of silicon and nitrogen.
  • the noble gas is slightly taken in when the light shielding film 2 is formed by reactive sputtering.
  • noble gases can also be detected by performing composition analysis such as Rutherford Back-Scattering Spectroscopy (RBS) or X-ray Photoelectron Spectroscopy (XPS) on thin films. Is an element that is not easy. For this reason, it can be considered that the material composed of silicon and nitrogen includes a material containing a noble gas.
  • the light shielding film 2 has a variation in the nitrogen content in the thickness direction in a region excluding the surface layer on the side of the light-transmitting substrate 1 and the surface layer on the side opposite to the light-transmitting substrate 1 (hereinafter referred to as a bulk region). It is preferably within atomic%, more preferably within 3 atomic%. If the variation is within 5 atomic%, it can be said that the composition is uniform. On the other hand, when the composition analysis by RBS or XPS is performed on the light-shielding film 2, the analysis result of the surface layer on the translucent substrate 1 side is affected by the translucent substrate 1, and therefore is the same as the bulk region. It is hard to become composition.
  • the surface layer opposite to the light-transmitting substrate 1 is unlikely to have the same composition as the bulk region because natural oxidation occurs. Further, when the surface layer on the side opposite to the light-transmitting substrate 1 is positively incorporated with oxygen, the characteristics of the light-shielding film 2 change such as the change in surface reflectance with respect to ArF exposure light by mask cleaning or storage in the air. Can be suppressed.
  • the surface layer on the light-transmitting substrate 1 side of the light-shielding film 2 refers to a region extending from the interface with the light-transmitting substrate 1 of the light-shielding film 2 to a depth of 5 nm from the opposite surface layer side.
  • the surface layer of the light shielding film 2 on the side opposite to the light transmissive substrate 1 is from the surface opposite to the light transmissive substrate 1 of the light shielding film 2 to a depth of 5 nm toward the light transmissive substrate 1 side. An area that covers a range.
  • the nitrogen content of the light shielding film 2 is preferably 50 atomic% or less, and more preferably 45 atomic% or less. When the nitrogen content exceeds 50 atomic%, the extinction coefficient k with respect to ArF exposure light becomes small, and it becomes difficult to obtain sufficient light shielding performance. Further, the nitrogen content of the light shielding film 2 is preferably 25 atomic% or more, and more preferably 30 atomic% or more. When the nitrogen content is less than 25 atomic%, the washing resistance tends to be insufficient, oxidation tends to occur, and the aging stability of the film tends to be impaired. Furthermore, when EB defect correction is performed on the light shielding film 2, spontaneous etching is likely to occur.
  • the silicon content of the light shielding film 2 is preferably 50 atomic% or more, and more preferably 55 atomic% or more. When the silicon content is less than 50 atomic%, the extinction coefficient k with respect to ArF exposure light becomes small, and it becomes difficult to obtain sufficient light shielding performance. Further, the silicon content of the light shielding film 2 is preferably 75 atomic% or less, and more preferably 70 atomic% or less. When the nitrogen content exceeds 75 atomic%, the washing resistance tends to be insufficient, oxidation tends to occur, and the aging stability of the film tends to be impaired.
  • the thickness of the light shielding film 2 is 80 nm or less, preferably 70 nm or less, and more preferably 60 nm or less. When the thickness is 80 nm or less, it becomes easy to form a fine light-shielding film pattern, the EMF bias of the light-shielding film pattern is reduced, and a load when manufacturing a transfer mask from a mask blank having this light-shielding film Is also reduced. Further, the thickness of the light shielding film 2 is preferably 40 nm or more, and more preferably 45 nm or more. When the thickness is less than 40 nm, it is difficult to obtain sufficient light shielding performance for ArF exposure light.
  • the optical density of the light shielding film 2 with respect to ArF exposure light is preferably 3.0 or more. When the optical density is 3.0 or more, sufficient light shielding performance can be obtained. For this reason, when exposure is performed using a transfer mask manufactured using this mask blank, a sufficient contrast of the projection optical image (transfer image) is easily obtained. Further, the optical density of the light shielding film 2 with respect to ArF exposure light is preferably 4.0 or less, and more preferably 3.5 or less. When the optical density exceeds 4.0, the thickness of the light-shielding film 2 becomes thick, and it becomes difficult to form a fine light-shielding film pattern.
  • the surface reflectance of the light-shielding film 2 with respect to ArF exposure light is preferably 50% or less, more preferably 45% or less, and 40% or less. More preferably. If the surface reflectance exceeds 50%, the reflection of ArF exposure light becomes too large, and the projected optical image at the time of transfer exposure tends to deteriorate.
  • the surface reflectance of the light shielding film 2 with respect to ArF exposure light is preferably 20% or more. When the surface reflectance is less than 20%, the pattern inspection sensitivity when performing mask pattern inspection using light having a wavelength of 193 nm or a wavelength in the vicinity thereof is lowered.
  • the back surface reflectance of the light shielding film 2 with respect to ArF exposure light is preferably 50% or less, more preferably 45% or less, and even more preferably 40% or less. preferable. If the back surface reflectance exceeds 50%, the reflection of the exposure light becomes too large, and the projection optical image at the time of transfer exposure tends to deteriorate.
  • the phase difference of the light shielding film 2 with respect to ArF exposure light is 90 degrees or less, and preferably 80 degrees or less.
  • the phase difference is 90 degrees or less, the EMF bias of the pattern of the light shielding film 2 is reduced, and the load when manufacturing a transfer mask from the mask blank having the light shielding film is also reduced.
  • the phase difference of the light shielding film 2 with respect to ArF exposure light is preferably ⁇ 20 degrees or more, and more preferably 0 degrees or more.
  • the refractive index n and extinction coefficient k of the light-shielding film 2 with respect to ArF exposure light satisfy the relationship defined by the following formulas (1) and (2).
  • the phase difference of the light shielding film 2 with respect to the ArF exposure light can be 90 degrees or less
  • the thickness of the light shielding film is set to 80 nm or less. be able to. For this reason, if the relationship of Formula (1) and Formula (2) is satisfied, the EMF bias of the pattern of the light shielding film 2 is reduced, and the load when manufacturing a transfer mask from the mask blank having the light shielding film is also reduced.
  • the refractive index n and the extinction coefficient k with respect to ArF exposure light satisfy
  • the surface reflectance of the light shielding film 2 can be reduced to 50% or less, and as described above, the back surface reflectance of the light shielding film 2 can also be decreased to 50% or less. .
  • the relationship of the expression (3) it becomes easy to suppress the deterioration of the projection optical image during the transfer exposure.
  • the refractive index n and the extinction coefficient k of the light shielding film 2 with respect to ArF exposure light satisfy the relationship of the following formula (4).
  • the phase difference of the light shielding film 2 with respect to ArF exposure light can be made 80 degrees or less, the EMF bias of the pattern of the light shielding film 2 is further reduced, and the mask blank having this light shielding film Therefore, the load when manufacturing the transfer mask from the above is further reduced.
  • the refractive index n and the extinction coefficient k with respect to ArF exposure light satisfy
  • the phase difference of the light shielding film 2 with respect to ArF exposure light can be set to ⁇ 20 degrees or more.
  • the position of the light shielding film 2 with respect to ArF exposure light is increased.
  • the phase difference can be 0 degree or more.
  • the refractive index n and extinction coefficient k of the light-shielding film 2 with respect to ArF exposure light preferably satisfy the relationship of the following equation (7), and more preferably satisfy the relationship of the following equation (8).
  • the thickness of the light shielding film can be reduced to 70 nm or less, the EMF bias of the pattern of the light shielding film 2 is further reduced, and a transfer mask is manufactured from the mask blank having the light shielding film. The load when doing so is also reduced.
  • the thickness of the light shielding film can be reduced to 60 nm or less, the EMF bias of the pattern of the light shielding film 2 is further reduced, and transfer from the mask blank having the light shielding film is performed.
  • the load when manufacturing a mask for the use is further reduced.
  • the refractive index n and extinction coefficient k of the light-shielding film 2 with respect to ArF exposure light preferably satisfy the relationship of the following formula (9), and more preferably satisfy the relationship of the following formula (10).
  • the surface reflectance of the light shielding film 2 can be reduced to 45% or less, and as described above, the back surface reflectance of the light shielding film 2 can also be decreased to 45% or less. Further, it becomes easier to suppress the deterioration of the projection optical image during the transfer exposure.
  • the surface reflectance of the light shielding film 2 can be made 40% or less, and as described above, the back surface reflectance of the light shielding film 2 is made 40% or less.
  • the refractive index n of the light shielding film 2 with respect to ArF exposure light is preferably 0.8 or more, more preferably 0.9 or more, and further preferably 1.0 or more.
  • n is preferably 0.8 or more, more preferably 0.9 or more, and further preferably 1.0 or more.
  • the refractive index n is less than 0.8, spontaneous etching tends to occur when EB defect correction is performed.
  • the extinction coefficient k of the light shielding film 2 with respect to ArF exposure light is preferably 2.6 or less, more preferably 2.5 or less, and even more preferably 2.4 or less. In order to make the extinction coefficient k exceed 2.6, it is necessary to significantly reduce the nitrogen content of the light shielding film 2. For this reason, if the extinction coefficient k exceeds 2.6, spontaneous etching tends to occur when EB defect correction is performed.
  • the surface layer of the light shielding film 2 is different in composition from the other regions of the light shielding film 2, and the optical characteristics are also different.
  • the light shielding film 2 is treated as a single layer film having uniform optical characteristics in the film thickness direction. Therefore, in this specification, the refractive index n and the extinction coefficient k of the light shielding film 2 refer to the refractive index n and the extinction coefficient k of the entire light shielding film 2 including the surface layer.
  • the refractive index n and extinction coefficient k of a thin film are not determined only by the composition of the thin film.
  • the film density and crystal state of the thin film are factors that influence the refractive index n and the extinction coefficient k. For this reason, various conditions when forming the light shielding film 2 by reactive sputtering are adjusted so that the light shielding film 2 has a desired refractive index n and extinction coefficient k, and the optical density and surface reflection with respect to ArF exposure light.
  • the film is formed so that the ratio, the back surface reflectance, and the phase difference are within the prescribed values.
  • the light shielding film 2 is formed by sputtering. Any sputtering such as DC sputtering, RF sputtering and ion beam sputtering is applicable. In the case of using a target with low conductivity (such as a silicon target or a silicon compound target that does not contain a metalloid element or has a low content), it is preferable to apply RF sputtering or ion beam sputtering, but the film formation rate is considered. Then, it is more preferable to apply RF sputtering.
  • a target with low conductivity such as a silicon target or a silicon compound target that does not contain a metalloid element or has a low content
  • the light shielding film 2 is a reactive sputtering in a sputtering gas containing a nitrogen-based gas and a noble gas, using a silicon target or a target made of silicon and containing at least one element selected from a metalloid element and a non-metal element. Is formed by.
  • any gas can be used as long as it contains nitrogen.
  • the light shielding film 2 preferably has a low oxygen content except for its surface layer, it is preferable to apply a nitrogen-based gas that does not contain oxygen, and to apply nitrogen gas (N 2 gas). Is more preferable.
  • nitrogen gas nitrogen gas (N 2 gas).
  • argon, krypton, and a xenon it is preferable to use argon, krypton, and a xenon.
  • helium and neon having a small atomic weight can be actively taken into the light shielding film 2.
  • a hard mask film 3 formed of a material having etching selectivity with respect to an etching gas used when etching the light shielding film 2 is further laminated on the light shielding film 2.
  • a configuration is preferable. Since the light-shielding film 2 needs to ensure a predetermined optical density, there is a limit in reducing the thickness thereof. It is sufficient that the hard mask film 3 has a film thickness that can function as an etching mask until dry etching for forming a pattern on the light shielding film 2 immediately below the hard mask film 3 is completed. Not subject to restrictions. For this reason, the thickness of the hard mask film 3 can be made much thinner than the thickness of the light shielding film 2.
  • the resist film made of an organic material is sufficient to have a thickness sufficient to function as an etching mask until dry etching for forming a pattern on the hard mask film 3 is completed.
  • the thickness of the resist film can be greatly reduced. For this reason, problems such as resist pattern collapse can be suppressed.
  • the hard mask film 3 is preferably formed of a material containing chromium (Cr).
  • the material containing chromium has particularly high dry etching resistance against dry etching using a fluorine-based gas such as SF 6 .
  • a thin film made of a material containing chromium is generally patterned by dry etching using a mixed gas of chlorine-based gas and oxygen gas.
  • this dry etching has not so high anisotropy, etching (side etching) in the side wall direction of the pattern is likely to proceed during dry etching when patterning a thin film made of a material containing chromium.
  • the thickness of the light-shielding film 2 is relatively large, so that a problem of side etching occurs during dry etching of the light-shielding film 2.
  • problems caused by side etching hardly occur.
  • the material containing chromium examples include a material containing one or more elements selected from oxygen, nitrogen, carbon, boron and fluorine in addition to chromium metal, such as CrN, CrC, CrON, CrCO, and CrCON. .
  • the film tends to be a film having an amorphous structure, and the surface roughness of the film and the line edge roughness when the light-shielding film 2 is dry-etched are preferably suppressed.
  • a material for forming the hard mask film 3 a material containing one or more elements selected from oxygen, nitrogen, carbon, boron and fluorine in chromium is used. Is preferred.
  • a chromium-based material is etched with a mixed gas of a chlorine-based gas and an oxygen gas, but chromium metal does not have a very high etching rate with respect to this etching gas.
  • By including one or more elements selected from oxygen, nitrogen, carbon, boron and fluorine in chromium it becomes possible to increase the etching rate of the mixed gas of chlorine-based gas and oxygen gas with respect to the etching gas.
  • the hard mask film 3 made of CrCO does not contain nitrogen that tends to be large in side etching with respect to dry etching using a mixed gas of chlorine-based gas and oxygen gas, contains carbon that suppresses side etching, and further etches. It is particularly preferable because it contains oxygen that improves the rate.
  • the chromium-containing material forming the hard mask film 3 may contain one or more elements of indium, molybdenum and tin. By including one or more elements of indium, molybdenum and tin, the etching rate with respect to the mixed gas of chlorine gas and oxygen gas can be further increased.
  • a material containing a metal such as tantalum can be used in addition to a metal such as tantalum (Ta) or tungsten (W).
  • the material containing tantalum in this case includes a material in which tantalum contains one or more elements selected from nitrogen, boron and carbon in addition to tantalum metal. Specific examples thereof include Ta, TaN, TaO, TaON, TaBN, TaBO, TaBON, TaCN, TaCO, TaCON, TaBCN, TaBOCN, and the like.
  • a resist film of an organic material is formed with a film thickness of 100 nm or less in contact with the surface of the hard mask film 3.
  • SRAF Sub-Resolution Assist Feature
  • the resist film is more preferably 80 nm or less in thickness.
  • HMDS hexyldisilazane
  • the mask blank of the present invention is a mask blank suitable for a binary mask application, but is not limited to a binary mask, and is not limited to a binary mask. It can also be used as a mask blank for a phase lithography mask.
  • FIG. 4 the cross-sectional schematic diagram of the process of manufacturing the transfer mask (binary mask) 200 from the mask blank 100 which is embodiment of this invention is shown.
  • the manufacturing method of the transfer mask 200 shown in FIG. 4 uses the mask blank 100 described above, and includes a step of forming a transfer pattern on the hard mask film 3 by dry etching, and a hard mask film 3 ( The method includes a step of forming a transfer pattern on the light shielding film 2 by dry etching using the hard mask pattern 3a) as a mask, and a step of removing the hard mask pattern 3a.
  • a material containing silicon and nitrogen is applied to the light shielding film 2
  • a material containing chromium is applied to the hard mask film 3.
  • a mask blank 100 (see FIG. 4A) is prepared, and a resist film is formed by spin coating in contact with the hard mask film 3.
  • a transfer pattern to be formed on the light shielding film 2 is exposed and drawn on the resist film, and a predetermined process such as a development process is performed to form a resist pattern 4a (see FIG. 4B).
  • the resist pattern 4a is removed using ashing or a resist stripping solution (see FIG. 4D).
  • a chlorine-based gas such as a mixed gas of chlorine and oxygen
  • the chlorine-based gas is not particularly limited as long as it contains Cl, and examples thereof include Cl 2 , SiCl 2 , CHCl 3 , CH 2 Cl 2 , and BCl 3 .
  • the resist pattern 4a is removed using ashing or a resist stripping solution (see FIG. 4D).
  • the fluorine-based gas any gas containing F can be used, but SF 6 is preferable. In addition to SF 6 , for example, CHF 3 , CF 4 , C 2 F 6 , C 4 F 8, and the like can be given. However, the fluorine-based gas containing C has an etching rate with respect to the transparent substrate 1 made of a glass material. Relatively high. SF 6 is preferable because damage to the translucent substrate 1 is small. Incidentally, more preferable the addition of such He as SF 6.
  • the hard mask pattern 3a is removed using a chrome etching solution, and a transfer mask 200 is obtained through a predetermined process such as cleaning (see FIG. 4F).
  • the step of removing the hard mask pattern 3a may be performed by dry etching using a mixed gas of chlorine and oxygen.
  • a chromium etching liquid the mixture containing ceric ammonium nitrate and perchloric acid can be mentioned.
  • a transfer mask 200 manufactured by the manufacturing method shown in FIG. 4 is a binary mask provided with a light-shielding film 2 (light-shielding film pattern 2 a) having a transfer pattern on a light-transmitting substrate 1.
  • the light shielding film 2 is a single layer film formed of a material containing silicon and nitrogen, and has an optical density of 3.0 or more with respect to ArF exposure light, and the refractive index n and extinction of the light shielding film 2 with respect to ArF exposure light.
  • the coefficient k satisfies the relationship defined in the following expressions (1) and (2) at the same time.
  • the matters regarding the translucent substrate 1 and the light shielding film 2 in the transfer mask 200 have the same technical features as the matters regarding the translucent substrate 1 and the light shielding film 2 of the mask blank 100.
  • the optical density of the light-shielding film pattern 2a is 3.0 or more, and the refractive index n and the extinction coefficient k of the light-shielding film pattern 2a with respect to ArF exposure light are expressed by Equations (1) and (2). Satisfy the specified relationships at the same time. For this reason, the light shielding film pattern 2a has a high light shielding performance with respect to ArF exposure light. Moreover, since the EMF bias of the pattern of the light shielding film 2 can be reduced, the transfer mask 200 can be manufactured without applying a large load.
  • the transfer mask of the present invention is not limited to a binary mask, and can be applied to a Levenson type phase shift mask and a CPL mask. That is, in the case of the Levenson type phase shift mask, the light shielding film of the present invention can be used as the light shielding film. In the case of a CPL mask, the light shielding film of the present invention can be used mainly in a region including a light shielding band on the outer periphery.
  • a pattern is exposed and transferred onto a resist film on a semiconductor substrate using the transfer mask 200 manufactured using the transfer mask 200 or the mask blank 100. It is characterized by.
  • the transfer mask 200 is set on the mask stage of an exposure apparatus using ArF excimer laser as exposure light, and a resist film on the semiconductor device.
  • the transfer pattern can be transferred to the resist film on the semiconductor device with high CD accuracy.
  • the circuit pattern is formed by dry etching the lower layer film using this resist film pattern as a mask, a highly accurate circuit pattern free from wiring short-circuiting or disconnection due to insufficient accuracy can be formed.
  • Example 1 Manufacture of mask blanks
  • a translucent substrate 1 made of synthetic quartz glass having a main surface dimension of about 152 mm ⁇ about 152 mm and a thickness of about 6.25 mm was prepared.
  • the translucent substrate 1 had its end face and main surface polished to a predetermined surface roughness, and then subjected to a predetermined cleaning process and a drying process.
  • the translucent substrate 1 is installed in a single wafer RF sputtering apparatus, a silicon (Si) target is used, and a mixed gas of krypton (Kr), helium (He), and nitrogen (N 2 ) is used as a sputtering gas.
  • the light shielding film 2 made of silicon and nitrogen was formed to a thickness of 58.8 nm on the translucent substrate 1 by reactive sputtering (RF sputtering) with the power of the RF power source set to a predetermined value.
  • the translucent substrate 1 on which the light shielding film 2 was formed was subjected to a heat treatment in the atmosphere at a heating temperature of 500 ° C. for a treatment time of 1 hour.
  • the mask blank of Example 1 has high light shielding performance.
  • phase difference of the light-shielding film 2 after heat treatment at a wavelength of 193 nm was measured using a phase shift amount measuring apparatus (MPM-193 manufactured by Lasertec Corporation), the value was 75.2 degrees.
  • the transfer mask manufactured using the mask blank of Example 1 can suppress deterioration of the projection optical image during transfer exposure.
  • the refractive index n and extinction coefficient k of the light-shielding film 2 after the heat treatment at a wavelength of 193 nm were measured.
  • the refractive index n at a wavelength of 193 nm was 1.83
  • the extinction coefficient k was 1.79.
  • the refractive index n and the extinction coefficient k of the light shielding film 2 are the conditions of the above formulas (4), (6), (8), and (10). And match with the respective values of the film thickness, optical density, phase difference, surface reflectance, and back surface reflectance.
  • the refractive index n and extinction coefficient k of the light shielding film 2 satisfy the conditions of the above expressions (4), (8), and (10), so that the above expressions (1) and (2) And the condition of equation (3) is also satisfied.
  • an optical simulation for calculating the EMF bias was performed on the light shielding film 2 of Example 1.
  • the refractive index n, extinction coefficient k, and film thickness d of the light shielding film 2 obtained by the above measurement were used as input values.
  • a design pattern applied to the optical simulation a line and space pattern with a DRAM half pitch (hp) of 40 nm was applied.
  • a dipole illumination Dipole Illumination was set.
  • the EMF bias was calculated by taking the difference between the bias (correction amount) calculated by the optical simulation by TMA and the bias (correction amount) calculated by the simulation considering the EMF effect.
  • the EMF bias was 0.5 nm. From this result, it can be said that the EMF bias of the mask blank of Example 1 is sufficiently reduced. Furthermore, it can be said that the load related to the calculation correction of the design pattern when manufacturing the transfer mask from the mask blank of Example 1 is reduced, and the complexity of the pattern actually formed on the light shielding film 2 can be suppressed.
  • the translucent substrate 1 on which the heat-shielding light-shielding film 2 is formed is placed in a single-wafer DC sputtering apparatus, and using a chromium (Cr) target, argon (Ar), nitrogen (N 2 ), Then, reactive sputtering (DC sputtering) was performed in a mixed gas atmosphere to form a hard mask film 3 made of a CrN film having a thickness of 5 nm.
  • the film composition ratio measured by XPS was 75 atomic% for Cr and 25 atomic% for N.
  • heat treatment was performed at a lower temperature (280 ° C.) than the heat treatment performed on the light shielding film 2 to adjust the stress of the hard mask film 3.
  • a mask blank 100 having a structure in which the light shielding film 2 and the hard mask film 3 were laminated on the light transmitting substrate 1 was manufactured.
  • a mask blank 100 of Example 1 (see FIG. 4A) was prepared, and a resist film made of a chemically amplified resist for electron beam drawing was formed with a thickness of 80 nm in contact with the surface of the hard mask film 3. .
  • a transfer pattern to be formed on the light shielding film 2 was drawn on the resist film with an electron beam, and predetermined development processing and cleaning processing were performed to form a resist pattern 4a (see FIG. 4B).
  • the resist pattern 4a was removed (see FIG. 4D). Subsequently, using the hard mask pattern 3a as a mask, dry etching using a fluorine-based gas (a mixed gas of SF 6 and He) is performed to form a pattern (the light shielding film pattern 2a) on the light shielding film 2 (FIG. 4E). )reference).
  • a fluorine-based gas a mixed gas of SF 6 and He
  • the hard mask pattern 3a was removed using a chromium etching solution containing ceric ammonium nitrate and perchloric acid, and a transfer mask 200 was obtained through a predetermined process such as cleaning (see FIG. 4F). ).
  • the transfer pattern is transferred to the resist film on the semiconductor device with high CD accuracy. I was able to.
  • Example 2 [Manufacture of mask blanks]
  • the mask blank of Example 2 was manufactured in the same procedure as the mask blank 100 of Example 1 except that the light shielding film was changed as follows.
  • the formation method of the light shielding film of Example 2 is as follows.
  • a translucent substrate 1 is installed in a single-wafer DC sputtering apparatus, a silicon (Si) target is used, a mixed gas of krypton (Kr), helium (He), and nitrogen (N 2 ) is used as a sputtering gas, and a DC power source
  • the light-shielding film 2 made of silicon and nitrogen was formed to a thickness of 45.7 nm on the translucent substrate 1 by reactive sputtering (DC sputtering).
  • the translucent substrate 1 on which the light shielding film 2 was formed was subjected to a heat treatment in the atmosphere at a heating temperature of 500 ° C. for a treatment time of 1 hour.
  • Example 1 the optical density (OD) of the light-shielding film 2 after the heat treatment was measured, and the value was 3.06. From this result, the mask blank of Example 2 has high light shielding performance. Moreover, although the phase difference of the light shielding film 2 after heat processing was measured similarly to Example 1, the value was not measurable. Therefore, an optical simulation was performed based on the refractive index n and extinction coefficient k of the light-shielding film 2 after the heat treatment, and the phase difference was obtained. The value was ⁇ 11.7 degrees. Moreover, when the surface reflectance and the back surface reflectance of the light-shielding film 2 after the heat treatment were measured in the same manner as in Example 1, the values were 54.3% and 52.1%, respectively.
  • Example 1 when the refractive index n and extinction coefficient k of the light-shielding film 2 after the heat treatment were measured, the refractive index n was 1.16 and the extinction coefficient k was 2.40. From the values of the refractive index n and the extinction coefficient k, the refractive index n and the extinction coefficient k of the light-shielding film 2 after the heat treatment satisfy the conditions of the expressions (4), (5), and (8), It matches with each value of said film thickness, optical density, phase difference, surface reflectance, and back surface reflectance. The refractive index n and the extinction coefficient k of the light shielding film 2 satisfy the conditions of the above expressions (4) and (8), and therefore also satisfy the conditions of the above expressions (1) and (2).
  • Example 2 As in Example 1, when the EMF bias of the light shielding film 2 was determined, it was 3.6 nm. From this result, it can be said that the mask blank of Example 2 can sufficiently reduce the EMF bias. Furthermore, it can be said that the load related to the correction calculation of the design pattern when manufacturing the transfer mask from the mask blank of Example 2 is reduced, and the complexity of the pattern actually formed on the light shielding film 2 can be suppressed.
  • Comparative Example 1 Manufacture of mask blanks
  • the mask blank of Comparative Example 1 was manufactured in the same procedure as the mask blank 100 of Example 1 except that the light shielding film was changed as follows.
  • the formation method of the light shielding film of Comparative Example 1 is as follows.
  • a translucent substrate 1 is installed in a single wafer RF sputtering apparatus, a silicon (Si) target is used, a mixed gas of krypton (Kr), helium (He), and nitrogen (N 2 ) is used as a sputtering gas, and an RF power source
  • RF sputtering reactive sputtering
  • the translucent substrate 1 on which the light-shielding film was formed was subjected to a heat treatment in the atmosphere at a heating temperature of 500 ° C. and a treatment time of 1 hour.
  • Example 1 when the optical density (OD) of the light-shielding film after the heat treatment was measured, the value was 3.01. From this result, the mask blank of Comparative Example 1 has sufficient light shielding performance. Moreover, although the phase difference of the light shielding film after heat processing was measured similarly to Example 1, the value was not measurable. Therefore, when the phase difference was obtained by simulation based on the refractive index n and extinction coefficient k of the light-shielding film after the heat treatment, the value was 129.9 degrees. Moreover, when the surface reflectance and the back surface reflectance of the light-shielding film after the heat treatment were measured in the same manner as in Example 1, the values were 29.4% and 19.6%, respectively.
  • the refractive index n and the extinction coefficient k of the light-shielding film 2 after the heat treatment satisfy the expressions (7) and (10). It does not satisfy
  • Example 1 As in Example 1, when the EMF bias of the light shielding film was determined, it was 8.2 nm. From this result, it can be said that the mask blank of Comparative Example 1 cannot sufficiently reduce the EMF bias. Furthermore, it can be said that the load relating to the correction calculation of the design pattern when manufacturing the transfer mask from the mask blank of Comparative Example 1 is excessive, and the pattern actually formed on the light shielding film 2 is also complicated.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)

Abstract

窒化ケイ素系材料から形成された単層膜で構成された遮光膜(2)が、ArF露光光に対する高い遮光性能を有するとともに、遮光膜のパターンのEMFバイアスを低減することができるマスクブランク(100)を提供する。マスクブランクは、透光性基板(1)上に、遮光膜を備える。遮光膜は、ArF露光光に対する光学濃度が3.0以上である。遮光膜のArF露光光に対する屈折率nおよび消衰係数kは、以下の式(1)と式(2)に規定する関係を同時に満たす。 n≦0.0733×k2+0.4069×k+1.0083・・・式(1) n≧29.316×k2-92.292×k+72.671・・・式(2)

Description

マスクブランク、転写用マスクおよび半導体デバイスの製造方法
 本発明は、マスクブランク、そのマスクブランクを用いて製造された転写用マスクおよびその転写用マスクを用いた半導体デバイスの製造方法に関するものである。
 一般に、半導体デバイスの製造工程では、フォトリソグラフィ法を用いて微細パターンの形成が行われている。また、この微細パターンの形成には、通常何枚もの転写用マスクが使用される。半導体デバイスのパターンを微細化するに当たっては、転写用マスクに形成されるマスクパターンの微細化に加え、フォトリソグラフィで使用される露光光源の波長の短波長化が必要となる。半導体デバイス製造の際に用いられる露光光源は、近年ではKrFエキシマレーザー(波長248nm)から、ArFエキシマレーザー(波長193nm)へと短波長化が進んでいる。
 転写用マスクの一種に、ハーフトーン型位相シフトマスクがある。ハーフトーン型位相シフトマスクの位相シフト膜には、モリブデンシリサイド(MoSi)系の材料が広く用いられる。しかし、特許文献1に開示されている通り、MoSi系膜は、ArFエキシマレーザーの露光光(以下、ArF露光光という。)に対する耐性(いわゆるArF耐光性)が低いということが近年判明している。特許文献1では、パターンが形成された後のMoSi系膜に対し、プラズマ処理、UV照射処理、または加熱処理を行い、MoSi系膜のパターンの表面に不動態膜を形成することで、ArF耐光性が高められている。
 一方、特許文献2では、SiNからなる位相シフト膜を備える位相シフトマスクが開示されており、特許文献3では、SiNからなる位相シフト膜は高いArF耐光性を有することが確認されたことが記されている。
特開2010-217514号公報 特開平7-159981号公報 特開2014-137388号公報
 転写用マスクにおけるArF耐光性の問題は、位相シフトマスクに限らず、バイナリマスクでも生じている。近年、バイナリマスクの遮光パターンに対する微細化が進んできている。従来広く用いられてきたクロム系材料の遮光膜は、比較的異方性が低いエッチングガス(塩素系ガスと酸素ガスの混合ガス)によるドライエッチングでパターニングするため、微細化の要求にこたえることが難しくなってきている。そのため、近年では、バイナリマスクを製造するためのマスクブランクの遮光膜にモリブデンシリサイド系材料が用いられ始めている。しかし、上述の通り、遷移金属シリサイド系材料の薄膜はArF耐光性が低いという問題を有している。この問題を解決するには、位相シフト膜の場合と同様、窒化ケイ素系材料をバイナリマスクの遮光膜に適用することが最も単純なアプローチである。バイナリマスクの遮光膜は、ArF露光光に対する高い遮光性能(例えば、ArF露光光に対する光学濃度(OD)が3.0以上)が求められる。また、バイナリマスクの遮光膜は、位相シフト膜に比べてArF露光光に対する表面反射率(遮光膜の基板とは反対側の表面の反射率)および裏面反射率(遮光膜の基板側の表面の反射率)が高くなりやすい。従来のクロム系材料や遷移金属シリサイド系材料の遮光膜の場合、遮光膜を遮光層と反射防止層の積層構造とし、ArF露光光に対する表面反射率および裏面反射率を低減することが行われている。窒化ケイ素系材料は、クロム系材料や遷移金属シリサイド系材料に比べてArF露光光に対する遮光性能が低い。
 近年のバイナリマスクでは、遮光膜のパターンの膜厚が厚いと、電磁界(EMF:Electromagnetic Field)効果に起因するバイアス(パターン線幅等の補正量。以下、これをEMFバイアスという。)が大きくなるという問題が生じている。遮光膜のパターンのEMFバイアスを低減するには、遮光膜の膜厚を薄くすることと、遮光膜の位相差(遮光膜を通過する露光光と遮光膜の厚さと同じ距離だけ空気中を透過した露光光との間の位相差。)を小さくすることが有効である。
 遮光性能が比較的低い窒化ケイ素系材料で遮光膜を形成する場合、高い遮光性能を確保するために、遮光膜の膜厚を厚くする必要がある。このため、遮光膜のパターンのEMFバイアスを低減することは容易ではなく、問題となっていた。
 EMFバイアスは、ウェハ上のレジストへの転写パターン線幅のCD精度に大きな影響を与える。このため、電磁界効果のシミュレーションを行い、EMFバイアスによる影響を抑制するための転写用マスクに作製する転写パターンの補正を行う必要がある。この転写パターンの補正計算は、EMFバイアスが大きいほど複雑化する。また、補正後の転写パターンもEMFバイアスが大きいほど複雑化していき、転写用マスクの作製に大きな負荷がかかる。
 そこで、本発明は、従来の課題を解決するためになされたものであり、透光性基板上に、遮光膜を備えたマスクブランクにおいて、窒化ケイ素系材料から形成された単層膜で構成された遮光膜が、ArF露光光に対する高い遮光性能を有するとともに、遮光膜のパターンのEMFバイアスを低減することができるマスクブランクを提供することを目的とする。また、本発明は、このマスクブランクを用いて製造される転写用マスクを提供することを目的とする。さらに、本発明は、このような転写用マスクを用いた半導体デバイスの製造方法を提供することを目的とする。
 前記の課題を達成するため、本発明は以下の構成を有する。
(構成1)
 透光性基板上に、遮光膜を備えたマスクブランクであって、
 前記遮光膜は、ケイ素と窒素とからなる材料、または半金属元素および非金属元素から選ばれる1以上の元素とケイ素と窒素とからなる材料で形成された単層膜であり、
 前記遮光膜は、ArFエキシマレーザーの露光光に対する光学濃度が3.0以上であり、
 前記遮光膜の前記露光光に対する屈折率nおよび消衰係数kは、以下の式(1)と式(2)に規定する関係を同時に満たす
ことを特徴とするマスクブランク。
 n≦0.0733×k+0.4069×k+1.0083・・・式(1)
 n≧29.316×k-92.292×k+72.671・・・式(2)
(構成2)
 前記遮光膜は、前記消衰係数kが2.6以下であることを特徴とする構成1記載のマスクブランク。
(構成3)
 前記遮光膜は、前記屈折率nが0.8以上であることを特徴とする構成1または2に記載のマスクブランク。
(構成4)
 前記遮光膜の前記屈折率nおよび消衰係数kは、さらに以下の式(3)に規定する関係を満たすことを特徴とする構成1から3のいずれかに記載のマスクブランク。
 n≧0.7929×k-2.1606×k+2.1448・・・式(3)
(構成5)
 前記遮光膜は、前記透光性基板側の表層と前記透光性基板とは反対側の表層とを除いた領域における厚さ方向の窒素含有量のバラつきが5原子%以内であることを特徴とする構成1から4のいずれかに記載のマスクブランク。
(構成6)
 前記遮光膜上にクロムを含有する材料からなるハードマスク膜を備えることを特徴とする構成1から5のいずれかに記載のマスクブランク。
(構成7)
 透光性基板上に、転写パターンを有する遮光膜を備えた転写用マスクであって、
 前記遮光膜は、ケイ素と窒素とからなる材料、または半金属元素および非金属元素から選ばれる1以上の元素とケイ素と窒素とからなる材料で形成された単層膜であり、
 前記遮光膜は、ArFエキシマレーザーの露光光に対する光学濃度が3.0以上であり、
 前記遮光膜の前記露光光に対する屈折率nおよび消衰係数kは、以下の式(1)と式(2)に規定する関係を同時に満たす
ことを特徴とする転写用マスク。
 n≦0.0733×k+0.4069×k+1.0083・・・式(1)
 n≧29.316×k-92.292×k+72.671・・・式(2)
(構成8)
 前記遮光膜は、前記消衰係数kが2.6以下であることを特徴とする構成7記載の転写用マスク。
(構成9)
 前記遮光膜は、前記屈折率nが0.8以上であることを特徴とする構成7または8に記載の転写用マスク。
(構成10)
 前記遮光膜の前記屈折率nおよび消衰係数kは、さらに以下の式(3)に規定する関係を満たすことを特徴とする構成7から9のいずれかに記載の転写用マスク。
 n≧0.7929×k-2.1606×k+2.1448・・・式(3)
(構成11)
 前記遮光膜は、前記透光性基板側の表層と前記透光性基板とは反対側の表層とを除いた領域における厚さ方向の窒素含有量のバラつきが5原子%以内であることを特徴とする構成7から10のいずれかに記載の転写用マスク。
(構成12)
 構成7から11のいずれかに記載の転写用マスクを用い、半導体基板上のレジスト膜に転写パターンを露光転写する工程を備えることを特徴とする半導体デバイスの製造方法。
 本発明のマスクブランクは、透光性基板上に、遮光膜を備え、その遮光膜が、窒化ケイ素系材料で形成された単層膜であり、ArF露光光に対する光学濃度が3.0以上であり、ArF露光光に対する遮光膜の屈折率nおよび消衰係数kが、以下の式(1)と式(2)に規定する関係を同時に満たすことを特徴とする。このような遮光膜の構成とすることにより、ArF露光光に対する遮光膜の光学濃度が3.0以上であり、かつ、ArF露光光に対する遮光膜の屈折率nおよび消衰係数kが以下の式(1)と式(2)に規定する関係を同時に満たすため、遮光膜が、ArF露光光に対する高い遮光性能を有するとともに、遮光膜のパターンのEMFバイアスを低減することができる。
 n≦0.0733×k+0.4069×k+1.0083・・・式(1)
 n≧29.316×k-92.292×k+72.671・・・式(2)
 また、本発明の転写用マスクは、転写パターンを有する遮光膜が上記の本発明のマスクブランクの遮光膜と同様の構成としていることを特徴としている。このような転写用マスクとすることにより、遮光膜のパターンのEMFバイアスが低減されるため、大きな負荷をかけることなく、作製することができる。
シミュレーション結果から導き出された、屈折率nおよび消衰係数kと、膜厚d、位相差φおよび表面反射率Rfとの関係を示す図である。 シミュレーション結果から導き出された、屈折率nおよび消衰係数kと、表面反射率Rfおよび裏面反射率Rbとの関係を示す図である。 本発明の実施形態におけるマスクブランクの構成を示す断面図である。 本発明の実施形態における転写用マスクの製造工程を示す断面図である。
 先ず、本発明の完成に至った経緯を述べる。
 遮光性能が低下する要因となる酸素や窒素を含有しないケイ素系材料(例えば、ケイ素からなる材料)で遮光膜を形成した場合、所定の光学濃度(例えば、ArF露光光に対する光学濃度(OD)が3.0以上)を有する遮光膜をより薄い膜厚で形成することが可能である。しかし、他の元素と未結合のケイ素原子は、空気中の酸素と結合しやすい傾向がある。透光性基板上にケイ素からなる遮光膜を備えるマスクブランクを製造した場合、その製造後に大気中に放置すると酸化が進んでいき、遮光膜が形成した当初の光学特性(とくに遮光性能)から大きく変化してしまうという問題が生じる。
 一方、近年のマスクブランクから転写用マスクを製造するプロセスにおいて、遮光膜のパターンに対してマスク検査を行った結果、黒欠陥が検出された場合、EB欠陥修正技術でその黒欠陥を修正することが広く行われている。このEB欠陥修正は、XeFガス等の非励起状態のフッ素系ガスを黒欠陥の周囲に供給しつつ、黒欠陥部分に電子線を照射することで黒欠陥を除去するものである。このEB欠陥修正は、電子線の照射で励起された遮光膜の部分(黒欠陥部分)の非励起状態のフッ素系ガスに対するエッチングレートと励起していない遮光膜の部分の非励起状態のフッ素系ガスに対するエッチングレートとのエッチングレート差が十分に確保されることで、黒欠陥部分のみを除去することが可能となる。酸素や窒素を含有しないケイ素系材料は、この非励起状態のフッ素系ガスに対する耐性が低く、電子線の照射を受けていない状態(励起していない状態)でもエッチング(これを自発性エッチングという。)が進行しやすい。このため、酸素や窒素を含有しないケイ素系材料からなる遮光膜は、EB欠陥修正を行った場合、黒欠陥以外の遮光膜のパターン側壁の自発性エッチングが進行しやすいという問題がある。
 上記の2つの問題があるため、遮光膜を形成する材料には、ケイ素に窒素や酸素を含有させた材料を適用する必要がある。ケイ素に酸素を含有させた材料は、ケイ素に窒素を含有させた材料に比べて遮光性能の低下が著しい。これらのことを考慮すると、ケイ素系材料で遮光膜を形成する場合、ケイ素に窒素を含有させた材料(窒化ケイ素系材料)を適用することが好ましいといえる。窒化ケイ素系材料の遮光膜は、膜中の窒素含有量を増加させていくにつれて、その遮光膜のArF露光光に対する消衰係数k(以下、単に消衰係数kという。)が低下しつつ、ArF露光光に対する屈折率n(以下、単に屈折率nという。)が上昇するという特性がある。遮光膜の消衰係数kが低下するにつれ、遮光膜の光学濃度は低下するため、遮光膜に所定の光学濃度を確保させるためには、遮光膜の膜厚を厚くする必要が生じてしまう。また、遮光膜の屈折率nが上昇するにつれて、遮光膜の位相差が大きくなってしまう。遮光膜の屈折率nの上昇、消衰係数kの低下は、ともに遮光膜のEMFバイアスが大きくなることに繋がる。このため、窒化ケイ素系材料の遮光膜の屈折率nと消衰係数kを所定の範囲になるように制御する必要がある。
 ここで、本発明者らは、バイナリマスクを製造するためのマスクブランクの遮光膜が、所定の光学濃度(OD=3.0以上)を有しつつ、EMFバイアスが十分に低減されるという2つの条件を同時に満たすことが可能な遮光膜の屈折率nと消衰係数kの関係について、鋭意研究を行った。その結果、以降に示す構成の遮光膜であれば、ArF露光光に対する所定の光学濃度を確保でき、さらに遮光膜のEMFバイアスを十分に低減できるという結論に至り、本発明を完成させた。
 本発明を完成させるに当たり、先ず、遮光膜の光学シミュレーションを行った。光学シミュレーションでは、露光光をArFエキシマレーザーとし、遮光膜を光学的に均一な物質からなる単層構造の薄膜と仮定し、屈折率nが0.8~2.6の範囲および消衰係数kが1.0~2.6の範囲において、屈折率nおよび消衰係数kのそれぞれの値を変えながら、光学濃度(OD)が3.0になるときの膜厚dにおける位相差φおよび表面反射率Rfおよび裏面反射率Rbを求めた。
 その後、このシミュレーション結果を元に、屈折率nおよび消衰係数kと位相差φとの関係、屈折率nおよび消衰係数kと膜厚dとの関係、屈折率nおよび消衰係数kと表面反射率Rfとの関係、および屈折率nおよび消衰係数kと裏面反射率Rbとの関係を整理した。そして、整理した関係を元に、位相差φが90度、80度、0度および-20度のそれぞれの場合における屈折率nおよび消衰係数kとの関係(図1)、膜厚dが80nm、70nmおよび60nmのそれぞれの場合における屈折率nおよび消衰係数kとの関係(図1)、表面反射率Rfが50%、45%および40%のそれぞれの場合における屈折率nおよび消衰係数kとの関係(図1、図2)、ならびに、裏面反射率Rbが50%、45%および40%のそれぞれの場合における屈折率nおよび消衰係数kとの関係(図2)を求めた。図1および図2は、このようにして求められた関係をプロットしたものである。
 図1は、シミュレーション結果から導き出された、屈折率nおよび消衰係数kと、膜厚d、位相差φおよび表面反射率Rfとの関係を示す図である。図2は、シミュレーション結果から導き出された、屈折率nおよび消衰係数kと、表面反射率Rfおよび裏面反射率Rbとの関係を示す図である。図1および図2では、それぞれの関係から得られる近似曲線も示している。なお、以下の式(a)~式(m)の近似曲線を得るために使用したデータは、図1および図2中にプロットされているデータである。また、以下の各近似曲線は、算出方式によって多少変動する。しかし、その近似式の変動で生じる屈折率nおよび消衰係数kの範囲の変動が、遮光膜の位相差、膜厚、表面反射率および裏面反射率に与える影響は小さく、許容される範囲である。
 図1中に示される、位相差φが90度の場合の近似曲線は、以下の式(a)で表わされ、位相差φが80度の場合の近似曲線は、以下の式(b)で表わされ、位相差φが-20度の場合の近似曲線は、以下の式(c)で表わされ、位相差φが0度の場合の近似曲線は、以下の式(d)で表わされる。
 n=0.0733×k+0.4069×k+1.0083・・・式(a)
 n=0.0966×k+0.3660×k+0.9956・・・式(b)
 n=0.0637×k-0.1096×k+0.9585・・・式(c)
 n=0.0636×k-0.0147×k+0.9613・・・式(d)
 図1中に示される、膜厚dが80nmの場合の近似曲線は、以下の式(e)で表わされ、膜厚dが70nmの場合の近似曲線は、以下の式(f)で表わされ、膜厚dが60nmの場合の近似曲線は、以下の式(g)で表わされる。
 n=29.316×k-92.292×k+72.671・・・式(e)
 n=23.107×k-82.037×k+73.115・・・式(f)
 n=12.717×k-54.382×k+58.228・・・式(g)
 図1および図2中に示される、表面反射率Rfが50%の場合の近似曲線は、以下の式(h)で表わされ、表面反射率Rfが45%の場合の近似曲線は、以下の式(i)で表わされ、表面反射率Rfが40%の場合の近似曲線は、以下の式(j)で表わされる。なお、式(i)の近似曲線を得るに当たって、屈折率nが2.4付近から2.6付近にかけてプロットされている2点のデータは使用していない。また、式(j)の近似曲線を得るに当たって、屈折率nが2.4付近から2.6付近にかけてプロットされている1点のデータは使用していない。
 n=0.7929×k-2.1606×k+2.1448・・・式(h)
 n=1.7917×k-9.1446×k+16.519×k-9.5626・・・式(i)
 n=15.539×k-103.99×k+260.83×k-289.22×k+120.12・・・式(j)
 図2中に示される、裏面反射率Rbが50%の場合の近似曲線は、以下の式(k)で表わされ、裏面反射率Rbが45%の場合の近似曲線は、以下の式(l)で表わされ、裏面反射率Rbが40%の場合の近似曲線は、以下の式(m)で表わされる。
 n=0.6198×k-2.1796×k+2.6451・・・式(k)
 n=0.2357×k-0.2976×k+0.5410・・・式(l)
 n=0.3457×k-0.5539×k+0.8005・・・式(m)
 以下の式(1)は、ArF露光光における遮光膜(OD=3.0)の位相差φを90度以下にするために必要な条件を示す。以下の式(2)は、遮光膜(OD=3.0)の膜厚を80nm以下にするために必要な条件を示す。以下の式(3)は、ArF露光光に対する遮光膜(OD=3.0)の表面反射率を50%以下にするために必要な条件を示す。
 n≦0.0733×k+0.4069×k+1.0083・・・式(1)
 n≧29.316×k-92.292×k+72.671・・・式(2)
 n≧0.7929×k-2.1606×k+2.1448・・・式(3)
 式(1)と式(2)の関係を同時に満たすとき、ODが3.0である遮光膜の膜厚を80nm以下としつつ、その遮光膜の位相差φを90度以下にすることができるため、遮光膜のパターンのEMFバイアスが低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷も軽減される。さらに、式(3)の関係を満たすとき、ODが3.0である遮光膜の表面反射率を50%以下にすることができるため、転写露光の際の投影光学像の劣化を抑えやすい。なお、図2に示すように、表面反射率が50%以下のとき、裏面反射率も50%以下であるため、式(3)の関係を満たすとき、遮光膜の裏面反射に起因する転写露光の際の投影光学像の劣化を抑えやすい。
 以下の式(4)は、ArF露光光における遮光膜(OD=3.0)の位相差φを80度以下にするために必要な条件を示す。以下の式(5)は、ArF露光光における遮光膜(OD=3.0)の位相差φを-20度以上にするために必要な条件を示し、以下の式(6)は、ArF露光光における遮光膜(OD=3.0)の位相差φを0度以上にするために必要な条件を示す。
 n≦0.0966×k+0.3660×k+0.9956・・・式(4)
 n≧0.0637×k-0.1096×k+0.9585・・・式(5)
 n≧0.0636×k-0.0147×k+0.9613・・・式(6)
 式(4)の関係を満たすとき、ODが3.0である遮光膜の位相差φを80度以下にすることができるため、遮光膜のパターンのEMFバイアスがより低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷もより軽減される。
 式(5)の関係を満たすとき、ODが3.0である遮光膜の位相差φを-20度以上にすることができ、式(6)の関係を満たすとき、ODが3.0である遮光膜の位相差φを0度以上にすることができる。
 以下の式(7)は、遮光膜(OD=3.0)の膜厚を70nm以下にするために必要な条件を示し、また、以下の式(8)は、遮光膜(OD=3.0)の膜厚を60nm以下にするために必要な条件を示す。
 n≧23.107×k-82.037×k+73.115・・・式(7)
 n≧12.717×k-54.382×k+58.228・・・式(8)
 式(7)の関係を満たすとき、ODが3.0である遮光膜の膜厚を70nm以下にすることができるため、遮光膜のパターンのEMFバイアスがより低減され、また、式(8)の関係を満たすとき、ODが3.0である遮光膜の膜厚を60nm以下にすることができるため、遮光膜のパターンのEMFバイアスがさらに低減される。
 以下の式(9)は、ArF露光光に対する遮光膜(OD=3.0)の表面反射率を45%以下にするために必要な条件を示し、以下の式(10)は、ArF露光光に対する遮光膜(OD=3.0)の表面反射率を40%以下にするために必要な条件を示す。
 n≧1.7917×k-9.1446×k+16.519×k-9.5626・・・式(9)
 n≧15.539×k-103.99×k+260.83×k-289.22×k+120.12・・・式(10)
 式(9)の関係を満たすとき、ODが3.0である遮光膜の表面反射率を45%以下にすることができるため、転写露光の際の投影光学像の劣化をより抑えやすく、また、式(10)の関係を満たすとき、ODが3.0である遮光膜の表面反射率を40%以下にすることができるため、転写露光の際の投影光学像の劣化をさらに抑えやすい。なお、図2に示すように、表面反射率が45%以下のとき、裏面反射率も45%以下であるため、式(9)の関係を満たすとき、裏面反射に起因する転写露光の際の投影光学像の劣化をより抑えやすく、また、表面反射率が40%以下のとき、裏面反射率も40%以下であるため、式(10)の関係を満たすとき、裏面反射に起因する転写露光の際の投影光学像の劣化をさらに抑えやすい。
 次に、本発明の各実施の形態について説明する。
 図3は、本発明の実施形態に係るマスクブランク100の構成を示す断面図である。
 図3に示すマスクブランク100は、透光性基板1上に、遮光膜2およびハードマスク膜3がこの順に積層された構造を有する。
[[透光性基板]]
 透光性基板1は、ケイ素と酸素を含有する材料からなり、合成石英ガラス、石英ガラス、アルミノシリケートガラス、ソーダライムガラス、低熱膨張ガラス(SiO-TiOガラス等)などのガラス材料で形成することができる。これらの中でも、合成石英ガラスは、ArF露光光に対する透過率が高く、マスクブランクの透光性基板を形成する材料として特に好ましい。
[[遮光膜]]
 遮光膜2は、窒化ケイ素系材料で形成された単層膜である。本発明における窒化ケイ素系材料は、ケイ素と窒素とからなる材料、または半金属元素および非金属元素から選ばれる1以上の元素とケイ素と窒素とからなる材料である。また、単層膜とすることにより、製造工程数が少なくなって生産効率が高くなるとともに欠陥を含む製造品質管理が容易になる。また、遮光膜2は、窒化ケイ素系材料で形成されるため、ArF耐光性が高い。
 遮光膜2は、ケイ素に加え、いずれの半金属元素を含有してもよい。この半金属元素の中でも、ホウ素、ゲルマニウム、アンチモンおよびテルルから選ばれる1以上の元素を含有させると、スパッタリングターゲットとして用いるケイ素の導電性を高めることが期待できるため、好ましい。
 また、遮光膜2は、窒素に加え、いずれの非金属元素を含有してもよい。本発明における非金属元素は、狭義の非金属元素(窒素、炭素、酸素、リン、硫黄、セレン、水素)、ハロゲン(フッ素、塩素、臭素、ヨウ素等)および貴ガスを含むものをいう。この非金属元素の中でも、炭素、フッ素および水素から選ばれる1以上の元素を含有させると好ましい。遮光膜2は、後述する透光性基板1側の表層および透光性基板1とは反対側の表層を除き、酸素の含有量を5原子%以下に抑えることが好ましく、3原子%以下とすることがより好ましく、積極的に酸素を含有させることをしない(X線光電子分光分析等による組成分析を行ったときに検出下限値以下。)ことがさらに好ましい。窒化ケイ素系材料に酸素を含有させると、消衰係数kが低下し、十分な遮光性能が得られにくくなる。また、透光性基板1は、合成石英ガラス等の酸化ケイ素を主成分とする材料で形成されていることが一般的である。遮光膜2が透光性基板1の表面に接して配置される場合、遮光膜2が酸素を含有すると、酸素を含む窒化ケイ素系材料膜の組成と透光性基板の組成との差が小さくなり、遮光膜2にパターンを形成するときに行われるフッ素系ガスによるドライエッチングにおいて、透光性基板1に接する遮光膜2と透光性基板1との間でエッチング選択性が得られにくくなることがある。また、遮光膜2の酸素含有量が多いと、EB欠陥修正をおこなったときの修正レートが大幅に遅くなる。
 貴ガスは、反応性スパッタリングで遮光膜2を成膜する際に成膜室内に存在することによって成膜速度を大きくし、生産性を向上させることができる元素である。この貴ガスがプラズマ化し、ターゲットに衝突することでターゲットからターゲット構成元素が飛び出し、途中、反応性ガスを取りこみつつ、透光性基板1上に遮光膜2が形成される。このターゲット構成元素がターゲットから飛び出し、透光性基板1に付着するまでの間に成膜室中の貴ガスがわずかに取り込まれる。この反応性スパッタリングで必要とされる貴ガスとして好ましいものとしては、アルゴン、クリプトン、キセノンが挙げられる。また、遮光膜2の応力を緩和するために、原子量の小さいヘリウム、ネオンを遮光膜2に積極的に取りこませてもよい。
 遮光膜2は、ケイ素と窒素とからなる材料で形成されることが好ましい。貴ガスは、上記のように、反応性スパッタリングで遮光膜2を成膜する際にわずかに取り込まれる。しかしながら、貴ガスは、薄膜に対してラザフォード後方散乱分析(RBS:Rutherford Back-Scattering Spectrometry)やX線光電子分光分析(XPS:X-ray Photoelectron Spectroscopy)のような組成分析を行っても検出することが容易ではない元素である。このため、上記のケイ素と窒素とからなる材料には、貴ガスを含有する材料も包含しているとみなすことができる。
 遮光膜2は、透光性基板1側の表層と透光性基板1とは反対側の表層とを除いた領域(以下、バルク領域という。)における厚さ方向の窒素含有量のバラつきが5原子%以内であると好ましく、3原子%以内であるとより好ましい。バラつきが5原子%以内であれば、組成が均一であると言える。一方、上記のRBSやXPSによる組成分析を遮光膜2に対して行った場合、透光性基板1側の表層の分析結果は、透光性基板1からの影響を受けるため、バルク領域と同一組成にはなりにくい。また、透光性基板1とは反対側の表層は、自然酸化が起こるため、バルク領域と同一組成になりにくい。また、透光性基板1とは反対側の表層は、積極的に酸素を含有させると、マスク洗浄や大気中での保管によるArF露光光に対する表面反射率の変化などの遮光膜2の特性変化を抑制することができる。透光性基板1とは反対側の表層に積極的に酸素を含有させる方法として、遮光膜2をスパッタリングにより成膜した後で、大気中などの酸素を含有する気体中における加熱処理、大気中などの酸素を含有する気体中でのフラッシュランプ等の光照射処理、オゾンや酸素プラズマを遮光膜の表面に接触させる処理などの後処理を追加する方法が挙げられる。なお、遮光膜2の透光性基板1側の表層とは、遮光膜2の透光性基板1との界面から反対側の表層側に向かって5nmの深さまでの範囲にわたる領域のことをいう。また、遮光膜2の透光性基板1とは反対側の表層とは、遮光膜2の透光性基板1とは反対側の表面から透光性基板1側に向かって5nmの深さまでの範囲にわたる領域のことをいう。
 遮光膜2の窒素含有量は、50原子%以下であると好ましく、45原子%以下であるとより好ましい。窒素含有量が50原子%を超えるとArF露光光に対する消衰係数kが小さくなり、十分な遮光性能が得られにくくなる。また、遮光膜2の窒素含有量は、25原子%以上であると好ましく、30原子%以上であるとより好ましい。窒素含有量が25原子%未満であると洗浄耐性が不足しやすく、また酸化が起こりやすく、膜の経時安定性が損なわれやすくなる。さらに、遮光膜2に対してEB欠陥修正を行う場合、自発性エッチングが発生しやすくなる。
 遮光膜2のケイ素含有量は、50原子%以上であると好ましく、55原子%以上であるとより好ましい。ケイ素含有量が50原子%未満であるとArF露光光に対する消衰係数kが小さくなり、十分な遮光性能が得られにくくなる。また、遮光膜2のケイ素含有量は、75原子%以下であると好ましく、70原子%以下であるとより好ましい。窒素含有量が75原子%を超えると洗浄耐性が不足しやすく、また酸化が起こりやすく、膜の経時安定性が損なわれやすくなる。
 遮光膜2の厚さは、80nm以下であり、70nm以下であると好ましく、60nm以下であるとより好ましい。厚さが80nm以下であると微細な遮光膜のパターンを形成しやすくなり、また、遮光膜のパターンのEMFバイアスが低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷も軽減される。また、遮光膜2の厚さは、40nm以上であると好ましく、45nm以上であるとより好ましい。厚さが40nm未満であると、ArF露光光に対する十分な遮光性能が得られにくくなる。
 ArF露光光に対する遮光膜2の光学濃度は、3.0以上であると好ましい。光学濃度が3.0以上であると十分な遮光性能が得られる。このため、このマスクブランクを用いて製造された転写用マスクを用いて露光を行ったとき、その投影光学像(転写像)の十分なコントラストが得られやすくなる。また、ArF露光光に対する遮光膜2の光学濃度は、4.0以下であると好ましく、3.5以下であるとより好ましい。光学濃度が4.0を超えると、遮光膜2の膜厚が厚くなり、微細な遮光膜のパターンを形成しにくくなる。
 ArF露光光に対する遮光膜2の表面反射率(透光性基板1とは反対側の面の反射率)は、50%以下であると好ましく、45%以下であるとより好ましく、40%以下であるとさらに好ましい。表面反射率が50%を超えるとArF露光光の反射が大きくなりすぎて転写露光の際の投影光学像が劣化しやすくなる。また、ArF露光光に対する遮光膜2の表面反射率は、20%以上であると好ましい。表面反射率が20%未満であると、波長193nmまたはその近傍の波長の光を用いてマスクパターン検査を行うときのパターン検査感度が低下する。
 ArF露光光に対する遮光膜2の裏面反射率(透光性基板1側の面の反射率)は、50%以下であると好ましく、45%以下であるとより好ましく、40%以下であるとさらに好ましい。裏面反射率が50%を超えると露光光の反射が大きくなりすぎて転写露光の際の投影光学像が劣化しやすくなる。
 ArF露光光に対する遮光膜2の位相差は、90度以下であり、80度以下であると好ましい。位相差が90度以下であると、遮光膜2のパターンのEMFバイアスが低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷も軽減される。また、ArF露光光に対する遮光膜2の位相差は、-20度以上であると好ましく、0度以上であるとより好ましい。
 ArF露光光に対する遮光膜2の屈折率nおよび消衰係数kは、以下の式(1)および式(2)に規定する関係を満たす。式(1)の関係を満たすと、ArF露光光に対する遮光膜2の位相差を90度以下にすることができ、式(2)の関係を満たすと、遮光膜の厚さを80nm以下にすることができる。このため、式(1)および式(2)の関係を満たすと、遮光膜2のパターンのEMFバイアスが低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷も軽減される。また、ArF露光光に対する屈折率nおよび消衰係数kは、以下の式(3)に規定する関係を満たすことが好ましい。式(3)の関係を満たすと、遮光膜2の表面反射率を50%以下にすることができ、また、上記したように、遮光膜2の裏面反射率も50%以下にすることができる。このため、式(3)の関係を満たすと、転写露光の際の投影光学像の劣化を抑えやすくなる。
 n≦0.0733×k+0.4069×k+1.0083・・・式(1)
 n≧29.316×k-92.292×k+72.671・・・式(2)
 n≧0.7929×k-2.1606×k+2.1448・・・式(3)
 ArF露光光に対する遮光膜2の屈折率nおよび消衰係数kは、以下の式(4)の関係を満たすことが好ましい。式(4)の関係を満たすと、ArF露光光に対する遮光膜2の位相差を80度以下にすることができ、遮光膜2のパターンのEMFバイアスがより低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷もより軽減される。また、ArF露光光に対する屈折率nおよび消衰係数kは、以下の式(5)の関係を満たすことが好ましく、以下の式(6)の関係を満たすことがより好ましい。式(5)の関係を満たすと、ArF露光光に対する遮光膜2の位相差を-20度以上にすることができ、式(6)の関係を満たすと、ArF露光光に対する遮光膜2の位相差を0度以上にすることができる。
 n≦0.0966×k+0.3660×k+0.9956・・・式(4)
 n≧0.0637×k-0.1096×k+0.9585・・・式(5)
 n≧0.0636×k-0.0147×k+0.9613・・・式(6)
 ArF露光光に対する遮光膜2の屈折率nおよび消衰係数kは、以下の式(7)の関係を満たすことが好ましく、以下の式(8)の関係を満たすことがより好ましい。式(7)の関係を満たすと、遮光膜の厚さを70nm以下にすることができ、遮光膜2のパターンのEMFバイアスがより低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷もより軽減される。また、以下の式(8)の関係を満たすと、遮光膜の厚さを60nm以下にすることができ、遮光膜2のパターンのEMFバイアスがさらに低減され、この遮光膜を有するマスクブランクから転写用マスクを製造するときの負荷もさらに軽減される。
 n≧23.107×k-82.037×k+73.115・・・式(7)
 n≧12.717×k-54.382×k+58.228・・・式(8)
 ArF露光光に対する遮光膜2の屈折率nおよび消衰係数kは、以下の式(9)の関係を満たすことが好ましく、以下の式(10)の関係を満たすことがより好ましい。式(9)の関係を満たすと、遮光膜2の表面反射率を45%以下にすることができ、また、上記したように、遮光膜2の裏面反射率も45%以下にすることができ、転写露光の際の投影光学像の劣化をより抑えやすくなる。また、式(10)の関係を満たすと、遮光膜2の表面反射率を40%以下にすることができ、また、上記したように、遮光膜2の裏面反射率も40%以下にすることができ、転写露光の際の投影光学像の劣化をさらに抑えやすくなる。
 n≧1.7917×k-9.1446×k+16.519×k-9.5626・・・式(9)
 n≧15.539×k-103.99×k+260.83×k-289.22×k+120.12・・・式(10)
 ArF露光光に対する遮光膜2の屈折率nは、0.8以上であると好ましく、0.9以上であるとより好ましく、1.0以上であるとさらに好ましい。屈折率nを0.8未満にするためには、遮光膜2の窒素含有量を大幅に少なくする必要がある。このため、屈折率が0.8未満であると、EB欠陥修正を行うときに自発性エッチングが発生しやすくなる。
 ArF露光光に対する遮光膜2の消衰係数kは、2.6以下であると好ましく、2.5以下であるとより好ましく、2.4以下であるとさらに好ましい。消衰係数kが2.6を超えるようにするためには、遮光膜2の窒素含有量を大幅に少なくする必要がある。このため、消衰係数kが2.6を超えると、EB欠陥修正を行うときに自発性エッチングが発生しやすくなる。
 なお、遮光膜2は、透光性基板1とは反対側の表層の酸化が進行している。このため、この遮光膜2の表層は、それ以外の遮光膜2の領域とは組成が異なっており、光学特性も異なっている。しかし、本明細書では、遮光膜2は膜厚方向の光学特性が均一な単層膜として扱うこととする。よって、本明細書では、遮光膜2の屈折率nおよび消衰係数kは、遮光膜2の表層を含んだ全体での屈折率nおよび消衰係数kのことをいう。
 薄膜の屈折率nおよび消衰係数kは、その薄膜の組成だけで決まるものではない。その薄膜の膜密度および結晶状態なども、屈折率nおよび消衰係数kを左右する要素である。このため、反応性スパッタリングで遮光膜2を成膜するときの諸条件を調整して、遮光膜2が所望の屈折率nおよび消衰係数kとなって、ArF露光光に対する光学濃度、表面反射率、裏面反射率および位相差が規定の値に収まるように成膜する。遮光膜2を、上記の屈折率nおよび消衰係数kの範囲にするには、反応性スパッタリングで成膜する際に、貴ガスと反応性ガスの混合ガスの比率を調整することだけに限られない。反応性スパッタリングで成膜する際における成膜室内の圧力、ターゲットに印加する電力、ターゲットと透光性基板との間の距離等の位置関係など多岐にわたる。また、これらの成膜条件は成膜装置に固有のものであり、形成される遮光膜2が所望の屈折率nおよび消衰係数kになるように適宜調整されるものである。
 遮光膜2は、スパッタリングによって形成される。DCスパッタリング、RFスパッタリングおよびイオンビームスパッタリングなどのいずれのスパッタリングも適用可能である。導電性が低いターゲット(ケイ素ターゲット、半金属元素を含有しないあるいは含有量の少ないケイ素化合物ターゲットなど)を用いる場合においては、RFスパッタリングやイオンビームスパッタリングを適用することが好ましいが、成膜レートを考慮すると、RFスパッタリングを適用することがより好ましい。
 遮光膜2は、ケイ素ターゲットまたはケイ素に半金属元素および非金属元素から選ばれる1以上の元素を含有する材料からなるターゲットを用い、窒素系ガスと貴ガスを含むスパッタリングガス中での反応性スパッタリングによって、形成される。
 遮光膜2を形成する際にスパッタリングガスとして用いる窒素系ガスは、窒素を含有するガスであればいずれのガスも適用可能である。上記の通り、遮光膜2は、その表層を除いて酸素含有量を低く抑えることが好ましいため、酸素を含有しない窒素系ガスを適用することが好ましく、窒素ガス(Nガス)を適用することがより好ましい。また、遮光膜2を形成する際にスパッタリングガスとして用いる貴ガスの種類に制限はないが、アルゴン、クリプトン、キセノンを用いることが好ましい。また、遮光膜2の応力を緩和するために、原子量の小さいヘリウム、ネオンを遮光膜2に積極的に取りこませることができる。
[[ハードマスク膜]]
 遮光膜2を備えるマスクブランク100において、遮光膜2の上に遮光膜2をエッチングするときに用いられるエッチングガスに対してエッチング選択性を有する材料で形成されたハードマスク膜3をさらに積層させた構成とすることが好ましい。遮光膜2は、所定の光学濃度を確保する必要があるため、その厚さを低減するには限界がある。ハードマスク膜3は、その直下の遮光膜2にパターンを形成するドライエッチングが終わるまでの間、エッチングマスクとして機能することができるだけの膜の厚さがあれば十分であり、基本的に光学特性の制限を受けない。このため、ハードマスク膜3の厚さは遮光膜2の厚さに比べて大幅に薄くすることができる。そして、有機系材料のレジスト膜は、このハードマスク膜3にパターンを形成するドライエッチングが終わるまでの間、エッチングマスクとして機能するだけの膜の厚さがあれば十分であるので、従来よりも大幅にレジスト膜の厚さを薄くすることができる。このため、レジストパターン倒れなどの問題を抑制することができる。
 ハードマスク膜3は、クロム(Cr)を含有する材料で形成されていることが好ましい。クロムを含有する材料は、SFなどのフッ素系ガスを用いたドライエッチングに対して特に高いドライエッチング耐性を有している。クロムを含有する材料からなる薄膜は、塩素系ガスと酸素ガスの混合ガスによるドライエッチングでパターニングされるのが一般的である。しかし、このドライエッチングは異方性があまり高くないため、クロムを含有する材料からなる薄膜をパターニングするときのドライエッチング時、パターンの側壁方向へのエッチング(サイドエッチング)が進行しやすい。
 クロムを含有する材料を遮光膜に用いた場合は、遮光膜2の膜厚が相対的に厚いので、遮光膜2のドライエッチングの際にサイドエッチングの問題が生じるが、ハードマスク膜3としてクロムを含有する材料を用いた場合は、ハードマスク膜3の膜厚が相対的に薄いので、サイドエッチングに起因する問題は生じにくい。
 クロムを含有する材料としては、クロム金属のほか、クロムに酸素、窒素、炭素、ホウ素およびフッ素から選ばれる1以上の元素を含有する材料、たとえばCrN、CrC、CrON、CrCO、CrCONなどが挙げられる。クロム金属にこれらの元素が添加されるとその膜はアモルファス構造の膜になりやすく、その膜の表面ラフネスおよび遮光膜2をドライエッチングしたときのラインエッジラフネスが抑えられるので好ましい。
 また、ハードマスク膜3のドライエッチングの観点からも、ハードマスク膜3を形成する材料としては、クロムに酸素、窒素、炭素、ホウ素およびフッ素から選ばれる1以上の元素を含有する材料を用いることが好ましい。
 クロム系材料は、塩素系ガスと酸素ガスとの混合ガスでエッチングされるが、クロム金属はこのエッチングガスに対するエッチングレートがあまり高くない。クロムに酸素、窒素、炭素、ホウ素およびフッ素から選ばれる1以上の元素を含有させることによって、塩素系ガスと酸素ガスとの混合ガスのエッチングガスに対するエッチングレートを高めることが可能になる。
 なお、CrCOからなるハードマスク膜3は、塩素系ガスと酸素ガスの混合ガスによるドライエッチングに対し、サイドエッチングが大きくなりやすい窒素を含有せず、サイドエッチングを抑制する炭素を含有し、さらにエッチングレートが向上する酸素を含有しているため、特に好ましい。また、ハードマスク膜3を形成するクロムを含有する材料に、インジウム、モリブデンおよびスズのうち1以上の元素を含有させてもよい。インジウム、モリブデンおよびスズのうち1以上の元素を含有させることで、塩素系ガスと酸素ガスとの混合ガスに対するエッチングレートをより高くすることができる。
 クロムを含有する材料以外の、ハードマスク膜3を形成する材料としては、タンタル(Ta)やタングステン(W)などの金属のほか、タンタルなどの金属を含有する材料も適用可能である。たとえば、この場合におけるタンタルを含有する材料としては、タンタル金属のほか、タンタルに窒素、ホウ素および炭素から選ばれる1以上の元素を含有させた材料などが挙げられる。その具体例としては、Ta、TaN、TaO、TaON、TaBN、TaBO、TaBON、TaCN、TaCO、TaCON、TaBCN、TaBOCNなどが挙げられる。
 マスクブランク100において、ハードマスク膜3の表面に接して、有機系材料のレジスト膜が100nm以下の膜厚で形成されていることが好ましい。DRAM hp32nm世代に対応する微細パターンの場合、ハードマスク膜3に形成すべき転写パターンに、線幅が40nmのSRAF(Sub-Resolution Assist Feature)が設けられることがある。しかし、この場合でも、レジストパターンの断面アスペクト比が1:2.5と低くすることができるので、レジスト膜の現像時、リンス時等にレジストパターンが倒壊することや脱離することを抑制することができる。なお、レジスト膜は、膜厚が80nm以下であるとより好ましい。
 マスクブランク100においてハードマスク膜3を設けず遮光膜2に接してレジスト膜を直接形成することも可能である。この場合は、構造が簡単で、転写用マスクを製造するときもハードマスク膜3のドライエッチングが不要になるため、製造工程数を削減することが可能になる。なお、この場合、遮光膜2に対してHMDS(hexamethyldisilazane)等の表面処理を行ってからレジスト膜を形成することが好ましい。
 また、本発明のマスクブランクは、下記に記載するように、バイナリマスク用途に適するマスクブランクであるが、バイナリマスク用に限るものではなく、レベンソン型位相シフトマスク用のマスクブランク、あるいはCPL(Chromeless Phase Lithography)マスク用のマスクブランクとしても使用できる。
[転写用マスク]
 図4に、本発明の実施形態であるマスクブランク100から転写用マスク(バイナリマスク)200を製造する工程の断面模式図を示す。
 図4に示す転写用マスク200の製造方法は、上記のマスクブランク100を用いるものであって、ドライエッチングによりハードマスク膜3に転写パターンを形成する工程と、転写パターンを有するハードマスク膜3(ハードマスクパターン3a)をマスクとするドライエッチングにより遮光膜2に転写パターンを形成する工程と、ハードマスクパターン3aを除去する工程とを備えることを特徴とするものである。
 以下、図4に示す製造工程にしたがって、転写用マスク200の製造方法の一例を説明する。なお、この例では、遮光膜2にはケイ素と窒素を含有する材料を適用し、ハードマスク膜3にはクロムを含有する材料を適用している。
 まず、マスクブランク100(図4(a)参照)を準備し、ハードマスク膜3に接して、レジスト膜をスピン塗布法によって形成する。次に、レジスト膜に対して、遮光膜2に形成すべき転写パターンを露光描画し、さらに現像処理等の所定の処理を行い、レジストパターン4aを形成する(図4(b)参照)。
 続いて、レジストパターン4aをマスクとし、塩素と酸素との混合ガスなどの塩素系ガスを用いたドライエッチングを行い、ハードマスク膜3にパターン(ハードマスクパターン3a)を形成する(図4(c)参照)。塩素系ガスとしては、Clが含まれていれば特に制限はなく、たとえば、Cl、SiCl、CHCl、CHCl、BCl等を挙げることができる。塩素と酸素との混合ガスを用いる場合は、たとえば、そのガス流量比をCl:O=4:1にするとよい。
 次に、アッシングやレジスト剥離液を用いてレジストパターン4aを除去する(図4(d)参照)。
 続いて、ハードマスクパターン3aをマスクとし、フッ素系ガスを用いたドライエッチングを行い、遮光膜2にパターン(遮光膜パターン2a)を形成する(図4(e)参照)。フッ素系ガスとしては、Fを含むものであれば用いることができるが、SFが好適である。SF以外に、たとえば、CHF、CF、C、C等を挙げることができるが、Cを含むフッ素系ガスは、ガラス材料の透光性基板1に対するエッチングレートが比較的高い。SFは透光性基板1へのダメージが小さいので好ましい。なお、SFにHeなどを加えるとさらによい。
 その後、クロムエッチング液を用いてハードマスクパターン3aを除去し、洗浄等の所定の処理を経て、転写用マスク200を得る(図4(f)参照)。なお、このハードマスクパターン3aの除去工程は、塩素と酸素との混合ガスを用いたドライエッチングで行ってもよい。ここで、クロムエッチング液としては、硝酸第二セリウムアンモニウムと過塩素酸を含む混合物を挙げることができる。
 図4に示す製造方法によって製造された転写用マスク200は、透光性基板1上に、転写パターンを有する遮光膜2(遮光膜パターン2a)を備えたバイナリマスクである。遮光膜2は、ケイ素と窒素を含有する材料で形成された単層膜であり、ArF露光光に対する光学濃度が3.0以上であり、ArF露光光に対する遮光膜2の屈折率nおよび消衰係数kが、以下の式(1)と式(2)に規定する関係を同時に満たすことを特徴とする。
 n≦0.0733×k+0.4069×k+1.0083・・・式(1)
 n≧29.316×k-92.292×k+72.671・・・式(2)
 転写用マスク200における透光性基板1、遮光膜2に関する事項については、マスクブランク100の透光性基板1、遮光膜2に関する事項と同様の技術的特徴を有している。
 転写用マスク200は、遮光膜パターン2aの光学濃度が3.0以上であり、ArF露光光に対する遮光膜パターン2aの屈折率nおよび消衰係数kが、式(1)と式(2)に規定する関係を同時に満たす。このため、遮光膜パターン2aが、ArF露光光に対する高い遮光性能を有する。また、遮光膜2のパターンのEMFバイアスを低減することができるため、大きな負荷をかけることなく、転写用マスク200を作製することができる。
 なお、ここでは転写用マスク200がバイナリマスクの場合を説明したが、本発明の転写用マスクはバイナリマスクに限らず、レベンソン型位相シフトマスクおよびCPLマスクに対しても適用することができる。すなわち、レベンソン型位相シフトマスクの場合は、その遮光膜に本発明の遮光膜を用いることができる。また、CPLマスクの場合は、主に外周の遮光帯を含む領域に本発明の遮光膜を用いることができる。
 さらに、本発明の半導体デバイスの製造方法は、前記の転写用マスク200または前記のマスクブランク100を用いて製造された転写用マスク200を用い、半導体基板上のレジスト膜にパターンを露光転写することを特徴としている。
 本発明の転写用マスク200やマスクブランク100は、上記の通りの効果を有するため、ArFエキシマレーザーを露光光とする露光装置のマスクステージに転写用マスク200をセットし、半導体デバイス上のレジスト膜に転写パターンを露光転写する際、半導体デバイス上のレジスト膜に、高いCD精度で転写パターンを転写することができる。このため、このレジスト膜のパターンをマスクとして、下層膜をドライエッチングして回路パターンを形成した場合、精度不足に起因する配線短絡や断線のない高精度の回路パターンを形成することができる。
 以下、実施例により、本発明の実施の形態をさらに具体的に説明する。
(実施例1)
[マスクブランクの製造]
 主表面の寸法が約152mm×約152mmで、厚さが約6.25mmの合成石英ガラスからなる透光性基板1を準備した。この透光性基板1は、端面および主表面が所定の表面粗さに研磨され、その後、所定の洗浄処理および乾燥処理を施されたものであった。
 次に、枚葉式RFスパッタ装置内に透光性基板1を設置し、ケイ素(Si)ターゲットを用い、クリプトン(Kr)、ヘリウム(He)および窒素(N)の混合ガスをスパッタリングガスとし、RF電源の電力を所定の値にし、反応性スパッタリング(RFスパッタリング)により、透光性基板1上に、ケイ素と窒素とからなる遮光膜2を58.8nmの厚さで形成した。
 次に、膜の応力調整を目的に、この遮光膜2が形成された透光性基板1に対し、大気中において加熱温度500℃、処理時間1時間の条件で加熱処理を行った。
 分光光度計(アジレントテクノロジー社製 Cary4000)を用いて、波長193nmにおける加熱処理後の遮光膜2の光学濃度(OD)を測定したところ、その値は3.00であった。この結果から、実施例1のマスクブランクは、高い遮光性能を有している。
 位相シフト量測定装置(レーザーテック社製 MPM-193)を用いて波長193nmにおける加熱処理後の遮光膜2の位相差を測定したところ、その値は75.2度であった。
 分光光度計(日立製、U-4100)を用いて、波長193nmにおける加熱処理後の遮光膜2の表面反射率および裏面反射率を測定したところ、その値は各々37.1%、30.0%であった。この結果から、実施例1のマスクブランクを用いて製造された転写用マスクは、転写露光の際の投影光学像の劣化を抑えることができる。
 分光エリプソメーター(J.A.Woollam社製 M-2000D)を用いて、波長193nmにおける加熱処理後の遮光膜2の屈折率nと消衰係数kを測定した。波長193nmにおける屈折率nは1.83、消衰係数kは1.79であった。この屈折率nと消衰係数kの値から、遮光膜2の屈折率nおよび消衰係数kは、上記の式(4)、式(6)、式(8)および式(10)の条件を満たし、上記の膜厚、光学濃度、位相差、表面反射率および裏面反射率のそれぞれの値と整合する。なお、遮光膜2の屈折率nおよび消衰係数kは、上記の式(4)、式(8)および式(10)の条件を満たすことから、上記の式(1)、式(2)および式(3)の条件も満たす。
 次に、この実施例1の遮光膜2について、EMFバイアスを算出する光学シミュレーションを行った。この光学シミュレーションにおいては、上記の測定で得られた遮光膜2の屈折率n、消衰係数k、及び膜厚dを入力値として使用した。また、光学シミュレーションに適用する設計パターンとしては、DRAMハーフピッチ(hp)40nmのラインアンドスペースパターンを適用した。光学シミュレーションに適用する露光光の照明条件としては、2極照明 (Dipole Illumination)を設定した。EMFバイアスは、TMAによる光学シミュレーションで算出されたバイアス(補正量)と、EMF効果を考慮したシミュレーションで算出されたバイアス(補正量)との差をとることによって算出した。その結果、EMFバイアスは、0.5nmであった。この結果から、実施例1のマスクブランクは、EMFバイアスが十分に低減されているといえる。さらに、この実施例1のマスクブランクから転写用マスクを製造するときの設計パターンの補正計算に係る負荷が軽減され、実際に遮光膜2に形成するパターンの複雑化も抑制できるといえる。
 次に、枚葉式DCスパッタ装置内に加熱処理後の遮光膜2が形成された透光性基板1を設置し、クロム(Cr)ターゲットを用い、アルゴン(Ar)と窒素(N)との混合ガス雰囲気で反応性スパッタリング(DCスパッタリング)を行い、膜厚5nmのCrN膜からなるハードマスク膜3を成膜した。XPSで測定したこの膜の膜組成比は、Crが75原子%、Nが25原子%であった。そして、遮光膜2で行った加熱処理より低い温度(280℃)で熱処理を行い、ハードマスク膜3の応力調整を行った。
 以上の手順により、透光性基板1上に、遮光膜2およびハードマスク膜3が積層した構造を備えるマスクブランク100を製造した。
[転写用マスクの製造]
 次に、この実施例1のマスクブランク100を用い、以下の手順で実施例1の転写用マスク(バイナリマスク)200を製造した。
 まず、実施例1のマスクブランク100(図4(a)参照)を準備し、ハードマスク膜3の表面に接して、電子線描画用化学増幅型レジストからなるレジスト膜を膜厚80nmで形成した。次に、このレジスト膜に対して、遮光膜2に形成すべき転写パターンを電子線描画し、所定の現像処理および洗浄処理を行い、レジストパターン4aを形成した(図4(b)参照)。
 次に、レジストパターン4aをマスクとし、塩素と酸素との混合ガス(ガス流量比 Cl:O=4:1)を用いたドライエッチングを行い、ハードマスク膜3にパターン(ハードマスクパターン3a)を形成した(図4(c)参照)。
 次に、レジストパターン4aを除去した(図4(d)参照)。続いて、ハードマスクパターン3aをマスクとし、フッ素系ガス(SFとHeの混合ガス)を用いたドライエッチングを行い、遮光膜2にパターン(遮光膜パターン2a)を形成した(図4(e)参照)。
 その後、硝酸第二セリウムアンモニウムと過塩素酸を含むクロムエッチング液を用いてハードマスクパターン3aを除去し、洗浄等の所定の処理を経て、転写用マスク200を得た(図4(f)参照)。
 実施例1の転写用マスク200を露光装置のマスクステージにセットし、半導体デバイス上のレジスト膜に露光転写を行った結果、半導体デバイス上のレジスト膜に、高いCD精度で転写パターンを転写することができた。
(実施例2)
[マスクブランクの製造]
 実施例2のマスクブランクは、遮光膜を下記のようにした以外は、実施例1のマスクブランク100と同様の手順で製造された。
 実施例2の遮光膜の形成方法は以下の通りである。
 枚葉式DCスパッタ装置内に透光性基板1を設置し、ケイ素(Si)ターゲットを用い、クリプトン(Kr)、ヘリウム(He)および窒素(N)の混合ガスをスパッタリングガスとし、DC電源の電力を所定の値にし、反応性スパッタリング(DCスパッタリング)により、透光性基板1上に、ケイ素と窒素とからなる遮光膜2を45.7nmの厚さで形成した。
 次に、膜の応力調整を目的に、この遮光膜2が形成された透光性基板1に対し、大気中において加熱温度500℃、処理時間1時間の条件で加熱処理を行った。
 実施例1と同様に、加熱処理後の遮光膜2の光学濃度(OD)を測定したところ、その値は3.06であった。この結果から、実施例2のマスクブランクは、高い遮光性能を有している。また、実施例1と同様に、加熱処理後の遮光膜2の位相差を測定したが、その値は測定できなかった。そこで、加熱処理後の遮光膜2の屈折率nおよび消衰係数kに基づいて光学シミュレーションを行い、位相差を求めたところ、その値は-11.7度であった。また、実施例1と同様に、加熱処理後の遮光膜2の表面反射率および裏面反射率を測定したところ、その値は各々54.3%、52.1%であった。また、実施例1と同様に、加熱処理後の遮光膜2の屈折率nと消衰係数kを測定したところ、屈折率nは1.16、消衰係数kは2.40であった。この屈折率nと消衰係数kの値から、加熱処理後の遮光膜2の屈折率nおよび消衰係数kは、式(4)、式(5)および式(8)の条件を満たし、上記の膜厚、光学濃度、位相差、表面反射率および裏面反射率のそれぞれの値と整合する。なお、遮光膜2の屈折率nおよび消衰係数kは、上記の式(4)および式(8)の条件を満たすことから、上記の式(1)および式(2)の条件も満たす。
 実施例1と同様に、遮光膜2のEMFバイアスを求めたところ、3.6nmであった。この結果から、実施例2のマスクブランクは、EMFバイアスを十分に低減できると言える。さらに、この実施例2のマスクブランクから転写用マスクを製造するときの設計パターンの補正計算に係る負荷が軽減され、実際に遮光膜2に形成するパターンの複雑化も抑制できるといえる。
[転写用マスクの製造]
 次に、この実施例2のマスクブランクを用い、実施例1と同様の手順で、実施例2の転写用マスク(バイナリマスク)を製造した。実施例2の転写用マスク200を露光装置のマスクステージにセットし、半導体デバイス上のレジスト膜に露光転写を行った結果、半導体デバイス上のレジスト膜に、高いCD精度で転写パターンを転写することができた。
(比較例1)
[マスクブランクの製造]
 比較例1のマスクブランクは、遮光膜を下記のようにした以外は、実施例1のマスクブランク100と同様の手順で製造された。
 比較例1の遮光膜の形成方法は以下の通りである。
 枚葉式RFスパッタ装置内に透光性基板1を設置し、ケイ素(Si)ターゲットを用い、クリプトン(Kr)、ヘリウム(He)および窒素(N)の混合ガスをスパッタリングガスとし、RF電源の電力を所定の値にし、反応性スパッタリング(RFスパッタリング)により、透光性基板1上に、ケイ素および窒素からなる遮光膜を69.5nmの厚さで形成した。
 次に、膜の応力調整を目的に、この遮光膜が形成された透光性基板1に対し、大気中において加熱温度500℃、処理時間1時間の条件で加熱処理を行った。
 実施例1と同様に、加熱処理後の遮光膜の光学濃度(OD)を測定したところ、その値は3.01であった。この結果から、比較例1のマスクブランクは、十分な遮光性能を有している。また、実施例1と同様に、加熱処理後の遮光膜の位相差を測定したが、その値は測定できなかった。そこで、加熱処理後の遮光膜の屈折率nおよび消衰係数kに基づいてシミュレーションにより位相差を求めたところ、その値は129.9度であった。また、実施例1と同様に、加熱処理後の遮光膜の表面反射率および裏面反射率を測定したところ、その値は各々29.4%、19.6%であった。また、実施例1と同様に、加熱処理後の遮光膜2の屈折率nと消衰係数kを測定したところ、屈折率nは2.10、消衰係数kは1.51であった。この屈折率nと消衰係数kの値から、加熱処理後の遮光膜の屈折率nおよび消衰係数kは、式(7)および式(10)を満たすが、式(1)の条件を満たさず、上記の膜厚、光学濃度、位相差、表面反射率および裏面反射率のそれぞれの値と整合する。なお、遮光膜の屈折率nおよび消衰係数kは、式(7)および式(10)を満たすことから、上記の式(2)および式(3)を満たすが、式(1)は満たさない。
 実施例1と同様に、遮光膜のEMFバイアスを求めたところ、8.2nmであった。この結果から、比較例1のマスクブランクは、EMFバイアスを十分に低減することができないと言える。さらに、この比較例1のマスクブランクから転写用マスクを製造するときの設計パターンの補正計算に係る負荷が過大となり、実際に遮光膜2に形成するパターンも複雑化するといえる。
[転写用マスクの製造]
 次に、この比較例1のマスクブランクを用い、実施例1と同様の手順で、比較例1の転写用マスク(バイナリマスク)を製造した。比較例1の転写用マスクを露光装置のマスクステージにセットし、半導体デバイス上のレジスト膜に露光転写を行った結果、半導体デバイス上のレジスト膜に形成された転写パターンのCDばらつきが大きかった。
 1 透光性基板
 2 遮光膜
 2a 遮光膜パターン
 3 ハードマスク膜
 3a ハードマスクパターン
 4a レジストパターン
 100 マスクブランク
 200 転写用マスク(バイナリマスク)

Claims (12)

  1.  透光性基板上に、遮光膜を備えたマスクブランクであって、
     前記遮光膜は、ケイ素と窒素とからなる材料、または半金属元素および非金属元素から選ばれる1以上の元素とケイ素と窒素とからなる材料で形成された単層膜であり、
     前記遮光膜は、ArFエキシマレーザーの露光光に対する光学濃度が3.0以上であり、
     前記遮光膜の前記露光光に対する屈折率nおよび消衰係数kは、以下の式(1)と式(2)に規定する関係を同時に満たす
    ことを特徴とするマスクブランク。
     n≦0.0733×k+0.4069×k+1.0083・・・式(1)
     n≧29.316×k-92.292×k+72.671・・・式(2)
  2.  前記遮光膜は、前記消衰係数kが2.6以下であることを特徴とする請求項1記載のマスクブランク。
  3.  前記遮光膜は、前記屈折率nが0.8以上であることを特徴とする請求項1または2に記載のマスクブランク。
  4.  前記遮光膜の前記屈折率nおよび消衰係数kは、さらに以下の式(3)に規定する関係を満たすことを特徴とする請求項1から3のいずれかに記載のマスクブランク。
     n≧0.7929×k-2.1606×k+2.1448・・・式(3)
  5.  前記遮光膜は、前記透光性基板側の表層と前記透光性基板とは反対側の表層とを除いた領域における厚さ方向の窒素含有量のバラつきが5原子%以内であることを特徴とする請求項1から4のいずれかに記載のマスクブランク。
  6.  前記遮光膜上にクロムを含有する材料からなるハードマスク膜を備えることを特徴とする請求項1から5のいずれかに記載のマスクブランク。
  7.  透光性基板上に、転写パターンを有する遮光膜を備えた転写用マスクであって、
     前記遮光膜は、ケイ素と窒素とからなる材料、または半金属元素および非金属元素から選ばれる1以上の元素とケイ素と窒素とからなる材料で形成された単層膜であり、
     前記遮光膜は、ArFエキシマレーザーの露光光に対する光学濃度が3.0以上であり、
     前記遮光膜の前記露光光に対する屈折率nおよび消衰係数kは、以下の式(1)と式(2)に規定する関係を同時に満たす
    ことを特徴とする転写用マスク。
     n≦0.0733×k+0.4069×k+1.0083・・・式(1)
     n≧29.316×k-92.292×k+72.671・・・式(2)
  8.  前記遮光膜は、前記消衰係数kが2.6以下であることを特徴とする請求項7記載の転写用マスク。
  9.  前記遮光膜は、前記屈折率nが0.8以上であることを特徴とする請求項7または8に記載の転写用マスク。
  10.  前記遮光膜の前記屈折率nおよび消衰係数kは、さらに以下の式(3)に規定する関係を満たすことを特徴とする請求項7から9のいずれかに記載の転写用マスク。
     n≧0.7929×k-2.1606×k+2.1448・・・式(3)
  11.  前記遮光膜は、前記透光性基板側の表層と前記透光性基板とは反対側の表層とを除いた領域における厚さ方向の窒素含有量のバラつきが5原子%以内であることを特徴とする請求項7から10のいずれかに記載の転写用マスク。
  12.  請求項7から11のいずれかに記載の転写用マスクを用い、半導体基板上のレジスト膜に転写パターンを露光転写する工程を備えることを特徴とする半導体デバイスの製造方法。
PCT/JP2018/007475 2017-03-16 2018-02-28 マスクブランク、転写用マスクおよび半導体デバイスの製造方法 WO2018168464A1 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
SG11201908105V SG11201908105VA (en) 2017-03-16 2018-02-28 Mask blank, transfer mask, and method of manufacturing semiconductor device
KR1020237034056A KR102609398B1 (ko) 2017-03-16 2018-02-28 마스크 블랭크, 전사용 마스크 및 반도체 디바이스의 제조 방법
US16/492,904 US11314162B2 (en) 2017-03-16 2018-02-28 Mask blank, transfer mask, and method of manufacturing semiconductor device
CN202310002958.3A CN115933308A (zh) 2017-03-16 2018-02-28 掩模坯料、转印用掩模及半导体器件的制造方法
CN201880016943.XA CN110603489B (zh) 2017-03-16 2018-02-28 掩模坯料、转印用掩模及半导体器件的制造方法
KR1020197025767A KR102587661B1 (ko) 2017-03-16 2018-02-28 마스크 블랭크, 전사용 마스크 및 반도체 디바이스의 제조 방법
US17/698,151 US11624979B2 (en) 2017-03-16 2022-03-18 Mask blank, transfer mask, and method of manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017051032A JP6400763B2 (ja) 2017-03-16 2017-03-16 マスクブランク、転写用マスクおよび半導体デバイスの製造方法
JP2017-051032 2017-03-16

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/492,904 A-371-Of-International US11314162B2 (en) 2017-03-16 2018-02-28 Mask blank, transfer mask, and method of manufacturing semiconductor device
US17/698,151 Continuation US11624979B2 (en) 2017-03-16 2022-03-18 Mask blank, transfer mask, and method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
WO2018168464A1 true WO2018168464A1 (ja) 2018-09-20

Family

ID=63523769

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2018/007475 WO2018168464A1 (ja) 2017-03-16 2018-02-28 マスクブランク、転写用マスクおよび半導体デバイスの製造方法

Country Status (7)

Country Link
US (2) US11314162B2 (ja)
JP (1) JP6400763B2 (ja)
KR (2) KR102609398B1 (ja)
CN (2) CN115933308A (ja)
SG (1) SG11201908105VA (ja)
TW (2) TWI789999B (ja)
WO (1) WO2018168464A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022093557A (ja) * 2018-12-12 2022-06-23 信越化学工業株式会社 フォトマスクブランク、及びフォトマスクの製造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7346527B2 (ja) * 2021-11-25 2023-09-19 Hoya株式会社 マスクブランク、転写用マスク、マスクブランクの製造方法、転写用マスクの製造方法、及び表示装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012078441A (ja) * 2010-09-30 2012-04-19 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
JP2012141583A (ja) * 2010-12-17 2012-07-26 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
JP2013065036A (ja) * 2012-12-05 2013-04-11 Hoya Corp 半導体デバイスの製造方法
JP2014137388A (ja) * 2013-01-15 2014-07-28 Hoya Corp マスクブランク、位相シフトマスクおよびこれらの製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5629115A (en) 1993-04-30 1997-05-13 Kabushiki Kaisha Toshiba Exposure mask and method and apparatus for manufacturing the same
JPH07159981A (ja) 1993-12-03 1995-06-23 Toshiba Corp 露光用マスク基板
WO2008139904A1 (ja) * 2007-04-27 2008-11-20 Hoya Corporation フォトマスクブランク及びフォトマスク
US8431290B2 (en) * 2008-10-29 2013-04-30 Hoya Corporation Photomask blank, photomask, and methods of manufacturing the same
JP2010217514A (ja) 2009-03-17 2010-09-30 Toppan Printing Co Ltd フォトマスクの製造方法
JP5606028B2 (ja) * 2009-09-11 2014-10-15 Hoya株式会社 フォトマスクブランクおよびフォトマスクの製造方法
KR102166222B1 (ko) 2013-01-15 2020-10-15 호야 가부시키가이샤 마스크 블랭크, 위상 시프트 마스크 및 이들의 제조 방법
JP2014191176A (ja) * 2013-03-27 2014-10-06 Dainippon Printing Co Ltd フォトマスクブランクス、フォトマスク及びその製造方法
JP5823655B1 (ja) * 2014-03-18 2015-11-25 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
JP6430155B2 (ja) * 2014-06-19 2018-11-28 Hoya株式会社 マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
JP6153894B2 (ja) * 2014-07-11 2017-06-28 Hoya株式会社 マスクブランク、位相シフトマスク、位相シフトマスクの製造方法及び半導体デバイスの製造方法
JP6394496B2 (ja) * 2014-07-15 2018-09-26 信越化学工業株式会社 バイナリフォトマスクブランク、その製造方法、及びバイナリフォトマスクの製造方法
JP6502143B2 (ja) * 2015-03-27 2019-04-17 Hoya株式会社 マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
JP6087401B2 (ja) * 2015-08-14 2017-03-01 Hoya株式会社 マスクブランク、位相シフトマスクおよび半導体デバイスの製造方法
WO2017038213A1 (ja) * 2015-08-31 2017-03-09 Hoya株式会社 マスクブランク、位相シフトマスクおよびその製造方法、並びに半導体デバイスの製造方法
JP6573806B2 (ja) * 2015-08-31 2019-09-11 Hoya株式会社 マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
TWI684822B (zh) * 2015-09-30 2020-02-11 日商Hoya股份有限公司 空白遮罩、相位移轉遮罩及半導體元件之製造方法
JP6302520B2 (ja) * 2016-09-07 2018-03-28 Hoya株式会社 マスクブランク、位相シフトマスクの製造方法および半導体デバイスの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012078441A (ja) * 2010-09-30 2012-04-19 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、および半導体デバイスの製造方法
JP2012141583A (ja) * 2010-12-17 2012-07-26 Hoya Corp マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
JP2013065036A (ja) * 2012-12-05 2013-04-11 Hoya Corp 半導体デバイスの製造方法
JP2014137388A (ja) * 2013-01-15 2014-07-28 Hoya Corp マスクブランク、位相シフトマスクおよびこれらの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022093557A (ja) * 2018-12-12 2022-06-23 信越化学工業株式会社 フォトマスクブランク、及びフォトマスクの製造方法
JP7231094B2 (ja) 2018-12-12 2023-03-01 信越化学工業株式会社 フォトマスクブランク、及びフォトマスクの製造方法

Also Published As

Publication number Publication date
CN110603489A (zh) 2019-12-20
TW201901288A (zh) 2019-01-01
CN115933308A (zh) 2023-04-07
KR20190122694A (ko) 2019-10-30
JP6400763B2 (ja) 2018-10-03
US20210141305A1 (en) 2021-05-13
US11314162B2 (en) 2022-04-26
US20220206381A1 (en) 2022-06-30
US11624979B2 (en) 2023-04-11
KR20230144128A (ko) 2023-10-13
KR102609398B1 (ko) 2023-12-05
SG11201908105VA (en) 2019-10-30
TW202210934A (zh) 2022-03-16
KR102587661B1 (ko) 2023-10-12
TWI789999B (zh) 2023-01-11
CN110603489B (zh) 2023-02-03
TWI750341B (zh) 2021-12-21
JP2018155838A (ja) 2018-10-04

Similar Documents

Publication Publication Date Title
JP5690023B2 (ja) マスクブランク及び位相シフトマスクの製造方法
JP6636664B2 (ja) マスクブランク、転写用マスク及び半導体デバイスの製造方法
KR102522452B1 (ko) 마스크 블랭크, 전사용 마스크, 전사용 마스크의 제조방법 및 반도체 디바이스의 제조방법
US11624979B2 (en) Mask blank, transfer mask, and method of manufacturing semiconductor device
WO2019188397A1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
WO2021192734A1 (ja) マスクブランク及び転写用マスクの製造方法
JP6833773B2 (ja) マスクブランク、転写用マスクおよび半導体デバイスの製造方法
WO2021059890A1 (ja) マスクブランク、位相シフトマスク及び半導体デバイスの製造方法
JP2018132686A (ja) マスクブランク、転写用マスク、転写用マスクの製造方法および半導体デバイスの製造方法
JP2019012184A (ja) マスクブランク、転写用マスクの製造方法及び半導体デバイスの製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18766714

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20197025767

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18766714

Country of ref document: EP

Kind code of ref document: A1