WO2018065861A1 - ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板 - Google Patents

ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板 Download PDF

Info

Publication number
WO2018065861A1
WO2018065861A1 PCT/IB2017/055991 IB2017055991W WO2018065861A1 WO 2018065861 A1 WO2018065861 A1 WO 2018065861A1 IB 2017055991 W IB2017055991 W IB 2017055991W WO 2018065861 A1 WO2018065861 A1 WO 2018065861A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
metal oxide
substrate
light
resin layer
Prior art date
Application number
PCT/IB2017/055991
Other languages
English (en)
French (fr)
Inventor
山崎舜平
佐藤将孝
井戸尻悟
高瀬奈津子
Original Assignee
株式会社半導体エネルギー研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体エネルギー研究所 filed Critical 株式会社半導体エネルギー研究所
Priority to CN202311265408.7A priority Critical patent/CN117279467A/zh
Priority to CN201780056499.XA priority patent/CN109690734B/zh
Priority to KR1020237022854A priority patent/KR20230107411A/ko
Priority to KR1020237010273A priority patent/KR102554691B1/ko
Priority to US16/332,546 priority patent/US11637009B2/en
Priority to KR1020197008873A priority patent/KR102515871B1/ko
Priority to JP2018503550A priority patent/JP7143210B2/ja
Publication of WO2018065861A1 publication Critical patent/WO2018065861A1/ja
Priority to JP2022146032A priority patent/JP7438300B2/ja
Priority to US18/137,553 priority patent/US20230260778A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K77/00Constructional details of devices covered by this subclass and not covered by groups H10K10/80, H10K30/80, H10K50/80 or H10K59/80
    • H10K77/10Substrates, e.g. flexible substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/50Working by transmitting the laser beam through or within the workpiece
    • B23K26/53Working by transmitting the laser beam through or within the workpiece for modifying or reforming the material inside the workpiece, e.g. for producing break initiation cracks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/1201Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/127Active-matrix OLED [AMOLED] displays comprising two substrates, e.g. display comprising OLED array and TFT driving circuitry on different substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K77/00Constructional details of devices covered by this subclass and not covered by groups H10K10/80, H10K30/80, H10K50/80 or H10K59/80
    • H10K77/10Substrates, e.g. flexible substrates
    • H10K77/111Flexible substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/16Composite materials, e.g. fibre reinforced
    • B23K2103/166Multilayered materials
    • B23K2103/172Multilayered materials wherein at least one of the layers is non-metallic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/18Dissimilar materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/30Organic material
    • B23K2103/42Plastics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/54Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/38Removing material by boring or cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/549Organic PV cells

Definitions

  • One embodiment of the present invention relates to a substrate cleaning method.
  • One embodiment of the present invention relates to a glass substrate and a glass substrate cleaning method.
  • One embodiment of the present invention relates to a peeling method, a method for manufacturing a semiconductor device, and a method for manufacturing a display device.
  • one embodiment of the present invention is not limited to the above technical field.
  • a semiconductor device e.g., a display device, a light-emitting device, a power storage device, a memory device, an electronic device, a lighting device, an input device (eg, a touch sensor), an input / output device (eg, a touch panel) ), A driving method thereof, or a manufacturing method thereof can be given as an example.
  • a semiconductor device refers to any device that can function by utilizing semiconductor characteristics.
  • a transistor, a semiconductor circuit, a display device, a light-emitting device, an input device, an input / output device, an arithmetic device, a memory device, or the like is one embodiment of a semiconductor device.
  • An imaging device, an electro-optical device, a power generation device (including a thin film solar cell, an organic thin film solar cell, and the like) and an electronic device may include a semiconductor device.
  • a display device to which an organic EL (Electro Luminescence) element or a liquid crystal element is applied is known.
  • a light-emitting device including a light-emitting element such as a light-emitting diode (LED: Light Emitting Diode), an electronic paper that performs display by an electrophoresis method, and the like can be given as examples of the display device.
  • LED Light Emitting Diode
  • the basic structure of the organic EL element is such that a layer containing a light-emitting organic compound is sandwiched between a pair of electrodes. Light emission can be obtained from the light-emitting organic compound by applying a voltage to this element.
  • a display device to which such an organic EL element is applied can realize a thin, lightweight, high-contrast display device with low power consumption.
  • a flexible display device can be realized by forming a semiconductor element such as a transistor or a display element such as an organic EL element on a flexible substrate (film).
  • Patent Document 1 a support substrate (glass substrate) provided with a heat-resistant resin layer and an electronic element is irradiated with a laser beam through a sacrificial layer, and the heat-resistant resin layer is peeled off from the glass substrate, so that the flexible substrate is flexible.
  • a method for manufacturing a display device is disclosed.
  • An object of one embodiment of the present invention is to provide a novel method for cleaning a substrate (typically, a glass substrate), a glass substrate, a peeling method, a method for manufacturing a semiconductor device, or a method for manufacturing a display device. .
  • One object of one embodiment of the present invention is to reuse a glass substrate.
  • An object of one embodiment of the present invention is to provide a peeling method, a manufacturing method of a semiconductor device, or a manufacturing method of a display device that are low in cost and high in mass productivity.
  • An object of one embodiment of the present invention is to provide a peeling method with high yield.
  • An object of one embodiment of the present invention is to manufacture a semiconductor device or a display device using a large substrate.
  • An object of one embodiment of the present invention is to manufacture a semiconductor device or a display device at low temperature.
  • One embodiment of the present invention is a glass substrate cleaning method including a step of preparing a glass substrate having a first material on one surface and a step of removing at least part of the first material.
  • the first material has one or both of a metal and a metal oxide.
  • wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • a step of preparing a glass substrate having a first material and a second material on one surface, and a step of removing at least part of the second material and exposing the first material And a method for cleaning a glass substrate.
  • the first material has one or both of a metal and a metal oxide.
  • the second material has one or both of a resin and a decomposition product of the resin.
  • wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • One embodiment of the present invention includes a step of forming a first material layer over a glass substrate, a step of forming a second material layer over the first material layer, and a first peel-off layer over the second material layer.
  • a step of forming a layer, a step of separating the glass substrate and the first layer to be peeled using the first material layer and the second material layer, and at least a first material layer remaining on the glass substrate This is a method for manufacturing a semiconductor device, which includes a step of removing a part.
  • a step of forming a third material layer on the glass substrate, and a step of forming a fourth material layer on the third material layer The step of forming the second layer to be peeled on the fourth material layer, and the glass substrate and the second layer to be peeled are separated using the third material layer and the fourth material layer.
  • Each of the first material layer and the third material layer includes one or both of a metal and a metal oxide.
  • Each of the second material layer and the fourth material layer has a resin.
  • wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • One embodiment of the present invention includes a step of forming a first material layer over a glass substrate, a step of forming a second material layer over the first material layer, and a first peel-off layer over the second material layer.
  • a step of forming a layer, a step of separating the glass substrate and the first layer to be peeled using the first material layer and the second material layer, and at least a second material layer remaining on the glass substrate This is a method for manufacturing a semiconductor device, which includes a step of removing a part and exposing a first material layer.
  • the first material layer has one or both of a metal and a metal oxide.
  • Each of the second material layer and the third material layer includes a resin. In the step of exposing the first material layer, one or more of wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • the first material layer and the glass substrate preferably have a common metal.
  • a step of preparing a glass substrate having a first material and a second material on one surface a step of removing at least part of the second material and exposing the first material, Forming a third material on the exposed first material, heating the first material and the third material in a stacked state, and the first material and the third material.
  • It is the cleaning method of the glass substrate which has the process of isolate
  • the first material has one or both of a metal and a metal oxide.
  • the first material includes one or more of hydrogen, oxygen, and water.
  • Each of the second material and the third material has a resin. In the heating step, water precipitates at or near the interface between the first material and the third material.
  • the first material and the third material are separated by irradiating light on the interface or in the vicinity of the interface with light.
  • a step of forming a fourth material on the exposed first material may be provided after the step of exposing the first material. In that case, in the step of forming the third material, the third material is formed on the fourth material.
  • the fourth material has a common metal with the first material.
  • one or more of wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • the light is preferably irradiated so that the wavelength region has 180 nm or more and 450 nm or less.
  • the light is preferably irradiated using a laser device.
  • the light is preferably irradiated at an energy density of 250 mJ / cm 2 or more and 360 mJ / cm 2 or less.
  • the first material preferably has one or both of titanium and titanium oxide.
  • One embodiment of the present invention is a glass substrate including a first material and a second material over the first material on one surface.
  • the first material has one or both of a metal and a metal oxide.
  • the first material preferably has one or both of titanium and titanium oxide.
  • the second material has a resin.
  • the second material preferably has a residue of the compound represented by the structural formula (100).
  • a novel substrate cleaning method, glass substrate, peeling method, semiconductor device manufacturing method, or display device manufacturing method can be provided.
  • a glass substrate can be reused.
  • a peeling method, a manufacturing method of a semiconductor device, or a manufacturing method of a display device that are low in cost and high in mass productivity can be provided.
  • a separation method with high yield can be provided.
  • a semiconductor device or a display device can be manufactured using a large substrate.
  • a semiconductor device or a display device can be manufactured at low temperature.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device. Sectional drawing which shows an example of the manufacturing method of a display apparatus, Sectional drawing which shows an example of the washing
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • 8A and 8B are a cross-sectional view and a top view illustrating an example of a method for manufacturing a display device.
  • 8A and 8B are a cross-sectional view and a top view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • 4A and 4B are a top view and a cross-sectional view illustrating an example of a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • 8A and 8B are a cross-sectional view and a top view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a
  • FIG. 10 is a cross-sectional view illustrating an example of a method for manufacturing a display device.
  • 8A and 8B are a cross-sectional view and a top view illustrating an example of a method for manufacturing a display device.
  • 4A and 4B are a top view and a cross-sectional view illustrating an example of a display device.
  • the perspective view which shows an example of a display apparatus.
  • Sectional drawing which shows an example of a display apparatus.
  • FIG. 14 illustrates an example of an electronic device.
  • FIG. 14 illustrates an example of an electronic device.
  • FIG. 2 is a cross-sectional STEM observation photograph of the sample of Example 1.
  • FIG. 2 is a cross-sectional STEM observation photograph of the sample of Example 1.
  • film and “layer” can be interchanged with each other depending on circumstances or circumstances.
  • conductive layer can be changed to the term “conductive film”.
  • insulating film can be changed to the term “insulating layer”.
  • a metal oxide is a metal oxide in a broad expression.
  • Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), oxide semiconductors (also referred to as oxide semiconductors or simply OS), and the like.
  • oxide semiconductors also referred to as oxide semiconductors or simply OS
  • the metal oxide may be referred to as an oxide semiconductor. That is, in the case of describing as an OS FET, it can be said to be a transistor including a metal oxide or an oxide semiconductor.
  • metal oxides containing nitrogen may be collectively referred to as metal oxides.
  • a metal oxide containing nitrogen may be referred to as a metal oxynitride.
  • a display device including a transistor and an organic EL element (also referred to as an active matrix organic EL display device) is described as an example.
  • the display device can be a flexible device by using a flexible material for the substrate.
  • one embodiment of the present invention is not limited to a light-emitting device, a display device, and an input / output device (such as a touch panel) using an organic EL element, and a semiconductor device, a light-emitting device, a display device, and the like using other functional elements.
  • the present invention can be applied to various devices such as input / output devices.
  • a first material layer here a metal oxide layer
  • a second material layer here a resin layer
  • a metal oxide layer and a resin layer are isolate
  • a base layer (also referred to as a base layer) is formed between the substrate and the resin layer.
  • This base layer is a layer having lower adhesion (adhesiveness) with the resin layer than the substrate.
  • adhesion adheresiveness
  • the case where a metal oxide layer is used as the base layer is described as an example; however, the present invention is not limited to this.
  • the interface between the metal oxide layer and the resin layer is preferably applied to the interface between the metal oxide layer and the resin layer or in the vicinity thereof (also referred to as the interface or the vicinity of the interface). Moreover, light may be irradiated into the metal oxide layer. Moreover, light may be irradiated into the resin layer.
  • the interface between A and B or the vicinity thereof and “the interface between A and B or the vicinity of the interface” include at least the interface between A and B, and the interface between A and B. To within 20% of the thickness of either A or B.
  • the interface between the metal oxide layer and the resin layer (and also in the metal oxide layer and the resin layer) is heated, and the adhesion (adhesion) between the metal oxide layer and the resin layer is increased. Can be lowered. Furthermore, the metal oxide layer and the resin layer can be separated.
  • the substrate for example, a glass substrate
  • the metal oxide layer remains can be washed.
  • the substrate cleaning method of one embodiment of the present invention includes a step of preparing a substrate having a first material on one surface and a step of removing at least a part of the first material.
  • the first material has one or both of a metal and a metal oxide.
  • wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • the metal oxide layer but also the resin layer may remain on the substrate.
  • a substrate cleaning method of one embodiment of the present invention includes a step of preparing a substrate having a first material and a second material on one surface, and a step of removing at least a part of the second material.
  • the first material has one or both of a metal and a metal oxide.
  • the second material has one or both of a resin and a decomposition product of the resin.
  • wet etching, dry etching, ashing, cleaning, and polishing are preferably performed.
  • the first material is exposed.
  • at least part of the first material may be removed.
  • the substrate processed by the substrate cleaning method of one embodiment of the present invention can be reused.
  • Various devices such as a semiconductor device and a display device can be manufactured using the substrate.
  • the cost can be significantly reduced.
  • the substrate can be used as a substrate used in a method for manufacturing a display device of one embodiment of the present invention.
  • a substrate on which the first material is exposed is used as the substrate used in the method for manufacturing a display device of one embodiment of the present invention.
  • the substrate cleaning method of one embodiment of the present invention By applying the substrate cleaning method of one embodiment of the present invention, a substrate on which the first material is exposed can be obtained.
  • the step of forming the first material on the substrate can be omitted.
  • a substrate in which a first material is formed on one surface is prepared, and a second material can be formed on the first material.
  • a first material (or a fourth material containing the same metal as the first material) may be further formed over the first material. For example, when the thickness of the first material remaining on the substrate is too thin, the first material or the fourth material may be further formed on the first material.
  • the first material and the glass substrate preferably have a common metal. Even if the metal originally contained in the glass substrate remains on the glass substrate, the metal is less likely to adversely affect the production of various devices (not easily become impurities). Therefore, even when part of the first material remains on the substrate processed by the substrate cleaning method of one embodiment of the present invention, the substrate can be reused for various purposes.
  • the present invention can also be employed for a substrate used for a method other than the method for manufacturing a display device of one embodiment of the present invention (for example, a method without using the first material).
  • the substrate cleaning method of one embodiment of the present invention various substrates can be cleaned. That is, the substrate to be cleaned is not limited to the substrate used in the peeling method, the manufacturing method of the display device, and the like exemplified in this embodiment.
  • the substrate processed using the substrate cleaning method of one embodiment of the present invention can be used in various applications. In other words, the use of the cleaned substrate is not limited to being used only in the peeling method, the manufacturing method of the display device, and the like exemplified in this embodiment.
  • H 2 O is, effect of inhibiting the adhesion between the metal oxide layer 20 and the resin layer 23 (hereinafter, the inhibitory effect) will be described.
  • a metal oxide layer 20 is provided over a manufacturing substrate 14, and a resin layer 23 is provided over the metal oxide layer 20.
  • One or both of the interface between the metal oxide layer 20 and the resin layer 23 and the metal oxide layer 20 include H 2 O, hydrogen (H), oxygen (O), hydroxyl group (OH), and hydrogen radical.
  • One or more of (H * ), oxygen radical (O * ), and hydroxy radical (OH * ) are present. These can be supplied by a deposition process of the metal oxide layer 20, a dope process after the deposition of the metal oxide layer 20, and the like.
  • Step (i) in FIG. 1 shows an example in which the interface between the metal oxide layer 20 and the resin layer 23 and the metal oxide layer 20 have H 2 O, H, O, and the like, respectively.
  • the interface between the metal oxide layer 20 and the resin layer 23, and H, O, H 2 O and the like supplied into the metal oxide layer 20 solidify (solidify) the resin layer 23 (for example, polyimide). May be precipitated as H 2 O at the interface in the step of curing (for example, heating at 350 ° C.).
  • H 2 O deposited at the interface between the metal oxide layer 20 and the resin layer 23 may inhibit the adhesion between the metal oxide layer 20 and the resin layer 23. That is, H 2 O deposited at the interface between the metal oxide layer 20 and the resin layer 23 has an action (inhibition action) that inhibits adhesion.
  • Step (ii) in FIG. 1 shows an example in which H 2 O in the metal oxide layer 20 is deposited at the interface between the metal oxide layer 20 and the resin layer 23.
  • Step (ii) in FIG. 1 shows an example in which hydrogen and hydroxyl groups (OH) in the metal oxide layer 20 are precipitated as H 2 O at the interface between the metal oxide layer 20 and the resin layer 23.
  • Step (iii) in FIG. 2 shows an example in which the stacked body is arranged with the manufacturing substrate 14 positioned on the upper side.
  • step (iii) of FIG. 2 light is irradiated from the right side to the left side of the drawing by moving the stack in the direction of the arrow in the drawing using a transport mechanism (not shown). Light is applied to the interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof through the manufacturing substrate 14.
  • a transport mechanism not shown.
  • Light is applied to the interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof through the manufacturing substrate 14.
  • an example using linear laser light is shown.
  • FIG. 2 show an example in which the processing region 27 is irradiated with the linear beam 26 through the manufacturing substrate 14.
  • the interface between the metal oxide layer 20 and the resin layer 23 (and further in the metal oxide layer 20 and the resin layer 23) is heated by the light irradiation. Further, by light irradiation, H 2 O present at the interface between the metal oxide layer 20 and the resin layer 23 is, instantaneously vaporized by the high-energy (evaporation) by ablating (explosion, also referred to).
  • Step (v) in FIG. 2 shows an example in which the top and bottom of the laminate is inverted.
  • Step (vi) in FIG. 2 shows an example in which the metal oxide layer 20 and the resin layer 23 are separated.
  • H 2 O becomes water vapor and the volume expands.
  • the adhesiveness of the metal oxide layer 20 and the resin layer 23 becomes weak, and the metal oxide layer 20 and the resin layer 23 can be separated.
  • a metal oxide layer 20 and a resin layer 23 are laminated.
  • a bond is generated between the metal oxide layer 20 and the resin layer 23.
  • chemical bonds such as covalent bonds, ionic bonds, and hydrogen bonds are generated between the metal oxide layer 20 and the resin layer 23.
  • Step (i) in FIG. 3 shows an example in which the metal M included in the metal oxide layer 20 and the carbon C included in the resin layer 23 are bonded by oxygen O.
  • Light is irradiated to the laminated structure of the metal oxide layer 20 and the resin layer 23 (see laser light 55 in FIG. 3).
  • laser light 55 is shown.
  • the laser beam 55 is scanned by relatively moving the substrate and the light source, and the laser beam 55 is irradiated over a region to be peeled off.
  • the interface between the metal oxide layer 20 and the resin layer 23 (and also in the metal oxide layer 20 and the resin layer 23) is heated by the light irradiation, and the reaction of the formula (1) (see below and FIG. 3) occurs. .
  • H 2 O water vapor
  • the bond between the metal oxide layer 20 and the resin layer 23 is a hydrogen bond.
  • Step (ii) in FIG. 3 shows an example in which the metal M and oxygen O included in the metal oxide layer 20 are combined, and the carbon C included in the resin layer 23 and another oxygen O are combined.
  • Each of the two oxygens forms a covalent bond with another hydrogen.
  • the two oxygens form hydrogen bonds with hydrogen bonded to the other oxygen, respectively.
  • a hydrogen bond is an extremely weak bond compared to a covalent bond, and thus can be easily cleaved. Moreover, water evaporates into water vapor by the energy of light irradiation. At this time, the hydrogen bond between the metal oxide layer 20 and the resin layer 23 may be broken by the expanding force. Therefore, the metal oxide layer 20 and the resin layer 23 can be easily separated.
  • Step (iii) in FIG. 3 shows an example in which oxygen and hydrogen bonded by hydrogen bonds are separated and the metal oxide layer 20 and the resin layer 23 are separated.
  • the metal M and oxygen O included in the metal oxide layer 20 are combined, and the carbon C included in the resin layer 23 and another oxygen O are combined.
  • Each of the two oxygens forms a covalent bond with another hydrogen.
  • H 2 O is a strong bond between the metal oxide layer 20 and the resin layer 23, a weak bond Change to a hydrogen bond.
  • the force required for the separation between the metal oxide layer 20 and the resin layer 23 can be reduced.
  • the metal oxide layer 20 and the resin layer 23 can be separated by the expansion of H 2 O by the energy of light irradiation.
  • H 2 O may be present in the metal oxide layer 20, the resin layer 23, the interface between the metal oxide layer 20 and the resin layer 23, or the like.
  • H hydrogen
  • O oxygen
  • OH hydroxyl group
  • H * oxygen radicals
  • OH * hydroxy radicals
  • the surface of the metal oxide layer 20 (the surface in contact with the resin layer 23), or the interface between the metal oxide layer 20 and the resin layer 23, H 2 O, hydrogen (H), oxygen (O ), A hydroxyl group (OH), a hydrogen radical (H * ), an oxygen radical (O * ), or a hydroxy radical (OH * ) is preferably added.
  • the above-described inhibitory action and the reaction of the formula (1) shown above may occur simultaneously.
  • the adhesion between the metal oxide layer 20 and the resin layer 23 can be further reduced, in other words, the peelability between the metal oxide layer 20 and the resin layer 23 can be further improved.
  • H 2 O hydrogen (H), oxygen (O), in a hydroxyl group (OH), hydrogen radicals ( It is preferable to have a lot of H * ), oxygen radicals (O * ), hydroxy radicals (OH * ) and the like.
  • H 2 O hydrogen, oxygen, a hydroxyl group, a hydrogen radical (H * ), an oxygen radical (O * ), Hydroxy radicals (OH * ) and the like are preferably included.
  • the metal oxide layer 20 by forming a metal layer and performing radical treatment on the surface of the metal layer.
  • radical treatment it is preferable to expose the surface of the metal layer to an atmosphere containing at least one of oxygen radicals and hydroxy radicals.
  • plasma treatment is preferably performed in an atmosphere containing one or both of oxygen and water vapor (H 2 O).
  • the metal oxide layer 20 it is preferable to form the metal oxide layer 20 and perform radical treatment on the surface of the metal oxide layer 20.
  • the radical treatment it is preferable to expose the surface of the metal oxide layer 20 to an atmosphere containing at least one of oxygen radicals, hydrogen radicals, and hydroxy radicals.
  • the plasma treatment is preferably performed in an atmosphere containing one or more of oxygen, hydrogen, and water vapor (H 2 O).
  • the radical treatment can be performed using a plasma generator or an ozone generator.
  • oxygen plasma treatment hydrogen plasma treatment, water plasma treatment, ozone treatment, or the like can be performed.
  • the oxygen plasma treatment can be performed by generating plasma in an atmosphere containing oxygen.
  • the hydrogen plasma treatment can be performed by generating plasma in an atmosphere containing hydrogen.
  • the water plasma treatment can be performed by generating plasma in an atmosphere containing water vapor (H 2 O). In particular, performing water plasma treatment is preferable because a large amount of moisture can be contained in the surface or inside of the metal oxide layer 20.
  • Plasma treatment in an atmosphere containing two or more of oxygen, hydrogen, water (water vapor), and an inert gas (typically argon) may be performed.
  • the plasma treatment include plasma treatment in an atmosphere containing oxygen and hydrogen, plasma treatment in an atmosphere containing oxygen and water, plasma treatment in an atmosphere containing water and argon, and oxygen and argon.
  • a plasma treatment in an atmosphere containing oxygen, water, and argon is preferable because the plasma treatment can be performed while damaging the metal layer or the metal oxide layer 20.
  • Two or more plasma treatments may be performed continuously without exposure to the atmosphere.
  • the water plasma treatment may be performed after the argon plasma treatment.
  • FIG. 4 shows an example in which the resin layer 23 includes hydrogen H and hydroxyl group OH bonded to carbon C. These may be heated by heat treatment or light irradiation to become H 2 O.
  • Light can be irradiated using a lamp, a laser device, or the like.
  • a linear laser device It is preferable to irradiate laser light using a linear laser device. Since a laser device of a production line such as low-temperature polysilicon (LTPS (Low Temperature Poly-Silicon)) can be used, these devices can be used effectively.
  • the linear laser is focused in a rectangular shape (formed into a linear laser beam), and irradiates light on the interface between the metal oxide layer and the resin layer.
  • LTPS Low Temperature Poly-Silicon
  • the light is preferably irradiated so that the wavelength region has 180 nm or more and 450 nm or less. More preferably, the light is irradiated so that the wavelength region has 308 nm or its vicinity.
  • the energy density of the light is preferably from 250 mJ / cm 2 or more 400 mJ / cm 2 or less, 250 mJ / cm 2 or more 360 mJ / cm 2 or less being more preferred.
  • the number of shots of laser light irradiated to the same location can be 1 shot or more and 50 shots or less, preferably more than 1 shot and 10 shots or less, more than 1 shot. 5 shots or less are more preferable.
  • the number of shots of laser light is preferably 1.1 shots or more, and more preferably 1.25 shots or more.
  • the number of shots of laser light refers to the number of times laser light is irradiated to a certain point (region), and is determined by the beam width, scan speed, frequency, overlap rate, or the like. Further, there is an overlapping portion between pulses that move the linear beam in a certain scanning direction, that is, between one shot and the next shot, and the overlapping ratio is the overlap ratio. Note that the closer the overlap rate is to 100%, the larger the number of shots, the farther the distance is, the smaller the number of shots, and the faster the scanning speed, the smaller the number of shots.
  • the number of shots of the laser beam is 1.1, it means that there is an overlap of about 1/10 width between two consecutive shots, and it can be said that the overlap rate is 10%.
  • a 1.25 shot indicates that there is an overlap with a width of about a quarter of the beam between two consecutive shots, and it can be said that the overlap rate is 25%.
  • the energy density of the light irradiated in the laser crystallization step of LTPS is high, for example, 350 mJ / cm 2 or more and 400 mJ / cm 2 or less. Also, a large number of laser shots are required, and examples include 10 shots or more and 100 shots or less.
  • light irradiation for separating the metal oxide layer 20 and the resin layer 23 is performed with an energy density lower than that used in the laser crystallization step or with a smaller number of shots. Can do. Therefore, the number of substrates that can be processed by the laser device can be increased. In addition, it is possible to reduce the running cost of the laser device, such as reducing the frequency of maintenance of the laser device. Accordingly, manufacturing cost of a display device and the like can be reduced.
  • the light irradiation is performed with an energy density lower than that used in the laser crystallization process or with a smaller number of shots, damage to the substrate due to the laser light irradiation can be reduced. For this reason, even if the substrate is used once, the strength is hardly lowered and the substrate can be reused. Therefore, the cost can be suppressed.
  • the metal oxide layer 20 is disposed between the manufacturing substrate 14 and the resin layer 23.
  • light irradiation may be performed with a lower energy density or a smaller number of shots than when the metal oxide layer 20 is not used.
  • the light irradiation surface of the manufacturing substrate can be cleaned using an organic solvent such as acetone, water, or the like.
  • a metal oxide layer is formed over a substrate.
  • a resin layer is formed on the metal oxide layer.
  • an insulating layer covering the end portion of the resin layer is formed on the substrate and the resin layer.
  • a transistor including a metal oxide in a channel formation region is formed over the resin layer with an insulating layer interposed therebetween.
  • light is irradiated to the interface between the metal oxide layer and the resin layer or the vicinity thereof.
  • the separation starting point is formed by separating at least a part of the resin layer from the metal oxide layer. Then, the metal oxide layer and the resin layer are separated.
  • the insulating layer is provided so as to cover an end portion of the resin layer.
  • the insulating layer has higher adhesion or adhesion to the metal oxide layer than the resin layer.
  • the timing of separation of the metal oxide layer and the resin layer can be controlled, and the force required for separation is small. Thereby, the yield of the separation process of the metal oxide layer and the resin layer and the manufacturing process of the display device can be increased.
  • the display device of this embodiment preferably includes a metal oxide in a channel formation region of the transistor.
  • the metal oxide can function as an oxide semiconductor.
  • LTPS Low Temperature Poly-Silicon
  • a transistor using a metal oxide for a channel formation region can be formed at 350 ° C. or lower, further 300 ° C. or lower. Therefore, high heat resistance is not required for the resin layer. Therefore, the heat resistant temperature of the resin layer can be lowered, and the range of selection of materials is widened.
  • a transistor using a metal oxide for a channel formation region does not require a laser crystallization process.
  • light can be irradiated with a lower energy density or with a smaller number of shots than the conditions used in the laser crystallization process.
  • laser light is irradiated to the resin layer without passing through the substrate.
  • the resin layer is irradiated through the manufacturing substrate and the metal oxide layer.
  • the thickness of the resin layer can be reduced. Since the resin layer does not require high heat resistance and can be made thin, it can be expected to greatly reduce the cost of device fabrication. Further, the process can be simplified as compared with the case of using LTPS.
  • the display device of one embodiment of the present invention is not limited to a structure including a metal oxide in a channel formation region of a transistor.
  • silicon can be used for a channel formation region of a transistor.
  • amorphous silicon or crystalline silicon can be used.
  • crystalline silicon include microcrystalline silicon, polycrystalline silicon, single crystal silicon, and the like.
  • LTPS is preferably used for the channel formation region.
  • Polycrystalline silicon such as LTPS can be formed at a lower temperature than single crystal silicon, and has higher field-effect mobility and higher reliability than amorphous silicon.
  • the thickness of the resin layer 23 may be 0.1 ⁇ m or more and 5 ⁇ m or less. By forming the resin layer 23 thin, a display device can be manufactured at low cost. Further, the display device can be reduced in weight and thickness. In addition, the flexibility of the display device can be increased.
  • the visible light transmittance of the resin layer 23 is not particularly limited.
  • it may be a colored layer or a transparent layer.
  • the resin layer 23 is located on the display surface side of the display device, if the resin layer 23 is colored (colored), the light extraction efficiency is lowered, and the color of the extracted light is changed. Problems such as degradation in quality may occur.
  • the resin layer 23 can be removed using a wet etching apparatus, a dry etching apparatus, an ashing apparatus, or the like. In particular, it is preferable to remove the resin layer 23 by performing ashing using oxygen plasma.
  • the metal oxide layer 20 is provided between the manufacturing substrate 14 and the resin layer 23. Since the metal oxide layer 20 has a function of absorbing light, the effect of light irradiation can be obtained even if the light absorption rate of the resin layer 23 is low. Therefore, the resin layer 23 having a high visible light transmittance can be used. Therefore, even if the resin layer 23 is located on the display surface side of the display device, high display quality can be realized. Moreover, in order to improve display quality, the process of removing the colored (colored) resin layer 23 can be reduced. Moreover, the range of selection of the material of the resin layer 23 is expanded.
  • the average value of the light transmittance of the resin layer 23 in the wavelength range of 450 nm to 700 nm is preferably 70% to 100%, preferably 80% to 100%, and more preferably 90% to 100%.
  • a transistor or the like is formed at a temperature lower than the heat resistant temperature of the resin layer.
  • the heat resistance of the resin layer can be evaluated by, for example, a weight reduction rate by heating, specifically, a 5% weight reduction temperature.
  • the maximum temperature in the process can be lowered.
  • the 5% weight reduction temperature of the resin layer may be 200 ° C. or higher and 650 ° C. or lower, 200 ° C. or higher and 500 ° C. or lower, 200 ° C. or higher and 400 ° C. or lower, or 200 ° C. or higher and 350 ° C. or lower. it can. For this reason, the range of selection of materials is widened.
  • the 5% weight reduction temperature of the resin layer may be higher than 650 ° C.
  • a liquid containing water it is preferable to supply a liquid containing water to the separation interface before or during the separation.
  • the presence of water at the separation interface can further reduce the adhesion or adhesion between the resin layer 23 and the metal oxide layer 20 and reduce the force required for separation.
  • the bond between the resin layer 23 and the metal oxide layer 20 may be weakened or cut. Separation can proceed by breaking the bond between the resin layer 23 and the metal oxide layer 20 by using a chemical bond with the liquid. For example, when a hydrogen bond is formed between the resin layer 23 and the metal oxide layer 20, a liquid containing water is supplied so that the water and the resin layer 23 or the metal oxide layer 20 can be connected. It is conceivable that a hydrogen bond is formed in the metal layer and the hydrogen bond between the resin layer 23 and the metal oxide layer 20 is broken.
  • the metal oxide layer 20 preferably has a low surface tension and high wettability with respect to a liquid containing water. Thereby, the liquid containing water can be spread over the entire surface of the metal oxide layer 20, and the liquid containing water can be easily supplied to the separation interface. Uniform peeling can be achieved by spreading the water over the entire metal oxide layer 20.
  • the contact angle of the metal oxide layer 20 with the liquid containing water is preferably greater than 0 ° and 60 ° or less, and more preferably greater than 0 ° and 50 ° or less.
  • the wettability with respect to the liquid containing water is very high (for example, when a contact angle is about 20 degrees or less)
  • acquisition of the exact value of a contact angle may be difficult.
  • the metal oxide layer 20 is more suitable as the wettability with respect to the liquid containing water is higher, the wettability with respect to the liquid containing water may be higher as the accurate value of the contact angle cannot be obtained.
  • the presence of a liquid containing water at the separation interface can suppress the static electricity generated during the separation from adversely affecting the functional elements included in the layer to be peeled (for example, the semiconductor element is destroyed by static electricity). Further, the surface of the layer to be peeled exposed by separation may be neutralized using an ionizer or the like.
  • the surface of the layer to be peeled exposed by the separation may be dried.
  • a thin film (an insulating film, a semiconductor film, a conductive film, or the like) included in the display device is formed by a sputtering method, a chemical vapor deposition (CVD) method, a vacuum evaporation method, or a pulsed laser deposition (PLD: Pulsed Laser Deposition).
  • CVD chemical vapor deposition
  • PLD Pulsed Laser Deposition
  • ALD Atomic Layer Deposition
  • the CVD method may be a plasma enhanced chemical vapor deposition (PECVD) method or a thermal CVD method.
  • PECVD plasma enhanced chemical vapor deposition
  • MOCVD metal organic chemical vapor deposition
  • Thin films (insulating films, semiconductor films, conductive films, etc.) constituting display devices are spin coat, dip, spray coating, ink jet, dispense, screen printing, offset printing, doctor knife, slit coat, roll coat, curtain coat, knife It can be formed by a method such as coating.
  • the thin film can be processed using a lithography method or the like.
  • an island-shaped thin film may be formed by a film formation method using a shielding mask.
  • the thin film may be processed by a nanoimprint method, a sand blast method, a lift-off method, or the like.
  • a photolithography method a resist mask is formed on a thin film to be processed, the thin film is processed by etching or the like, and the resist mask is removed. After forming a photosensitive thin film, exposure and development are performed. And a method for processing the thin film into a desired shape.
  • light used for exposure can be i-line (wavelength 365 nm), g-line (wavelength 436 nm), h-line (wavelength 405 nm), or light in which these are mixed.
  • ultraviolet light, KrF laser light, ArF laser light, or the like can be used.
  • exposure may be performed by an immersion exposure technique.
  • extreme ultraviolet light (EUV: Extreme-violet) or X-rays may be used as light used for exposure.
  • an electron beam can be used instead of the light used for exposure. It is preferable to use extreme ultraviolet light, X-rays, or an electron beam because extremely fine processing is possible. Note that a photomask is not necessary when exposure is performed by scanning a beam such as an electron beam.
  • etching the thin film For etching the thin film, a dry etching method, a wet etching method, a sand blasting method, or the like can be used.
  • the metal oxide layer 20 is formed over the manufacturing substrate 14 (FIG. 5A1).
  • the metal layer 19 and the metal oxide layer 20 are stacked over the manufacturing substrate 14 (FIG. 5A2).
  • the manufacturing substrate 14 has rigidity to such an extent that it can be easily transported, and has heat resistance with respect to the temperature required for the manufacturing process.
  • a material that can be used for the manufacturing substrate 14 include glass, quartz, ceramic, sapphire, resin, semiconductor, metal, and alloy.
  • the glass include alkali-free glass, barium borosilicate glass, and alumino borosilicate glass.
  • a base layer is formed between the formation substrate 14 and the resin layer 23.
  • the foundation layer is a layer having lower adhesion (adhesiveness) with the resin layer 23 than the manufacturing substrate 14.
  • adhesion adhesion
  • the case where the metal oxide layer 20 is used will be described as an example, but the present invention is not limited to this.
  • the base layer includes titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, tin, hafnium, yttrium, zirconium, magnesium, lanthanum, cerium, neodymium, bismuth, and niobium.
  • a layer having one or more can be used.
  • the underlayer can contain metals, alloys, and compounds thereof (such as metal oxides).
  • the underlayer preferably includes one or more of titanium, molybdenum, aluminum, tungsten, silicon, indium, zinc, gallium, tantalum, and tin.
  • the material of the underlayer is not limited to an inorganic material, and an organic material may be used.
  • an organic material may be used.
  • various organic materials that can be used for the EL layer of the organic EL element may be used.
  • a vapor-deposited film of these organic materials can be used as the base layer. Thereby, a film having low adhesion can be formed.
  • metal layer 19 Various metals, alloys, and the like can be used for the metal layer 19.
  • metal oxide layer 20 can be used for the metal oxide layer 20.
  • the metal oxide include indium tin oxide containing titanium oxide (TiO x ), molybdenum oxide, aluminum oxide, tungsten oxide, and silicon. (ITSO), indium zinc oxide, In-Ga-Zn oxide, and the like can be given.
  • metal oxides include indium oxide, indium oxide containing titanium, indium oxide containing tungsten, indium tin oxide (ITO), ITO containing titanium, indium zinc oxide containing tungsten, and zinc oxide (ZnO).
  • ZnO zinc oxide
  • the method of forming the metal oxide layer 20 can be formed using a sputtering method, a plasma CVD method, a vapor deposition method, a sol-gel method, an electrophoresis method, a spray method, or the like.
  • the metal oxide layer 20 can be formed by introducing oxygen into the metal layer. At this time, only the surface of the metal layer or the entire metal layer is oxidized. In the former case, a laminated structure of the metal layer 19 and the metal oxide layer 20 is formed by introducing oxygen into the metal layer (FIG. 5 (A2)).
  • the metal layer can be oxidized by heating the metal layer in an atmosphere containing oxygen. It is preferable to heat the metal layer while flowing a gas containing oxygen.
  • the temperature for heating the metal layer is preferably 100 ° C. or higher and 500 ° C. or lower, more preferably 100 ° C. or higher and 450 ° C. or lower, more preferably 100 ° C. or higher and 400 ° C. or lower, and further preferably 100 ° C. or higher and 350 ° C. or lower.
  • the metal layer is preferably heated at a temperature equal to or lower than the maximum temperature in manufacturing the transistor. Thereby, it can prevent that the maximum temperature in manufacture of a display apparatus becomes high.
  • the temperature By setting the temperature to be equal to or lower than the maximum temperature in manufacturing a transistor, it is possible to divert a manufacturing apparatus or the like in a transistor manufacturing process, and thus it is possible to suppress additional equipment investment. Therefore, a display device with reduced production costs can be obtained.
  • the manufacturing temperature of the transistor is up to 350 ° C.
  • the temperature of the heat treatment is preferably 350 ° C. or lower.
  • the metal layer can be oxidized by performing radical treatment on the surface of the metal layer.
  • radical treatment it is preferable to expose the surface of the metal layer to an atmosphere containing at least one of oxygen radicals and hydroxy radicals.
  • plasma treatment is preferably performed in an atmosphere containing one or both of oxygen and water vapor (H 2 O).
  • hydrogen, oxygen, hydrogen radicals (H * ), oxygen radicals (O * ), hydroxy radicals (OH * ), or the like is included in the surface or inside of the metal oxide layer 20 so that the metal oxide layer The force required for separating the resin layer 20 from the resin layer 23 can be reduced. From this point of view, it is preferable to perform radical treatment or plasma treatment to form the metal oxide layer 20.
  • the metal layer is oxidized by performing radical treatment or plasma treatment on the surface of the metal layer, a step of heating the metal layer at a high temperature becomes unnecessary. Therefore, it is possible to prevent the maximum temperature in manufacturing the display device from increasing.
  • the metal oxide layer 20 can be formed in an oxygen atmosphere.
  • the metal oxide layer 20 can be formed by forming a metal oxide film using a sputtering method while flowing a gas containing oxygen.
  • the radical treatment it is preferable to expose the surface of the metal oxide layer 20 to an atmosphere containing at least one of oxygen radicals, hydrogen radicals, and hydroxy radicals.
  • the plasma treatment is preferably performed in an atmosphere containing one or more of oxygen, hydrogen, and water vapor (H 2 O).
  • an ion implantation method As a method for introducing oxygen, hydrogen, water, or the like, an ion implantation method, an ion doping method, a plasma immersion ion implantation method, or the like can be used.
  • the thickness of the metal layer 19 is preferably 1 nm to 100 nm, more preferably 1 nm to 50 nm, and more preferably 1 nm to 20 nm.
  • the thickness of the metal oxide layer 20 is, for example, preferably 1 nm to 200 nm, more preferably 5 nm to 100 nm, and more preferably 5 nm to 50 nm.
  • the thickness of the metal oxide layer 20 finally formed may become thicker than the thickness of the formed metal layer.
  • the contact angle of the metal oxide layer 20 with the liquid containing water is preferably greater than 0 ° and 60 ° or less, and more preferably greater than 0 ° and 50 ° or less.
  • titanium oxide, tungsten oxide, or the like is suitable for the metal oxide layer 20.
  • titanium oxide is used, cost can be reduced as compared with tungsten oxide, which is preferable.
  • the first layer 24 is formed over the metal oxide layer 20 (FIG. 5B).
  • FIG. 5B shows an example in which the first layer 24 is formed over the entire surface of the metal oxide layer 20 using a coating method.
  • the present invention is not limited to this, and the first layer 24 may be formed using a printing method or the like.
  • an island-shaped first layer 24 On the metal oxide layer 20, an island-shaped first layer 24, a first layer 24 having an opening or an uneven shape, and the like may be formed.
  • the first layer 24 can be formed using various resin materials (including a resin precursor).
  • the first layer 24 is preferably formed using a thermosetting material.
  • the first layer 24 may be formed using a photosensitive material, or may be formed using a non-photosensitive material (also referred to as a non-photosensitive material).
  • the first layer 24 is preferably formed using a material containing a polyimide resin or a polyimide resin precursor.
  • the first layer 24 can be formed using, for example, a material containing a polyimide resin and a solvent, or a material containing a polyamic acid and a solvent. Since polyimide is a material that is suitably used for a planarizing film or the like of a display device, the film forming device and the material can be shared. Therefore, no new device or material is required to realize the structure of one embodiment of the present invention.
  • the resin layer 23 preferably has a residue of a compound (oxydiphthalic acid) represented by the structural formula (100).
  • a polyimide resin obtained by using an acid component containing oxydiphthalic acid or an oxydiphthalic acid derivative and an amine component containing an aromatic amine or an aromatic amine derivative is suitable.
  • the oxydiphthalic acid derivative include oxydiphthalic anhydride.
  • the resin layer 23 may contain fluorine. When the resin layer 23 contains fluorine, hydrogen bonds between the metal oxide layer 20 and the resin layer 23 may be formed using the fluorine.
  • examples of the resin material that can be used for forming the first layer 24 include acrylic resin, epoxy resin, polyamide resin, polyimide amide resin, siloxane resin, benzocyclobutene resin, phenol resin, and those resins. A precursor etc. are mentioned.
  • the first layer 24 is preferably formed using a spin coater. By using the spin coating method, a thin film can be uniformly formed on a large substrate.
  • the first layer 24 is preferably formed using a solution having a viscosity of 5 cP or more and less than 500 cP, preferably 5 cP or more and less than 100 cP, more preferably 10 cP or more and 50 cP or less.
  • the lower the viscosity of the solution the easier the application.
  • the lower the viscosity of the solution the more air bubbles can be prevented and the better the film can be formed.
  • examples of the method for forming the first layer 24 include dip, spray coating, ink jet, dispensing, screen printing, offset printing, doctor knife, slit coat, roll coat, curtain coat, knife coat and the like.
  • the heat treatment can be performed, for example, while flowing a gas containing one or more of oxygen, nitrogen, and a rare gas (such as argon) inside the chamber of the heating device.
  • a gas containing one or more of oxygen, nitrogen, and a rare gas such as argon
  • the heat treatment can be performed using a chamber of a heating device, a hot plate, or the like in an air atmosphere.
  • the resin layer 23 When heating is performed in an air atmosphere or a gas containing oxygen, the resin layer 23 may be colored due to oxidation, and the permeability to visible light may be reduced.
  • degassing components for example, hydrogen, water, etc.
  • the film to be the resin layer 23 is preferably heated at 350 ° C. or higher and 450 ° C. or lower, more preferably 400 ° C. or lower, and even more preferably 375 ° C. or lower. Thereby, degassing from the resin layer 23 in the transistor manufacturing process can be significantly suppressed.
  • the temperature of the heat treatment is preferably a temperature that is equal to or lower than the maximum temperature in manufacturing the transistor.
  • the temperature of the heat treatment is preferably 350 ° C. or lower.
  • the maximum temperature in the manufacture of the transistor and the temperature of the heat treatment are made equal, the maximum temperature in the manufacture of the display device can be prevented by performing the heat treatment, and the degassing component of the resin layer 23 can be reduced. preferable.
  • the heat treatment time is preferably, for example, 5 minutes to 24 hours, more preferably 30 minutes to 12 hours, and further preferably 1 hour to 6 hours. Note that the heat treatment time is not limited thereto. For example, when the heat treatment is performed using an RTA (Rapid Thermal Annealing) method, it may be less than 5 minutes.
  • RTA Rapid Thermal Annealing
  • the heating device various devices such as an electric furnace and a device for heating an object to be processed by heat conduction or heat radiation from a heating element such as a resistance heating element can be used.
  • an RTA apparatus such as a GRTA (Gas Rapid Thermal Anneal) apparatus or an LRTA (Lamp Rapid Thermal Anneal) apparatus can be used.
  • the LRTA apparatus is an apparatus that heats an object to be processed by radiation of light (electromagnetic waves) emitted from a lamp such as a halogen lamp, a metal halide lamp, a xenon arc lamp, a carbon arc lamp, a high pressure sodium lamp, or a high pressure mercury lamp.
  • the GRTA apparatus is an apparatus that performs heat treatment using a high-temperature gas. Since the processing time can be shortened by using an RTA apparatus, it is preferable for mass production. Further, the heat treatment may be performed using an in-line heating apparatus.
  • the thickness of the resin layer 23 may change from the thickness of the first layer 24 due to the heat treatment. For example, when the solvent contained in the first layer 24 is removed or the curing proceeds and the density increases, the volume decreases and the resin layer 23 becomes thinner than the first layer 24. There is.
  • heat treatment for removing the solvent contained in the first layer 24 may be performed.
  • the pre-baking temperature can be appropriately determined according to the material used. For example, it can be performed at 50 ° C. or higher and 180 ° C. or lower, 80 ° C. or higher and 150 ° C. or lower, or 90 ° C. or higher and 120 ° C. or lower.
  • the heat treatment may also serve as a pre-bake treatment, and the solvent contained in the first layer 24 may be removed by the heat treatment.
  • the resin layer 23 has flexibility.
  • the manufacturing substrate 14 is less flexible than the resin layer 23.
  • the thickness of the resin layer 23 is preferably 0.01 ⁇ m or more and less than 10 ⁇ m, more preferably 0.1 ⁇ m or more and 5 ⁇ m or less, and further preferably 0.5 ⁇ m or more and 3 ⁇ m or less.
  • a display device can be manufactured at low cost. Further, the display device can be reduced in weight and thickness. In addition, the flexibility of the display device can be increased. By using a low viscosity solution, it becomes easy to form the resin layer 23 thin.
  • the thickness of the resin layer 23 is good also as 10 micrometers or more.
  • the thickness of the resin layer 23 may be 10 ⁇ m or more and 200 ⁇ m or less. Setting the thickness of the resin layer 23 to 10 ⁇ m or more is preferable because the rigidity of the display device can be increased.
  • the thermal expansion coefficient of the resin layer 23 is preferably 0.1 ppm / ° C. or more and 50 ppm / ° C. or less, more preferably 0.1 ppm / ° C. or more and 20 ppm / ° C. or less, and 0.1 ppm / ° C. or more and 10 ppm / ° C. or less. More preferably, it is not higher than ° C. As the coefficient of thermal expansion of the resin layer 23 is lower, it is possible to suppress the generation of cracks in the layer constituting the transistor or the like, or the damage of the transistor or the like due to heating.
  • an insulating layer or a functional element (such as a transistor or a display element) can be provided.
  • the layer to be peeled 25 preferably has an insulating layer.
  • the insulating layer preferably has a function of blocking hydrogen, oxygen, and water released from the metal oxide layer 20, the resin layer 23, and the like in a later heating step.
  • the layer to be peeled preferably includes, for example, a silicon nitride film, a silicon oxynitride film, or a silicon nitride oxide film.
  • a silicon nitride film is formed by a plasma CVD method using a deposition gas containing silane gas, hydrogen gas, and ammonia (NH 3 ) gas.
  • the thickness of the insulating layer is not particularly limited. For example, the thickness can be set to 50 nm to 600 nm, preferably 100 nm to 300 nm.
  • silicon oxynitride refers to a material having a higher oxygen content than nitrogen.
  • silicon nitride oxide refers to a material whose content is higher in nitrogen than oxygen.
  • a protective layer is a layer located in the outermost surface of a display apparatus.
  • the protective layer preferably has high transparency to visible light. It is preferable that the protective layer has an organic insulating film because it can suppress the surface of the display device from being scratched or cracks.
  • FIG. 5D illustrates an example in which the substrate 75a is attached to the layer 25 to be peeled using the adhesive layer 75b.
  • various curable adhesives such as an ultraviolet curable photocurable adhesive, a reactive curable adhesive, a thermosetting adhesive, and an anaerobic adhesive can be used. Further, an adhesive sheet or the like may be used.
  • the substrate 75a examples include polyester resins such as polyethylene terephthalate (PET) and polyethylene naphthalate (PEN), polyacrylonitrile resin, acrylic resin, polyimide resin, polymethyl methacrylate resin, polycarbonate (PC) resin, and polyethersulfone (PES). ) Resin, Polyamide resin (Nylon, Aramid, etc.), Polysiloxane resin, Cycloolefin resin, Polystyrene resin, Polyamideimide resin, Polyurethane resin, Polyvinyl chloride resin, Polyvinylidene chloride resin, Polypropylene resin, Polytetrafluoroethylene (PTFE) Resin, ABS resin, cellulose nanofiber, etc. can be used.
  • polyester resins such as polyethylene terephthalate (PET) and polyethylene naphthalate (PEN), polyacrylonitrile resin, acrylic resin, polyimide resin, polymethyl methacrylate resin, polycarbonate (PC) resin, and polyethersulfone (PES).
  • the laser beam 55 is irradiated (FIG. 6A).
  • the laser beam 55 is a linear laser beam scanned from the left side to the right side, and its major axis is perpendicular to the scanning direction and the incident direction (from top to bottom).
  • the stacked body is arranged so that the manufacturing substrate 14 is on the upper side.
  • the laminated body is irradiated with laser light 55 from the upper side of the laminated body (production substrate 14).
  • the laser light 55 is preferably applied to the interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof through the manufacturing substrate 14 (see the processing region 640 in FIG. 6A). Further, the laser beam 55 may be irradiated into the metal oxide layer 20 or may be irradiated into the resin layer 23.
  • the metal oxide layer 20 absorbs the laser light 55.
  • the resin layer 23 may absorb the laser light 55.
  • the absorption rate of the laser beam 55 in the stacked structure of the manufacturing substrate 14 and the metal oxide layer 20 is preferably 50% or more and 100% or less, more preferably 75% or more and 100% or less, and further preferably 80% or more and 100% or less.
  • the laminated structure absorbs most of the laser light 55, so that it can be reliably peeled off at the interface between the metal oxide layer and the resin layer 23. Moreover, the damage which the resin layer 23 receives from light can be reduced.
  • the adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23 is lowered.
  • the resin layer 23 may be weakened by the irradiation with the laser beam 55.
  • the laser light 55 light having a wavelength that is at least partially transmitted through the manufacturing substrate 14 and absorbed by the metal oxide layer 20 is selected and used.
  • the laser light 55 is preferably light in the wavelength region from visible light to ultraviolet light.
  • light having a wavelength of 180 nm to 450 nm, preferably 200 nm to 400 nm, more preferably light having a wavelength of 250 nm to 350 nm can be used.
  • the laser beam 55 preferably has an energy higher than the energy gap of the metal oxide layer 20.
  • the energy gap of titanium oxide is about 3.2 eV. Therefore, when titanium oxide is used for the metal oxide layer 20, the light preferably has an energy higher than 3.2 eV.
  • an excimer laser having a wavelength of 308 nm because the productivity is excellent. Since the excimer laser is also used for laser crystallization in LTPS, an existing LTPS production line device can be used, and new equipment investment is not required, which is preferable.
  • the energy of light having a wavelength of 308 nm is about 40 eV. That is, when titanium oxide is used for the metal oxide layer 20, an excimer laser with a wavelength of 308 nm is suitable.
  • a solid-state UV laser also referred to as a semiconductor UV laser
  • a UV laser having a wavelength of 355 nm which is the third harmonic of the Nd: YAG laser
  • a pulse laser such as a picosecond laser may be used.
  • the laser beam 55 is scanned by moving the manufacturing substrate 14 and the light source relatively, and the laser beam 55 is irradiated over a region to be peeled off.
  • FIG. 7A shows a comparative example in which a resin layer 23 is formed on and in contact with the manufacturing substrate 14.
  • a resin layer 23 is formed on and in contact with the manufacturing substrate 14.
  • the region 16 irradiated with light is interrupted just below the foreign substance 18. This part is less peelable than other parts, and there is a concern that the yield of the process of separating the manufacturing substrate 14 and the resin layer 23 may be reduced.
  • a base layer is formed between the manufacturing substrate 14 and the resin layer 23.
  • the underlayer preferably has a layer having high thermal conductivity.
  • the metal layer 19 shown in FIG. 7B has high thermal conductivity, the metal layer 19 around the foreign material 18 is heated even if the foreign material 18 is attached to the light irradiation surface of the manufacturing substrate 14.
  • heat is conducted uniformly throughout the metal layer 19. Since heat is also transmitted to the portion of the metal layer 19 that is behind the foreign matter 18, it is possible to suppress the occurrence of a portion having low peelability.
  • a heated region 17 is formed on the entire surface including directly under the foreign material 18 at or near the interface between the metal layer 19 and the metal oxide layer 20.
  • one or a plurality of regions that are not exposed to light may be provided.
  • the area of the region not exposed to light is not particularly limited, and is, for example, 1 ⁇ m 2 or more and 1 cm 2 or less, respectively. In some cases, the area of the region not exposed to light may be 1 ⁇ m 2 or less, or 1 cm 2 or more.
  • the manufacturing substrate 14 and the resin layer 23 are separated. Since the adhesion or adhesion between the metal oxide layer 20 and the resin layer 23 is low, separation occurs at the interface between the metal oxide layer 20 and the resin layer 23 (FIG. 6 (B1)). Moreover, separation may occur in the weakened resin layer 23.
  • the production substrate 14 and the resin layer 23 can be separated by applying a pulling force to the resin layer 23 in the vertical direction.
  • the resin layer 23 can be peeled from the manufacturing substrate 14 by adsorbing a part of the upper surface of the substrate 75a and pulling it upward.
  • FIG. 6B2 shows an example in which liquid is supplied to the separation interface using the liquid supply mechanism 21.
  • liquid to be supplied examples include water (preferably pure water), a neutral, alkaline, or acidic aqueous solution, and an aqueous solution in which a salt is dissolved. Moreover, ethanol, acetone, etc. are mentioned. Various organic solvents may be used.
  • a separation starting point may be formed by separating a part of the resin layer 23 from the manufacturing substrate 14 before separation.
  • the starting point of separation may be formed by inserting a sharp-shaped instrument such as a blade between the production substrate 14 and the resin layer 23.
  • the resin layer 23 may be cut from the substrate 75a side with a sharp tool to form the separation starting point.
  • the separation starting point may be formed by a method using a laser such as a laser ablation method.
  • the metal oxide layer 20 and the resin layer 23 are stacked and irradiated with light. Thereby, the adhesiveness or adhesiveness of the metal oxide layer 20 and the resin layer 23 can be reduced. Therefore, the manufacturing substrate 14 and the resin layer 23 can be easily separated.
  • the peeling method of this embodiment By using the peeling method of this embodiment, a peeling method with high productivity and a manufacturing method of a semiconductor device can be provided at low cost. Further, by using the substrate cleaning method of this embodiment, the separation substrate 14 can be cleaned or regenerated.
  • the manufacturing substrate 14 for example, a glass substrate
  • a stacked body of the manufacturing substrate 14 and the metal oxide layer 20 can be used repeatedly a plurality of times. Can be suppressed.
  • the metal oxide layer 20 remains on the manufacturing substrate 14 separated from the substrate 75a (see FIG. 6B1 and the like). Further, the resin layer 23 may remain on the metal oxide layer 20.
  • FIG. 8A1 illustrates an example in which the resin layer 23 partially remains on the metal oxide layer 20.
  • FIG. 8A2 illustrates an example in which the resin layer 23 remains in the metal oxide layer 20 in a film shape.
  • FIG. 8B shows an example in which ashing using oxygen plasma 50 is performed to remove the resin layer 23. Note that at least a part of the metal oxide layer 20 may be removed.
  • Examples of methods that can be used to remove at least one of the resin layer 23 and the metal oxide layer 20 include etching, ashing, cleaning, and polishing.
  • plasma treatment, light irradiation treatment, or the like may be performed.
  • etching method examples include a wet etching method, a dry etching method, and a sand blast method.
  • dry etching method examples include reactive ion etching (RIE) method, ICP etching method, ECR (Electron Cyclotron Resonance) etching method, parallel plate type (capacitive coupling type) etching method, magnetron plasma etching method, 2 Examples thereof include a frequency plasma etching method or a helicon wave plasma etching method.
  • Ashing using oxygen plasma is particularly suitable for removing organic materials such as resins.
  • the cleaning examples include ultrasonic cleaning such as megasonic cleaning, two-fluid jet cleaning, and the like. Moreover, it can wash
  • Polishing can be performed using, for example, a chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • a laser or a lamp can be used for the light irradiation treatment.
  • the light irradiation treatment for example, ultraviolet light can be irradiated.
  • UV ozone treatment may be performed.
  • the resin layer 23 can be removed as shown in FIG.
  • a part of the metal oxide layer 20 may be removed to reduce the thickness.
  • the metal oxide layer 20 may be removed, and the surface of the manufacturing substrate 14 may be exposed.
  • the manufacturing substrate 14 and the metal oxide layer 20 can be reused. Thereby, cost reduction can be aimed at.
  • the laminate can be used in the above peeling method. Specifically, without performing the step of forming the metal oxide layer 20 in FIG. 5A1, in FIG. 5B, on the stacked body illustrated in FIG. 8C1 or FIG. A first layer 24 can be formed. Thereby, the reduction of a process can be aimed at.
  • equipment having an ashing device will be described; however, one embodiment of the present invention is not limited thereto.
  • an etching device instead of the ashing device, an etching device, a cleaning device, a polishing device, or the like may be provided.
  • FIG. 9A shows an example of the multi-chamber facility 150.
  • FIG. 9B illustrates an example of the inline facility 160.
  • FIG. 9C illustrates an example of the ashing device 151.
  • Each of the multi-chamber facility 150 and the in-line facility 160 has one or more ashing devices 151.
  • the multi-chamber facility 150 includes an ashing device 151, a transfer chamber 152, a load lock chamber 153, a substrate supply chamber 155, and the like.
  • the substrate supply chamber 155 has one or more cassette ports 154 that accommodate a substrate to be processed.
  • FIG. 9A illustrates an example in which the substrate supply chamber 155 includes three cassette ports 154. The substrate supplied to the substrate supply chamber 155 is transferred to the vacuum chamber 171 in the ashing device 151 via the load lock chamber 153 and the transfer chamber 152, and ashing is performed.
  • the substrate after ashing is transferred from the ashing device to the substrate supply chamber 155 via the load lock chamber 153 and the transfer chamber 152.
  • a transfer robot for transferring the substrate to be processed is disposed in each of the substrate supply chamber 155 and the transfer chamber 152.
  • the in-line facility illustrated in FIG. 9B includes a pretreatment portion 161, a treatment chamber 162, a treatment chamber 163, a treatment chamber 164, a post treatment portion 165, an ashing device 151, and the like.
  • the pretreatment unit 161 includes a loader unit 161a and a pretreatment chamber 161b.
  • the loader unit 161a is a room for storing a plurality of substrates carried in at atmospheric pressure and reducing the pressure to a desired pressure by an exhaust unit (not shown).
  • an exhaust unit not shown
  • impurities attached to the substrate by the pretreatment are removed. Examples of the pretreatment include vacuum heat treatment and UV irradiation treatment.
  • FIG. 9B illustrates a treatment chamber 162, a treatment chamber 163, and a treatment chamber 164.
  • One or more processing chambers may be further provided between the processing chamber 163 and the processing chamber 164.
  • processes such as film formation, processing, and separation can be performed.
  • Each processing chamber has a transfer mechanism and an exhaust mechanism.
  • a buffer unit 169 is provided between the processing chambers. Through the buffer portion 169, the substrate can be transferred to a processing chamber having a different pressure.
  • the manufacturing substrate 14 on which the metal oxide layer 20 is formed in advance is carried in will be described.
  • the manufacturing substrate 14 on which the metal oxide layer 20 is formed is carried into the pretreatment unit 161.
  • the pretreatment unit 161 performs pretreatment on the production substrate 14
  • the production substrate 14 is carried into the treatment chamber 162.
  • the resin layer 23, the layer to be peeled 25, the substrate 75 a, and the like are formed over the metal oxide layer 20 while the manufacturing substrate 14 is transported from the processing chamber 162 to the front of the processing chamber 164.
  • the metal oxide layer 20 and the resin layer 23 are separated.
  • the stacked body including the substrate 75a is carried into the post-processing unit 165.
  • the manufacturing substrate 14 in which the metal oxide layer 20 and the resin layer 23 remain is carried into the ashing device 151.
  • the resin layer 23 can be removed by ashing.
  • the manufacturing substrate 14 from which the resin layer 23 is removed and the metal oxide layer 20 remains is carried into the processing chamber 162 from the ashing device 151.
  • the processing is repeated after the processing chamber 162. Thereby, the production substrate 14 and the metal oxide layer 20 can be used repeatedly.
  • the post-processing unit 165 includes a post-processing chamber 165a and an unloader unit 165b.
  • a substrate such as a film can be bonded to the surface exposed by separation of the stacked body including the substrate 75a.
  • a laminated body can be carried out from the unloader part 165b.
  • An ashing device 151 illustrated in FIG. 9C includes a vacuum chamber 171.
  • a plurality of gas outlets and an ICP coil 172 (inductively coupled plasma coil) that is a plasma generation source are arranged on the upper portion of the vacuum chamber 171.
  • Each of the plurality of gas outlets is connected to a gas supply source for supplying oxygen gas via a gas flow path 173.
  • the gas supply source includes a mass flow controller or the like, and can supply oxygen gas to the gas flow path 173 at a desired flow rate (more than 0 and 1000 sccm or less). Oxygen gas supplied from the gas supply source is supplied from the gas flow path 173 into the vacuum chamber 171 through the gas outlet.
  • the ICP coil 172 is formed by arranging a plurality of strip-shaped conductors in a spiral shape. One end of each conductor is electrically connected to a high frequency power source 174 (for example, 13.56 MHz) via a matching circuit for impedance adjustment, and the other end is grounded.
  • a high frequency power source 174 for example, 13.56 MHz
  • a substrate stage 175 that functions as a lower electrode is disposed below the vacuum chamber 171.
  • the substrate 176 to be processed is detachably held on the substrate stage 175 by an electrostatic chuck or the like provided on the substrate stage 175.
  • the substrate stage 175 includes a heater as a heating mechanism and a He gas flow path as a cooling mechanism.
  • the substrate stage 175 is connected to a high frequency power source 177 (for example, 3.2 MHz) for applying a substrate bias voltage.
  • the vacuum chamber 171 is provided with an exhaust port and is provided with an automatic pressure control valve 178 (also referred to as “automatic pressure control valve” or “APC”).
  • APC automatic pressure control valve
  • the APC is connected to the turbo molecular pump 179a, and further connected to the dry pump 179b via the turbo molecular pump 179a.
  • APC controls the pressure in the vacuum chamber, and the turbo molecular pump 179a and the dry pump 179b depressurize the vacuum chamber 171.
  • the resin layer 23 can be removed by generating oxygen plasma in the vacuum chamber 171 shown in FIG. 9C and irradiating the resin layer 23 provided on the substrate 176 with oxygen plasma. .
  • the metal oxide layer 20 is formed over the manufacturing substrate 14 (FIG. 10A).
  • the description in the peeling method can be referred to.
  • the first layer 24 is formed over the metal oxide layer 20 (FIG. 10B).
  • the description in the peeling method can be referred to.
  • the first layer 24 is formed using a material having photosensitivity and thermosetting property. Note that the first layer 24 may be formed using a non-photosensitive material.
  • FIG. 10C shows an example in which the island-shaped resin layer 23 is formed.
  • the shape of the resin layer 23 is not limited to one island shape, and may be a plurality of island shapes, a shape having openings, or the like. Further, an uneven shape may be formed on the surface of the resin layer 23 using an exposure technique using a halftone mask or a gray tone mask, a multiple exposure technique, or the like.
  • the resin layer 23 having a desired shape can be formed. This method is particularly suitable when a non-photosensitive material is used.
  • an inorganic film is formed on the resin layer 23, and a resist mask is formed on the inorganic film. After the inorganic film is etched using the resist mask, the resin layer 23 can be etched using the inorganic film as a hard mask.
  • Examples of the inorganic film that can be used as the hard mask include various inorganic insulating films, metal films and alloy films that can be used for the conductive layer, and the like.
  • the mask be formed with a very thin thickness and be removed at the same time as the etching because the number of steps for removing the mask can be reduced.
  • the insulating layer 31 is formed over the resin layer 23 (FIG. 10D).
  • the insulating layer 31 is formed to cover the end portion of the resin layer 23.
  • the metal oxide layer 20 there is a portion where the resin layer 23 is not provided. Therefore, the insulating layer 31 can be formed in contact with the metal oxide layer 20.
  • the insulating layer 31 is formed at a temperature not higher than the heat resistance temperature of the resin layer 23. It is preferable to form at a temperature lower than the temperature of the heat treatment.
  • the insulating layer 31 can be used as a barrier layer that prevents impurities contained in the resin layer 23 from diffusing into transistors and display elements to be formed later.
  • the insulating layer 31 preferably prevents diffusion of moisture or the like contained in the resin layer 23 into the transistor or the display element when the resin layer 23 is heated. Therefore, the insulating layer 31 preferably has a high barrier property.
  • an inorganic insulating film such as a silicon nitride film, a silicon oxynitride film, a silicon oxide film, a silicon nitride oxide film, an aluminum oxide film, or an aluminum nitride film can be used.
  • a hafnium oxide film, an yttrium oxide film, a zirconium oxide film, a gallium oxide film, a tantalum oxide film, a magnesium oxide film, a lanthanum oxide film, a cerium oxide film, a neodymium oxide film, or the like may be used. Two or more of the above insulating films may be stacked.
  • the inorganic insulating film is denser and has a higher barrier property as the deposition temperature is higher, and thus it is preferable to form the inorganic insulating film at a high temperature.
  • the substrate temperature during the formation of the insulating layer 31 is preferably room temperature (25 ° C.) or higher and 350 ° C. or lower, more preferably 100 ° C. or higher and 300 ° C. or lower.
  • the transistor 40 is formed over the insulating layer 31 (FIG. 10E).
  • the structure of the transistor included in the display device There is no particular limitation on the structure of the transistor included in the display device. For example, a planar transistor, a staggered transistor, or an inverted staggered transistor may be used. Further, any transistor structure of a top gate structure or a bottom gate structure may be employed. Alternatively, gate electrodes may be provided above and below the channel.
  • the metal oxide layer 44 can function as a semiconductor layer of the transistor 40.
  • the metal oxide can function as an oxide semiconductor.
  • an oxide semiconductor is used as a semiconductor of the transistor. It is preferable to use a semiconductor material with a wider band gap and lower carrier density than silicon because current in an off state of the transistor can be reduced.
  • the transistor 40 is formed at a temperature lower than the heat resistant temperature of the resin layer 23.
  • the transistor 40 is preferably formed at a temperature lower than the temperature for heat treatment.
  • the conductive layer 41 is formed on the insulating layer 31.
  • the conductive layer 41 can be formed by forming a conductive film, forming a resist mask, etching the conductive film, and then removing the resist mask.
  • the substrate temperature during the formation of the conductive film is preferably room temperature to 350 ° C., more preferably room temperature to 300 ° C.
  • Each of the conductive layers included in the display device has a single-layer structure of a metal such as aluminum, titanium, chromium, nickel, copper, yttrium, zirconium, molybdenum, silver, tantalum, or tungsten, or an alloy containing the metal as a main component, or It can be used as a laminated structure.
  • a metal such as aluminum, titanium, chromium, nickel, copper, yttrium, zirconium, molybdenum, silver, tantalum, or tungsten
  • an alloy containing the metal as a main component or It can be used as a laminated structure.
  • a light-transmitting conductive material such as ZnO containing gallium or ITO containing silicon may be used.
  • a semiconductor such as polycrystalline silicon or an oxide semiconductor, or a silicide such as nickel silicide, which has been reduced in resistance by containing an impurity element or the like, may be used.
  • a film containing graphene can be used. The film containing graphene can be formed by, for example, reducing a film containing graphene oxide.
  • a semiconductor such as an oxide semiconductor containing an impurity element may be used.
  • a conductive paste such as silver, carbon, or copper, or a conductive polymer such as polythiophene may be used. The conductive paste is preferable because it is inexpensive.
  • the conductive polymer is preferable because it is easy to apply.
  • the insulating layer 32 is formed.
  • an inorganic insulating film that can be used for the insulating layer 31 can be used.
  • the metal oxide layer 44 can be formed by forming a metal oxide film, forming a resist mask, etching the metal oxide film, and then removing the resist mask.
  • the substrate temperature during the formation of the metal oxide film is preferably 350 ° C. or less, more preferably from room temperature to 200 ° C., and further preferably from room temperature to 130 ° C.
  • the metal oxide film can be formed using one or both of an inert gas and an oxygen gas.
  • an inert gas and an oxygen gas.
  • the flow rate ratio of oxygen (oxygen partial pressure) during the formation of the metal oxide film is preferably 0% or more and 30% or less, and 5% or more and 30% or less. Is more preferably 7% or more and 15% or less.
  • the metal oxide film preferably contains at least indium or zinc. In particular, it is preferable to contain indium and zinc.
  • the metal oxide preferably has an energy gap of 2 eV or more, more preferably 2.5 eV or more, and further preferably 3 eV or more. In this manner, off-state current of a transistor can be reduced by using a metal oxide having a wide energy gap.
  • the metal oxide film can be formed by a sputtering method.
  • a PLD method a PECVD method, a thermal CVD method, an ALD method, a vacuum evaporation method, or the like may be used.
  • a conductive layer 43a and a conductive layer 43b are formed.
  • the conductive layer 43a and the conductive layer 43b can be formed by forming a conductive film, forming a resist mask, etching the conductive film, and then removing the resist mask.
  • the conductive layer 43a and the conductive layer 43b are connected to the metal oxide layer 44, respectively.
  • part of the metal oxide layer 44 that is not covered with the resist mask may be thinned by etching.
  • the substrate temperature during the formation of the conductive film is preferably room temperature to 350 ° C., more preferably room temperature to 300 ° C.
  • the transistor 40 can be manufactured (FIG. 10E).
  • part of the conductive layer 41 functions as a gate
  • part of the insulating layer 32 functions as a gate insulating layer
  • the conductive layer 43a and the conductive layer 43b function as either a source or a drain, respectively.
  • an insulating layer 33 that covers the transistor 40 is formed (FIG. 11A).
  • the insulating layer 33 can be formed by a method similar to that for the insulating layer 31.
  • an oxide insulating film such as a silicon oxide film or a silicon oxynitride film formed in an atmosphere containing oxygen is preferably used. Further, an insulating film that hardly diffuses and transmits oxygen such as a silicon nitride film is preferably stacked over the silicon oxide film or the silicon oxynitride film.
  • An oxide insulating film formed in an atmosphere containing oxygen can be an insulating film from which a large amount of oxygen is easily released by heating. By performing heat treatment in a state where such an oxide insulating film that releases oxygen and an insulating film that hardly diffuses and transmits oxygen are stacked, oxygen can be supplied to the metal oxide layer 44. As a result, oxygen vacancies in the metal oxide layer 44 and defects at the interface between the metal oxide layer 44 and the insulating layer 33 can be repaired, and the defect level can be reduced. Thereby, a display device with extremely high reliability can be realized.
  • the insulating layer 31, the transistor 40, and the insulating layer 33 can be formed over the resin layer 23 (FIG. 11A).
  • a device having no display element can be manufactured by separating the manufacturing substrate 14 and the transistor 40 by a method described later.
  • a semiconductor device can be manufactured by forming a transistor 40, a capacitor, a resistor, a wiring, and the like in addition to the transistor 40.
  • the insulating layer 34 is formed over the insulating layer 33 (FIG. 11A).
  • the insulating layer 34 is a layer having a formation surface of a display element to be formed later, and thus preferably functions as a planarization layer.
  • an organic insulating film or an inorganic insulating film that can be used for the insulating layer 31 can be used.
  • the insulating layer 34 is formed at a temperature not higher than the heat resistance temperature of the resin layer 23.
  • the insulating layer 34 is preferably formed at a temperature lower than the temperature for heat treatment.
  • the temperature applied to the resin layer 23 when the insulating layer 34 is formed is preferably room temperature to 350 ° C., more preferably room temperature to 300 ° C.
  • the substrate temperature during film formation is preferably room temperature or higher and 350 ° C. or lower, and more preferably 100 ° C. or higher and 300 ° C. or lower.
  • an opening reaching the conductive layer 43 b is formed in the insulating layer 34 and the insulating layer 33.
  • the conductive layer 61 is formed.
  • a part of the conductive layer 61 functions as a pixel electrode of the light emitting element 60.
  • the conductive layer 61 can be formed by forming a conductive film, forming a resist mask, etching the conductive film, and then removing the resist mask.
  • the conductive layer 61 is formed at a temperature not higher than the heat resistance temperature of the resin layer 23.
  • the conductive layer 61 is preferably formed at a temperature lower than the temperature of the heat treatment.
  • the substrate temperature during the formation of the conductive film is preferably room temperature to 350 ° C., more preferably room temperature to 300 ° C.
  • the insulating layer 35 covering the end portion of the conductive layer 61 is formed.
  • an organic insulating film or an inorganic insulating film that can be used for the insulating layer 31 can be used.
  • the insulating layer 35 is formed at a temperature not higher than the heat resistance temperature of the resin layer 23.
  • the insulating layer 35 is preferably formed at a temperature lower than the temperature of the heat treatment.
  • the temperature applied to the resin layer 23 when the insulating layer 35 is formed is preferably room temperature to 350 ° C., more preferably room temperature to 300 ° C.
  • the substrate temperature during film formation is preferably room temperature or higher and 350 ° C. or lower, more preferably 100 ° C. or higher and 300 ° C. or lower.
  • the EL layer 62 and the conductive layer 63 are formed. A part of the conductive layer 63 functions as a common electrode of the light emitting element 60.
  • the EL layer 62 can be formed by a method such as an evaporation method, a coating method, a printing method, or a discharge method.
  • the EL layer 62 can be formed by an evaporation method using a shadow mask such as a metal mask or an ink jet method.
  • a shadow mask such as a metal mask or an ink jet method.
  • an evaporation method that does not use a metal mask can be used.
  • a low molecular compound or a high molecular compound can be used, and an inorganic compound may be included.
  • the conductive layer 63 can be formed using a vapor deposition method, a sputtering method, or the like.
  • the conductive layer 63 is formed at a temperature not higher than the heat resistance temperature of the resin layer 23 and not higher than the heat resistance temperature of the EL layer 62. Further, it is preferably formed at a temperature lower than the temperature of the heat treatment.
  • the light-emitting element 60 can be formed (FIG. 11A).
  • the light-emitting element 60 has a structure in which a conductive layer 61 that partially functions as a pixel electrode, an EL layer 62, and a conductive layer 63 that partially functions as a common electrode are stacked.
  • top emission type light emitting element is manufactured as the light emitting element 60
  • one embodiment of the present invention is not limited thereto.
  • the light emitting element may be any of a top emission type, a bottom emission type, and a dual emission type.
  • a conductive film that transmits visible light is used for the electrode from which light is extracted.
  • a conductive film that reflects visible light is preferably used for the electrode from which light is not extracted.
  • an insulating layer 74 is formed so as to cover the conductive layer 63 (FIG. 11A).
  • the insulating layer 74 functions as a protective layer that suppresses diffusion of impurities such as water into the light emitting element 60.
  • the light emitting element 60 is sealed with an insulating layer 74.
  • the insulating layer 74 is preferably formed without being exposed to the atmosphere.
  • the insulating layer 74 is formed at a temperature not higher than the heat resistance temperature of the resin layer 23 and not higher than the heat resistance temperature of the light emitting element 60.
  • the insulating layer 74 is preferably formed at a temperature lower than the temperature for heat treatment.
  • the insulating layer 74 is preferably configured to include an inorganic insulating film having a high barrier property that can be used for the insulating layer 31 described above, for example.
  • an inorganic insulating film and an organic insulating film may be stacked.
  • the insulating layer 74 can be formed using an ALD method, a sputtering method, or the like.
  • the ALD method and the sputtering method are preferable because they can be formed at a low temperature.
  • the use of the ALD method is preferable because the coverage of the insulating layer 74 is good.
  • the protective layer 75 is formed over the insulating layer 74 (FIG. 11A).
  • an adhesive layer 75b and a substrate 75a may be used as shown in FIG.
  • the laser beam 55 is irradiated (FIG. 11B1).
  • the laser beam 55 is a linear laser beam scanned from the left side to the right side, and its major axis is perpendicular to the scanning direction and the incident direction (from top to bottom).
  • the stacked body is arranged so that the manufacturing substrate 14 is on the upper side.
  • the laminated body is irradiated with laser light 55 from the upper side of the laminated body (production substrate 14).
  • the description in the peeling method can be referred to.
  • FIG. 11B2 illustrates an example in which one resin layer 23 is provided over a manufacturing substrate.
  • 11B3 and 11B4 are examples in which four resin layers 23 are provided on a manufacturing substrate.
  • each divided manufacturing substrate may be irradiated with laser light.
  • a sharp tool 65 such as a blade is inserted inside the end of the resin layer 23 from the protective layer 75 side, and a cut 64 is made in a frame shape.
  • the resin layer 23 may be irradiated with a laser beam in a frame shape.
  • a plurality of display devices can be formed by using one resin layer 23 by multi-cavity.
  • a plurality of display devices are arranged inside the cut 64 in FIG.
  • a plurality of display devices can be separated from the manufacturing substrate at once.
  • FIG. 12C illustrates an example in which four resin layers 23 are formed over a manufacturing substrate.
  • Each display device can be separated from the manufacturing substrate at different timings by making a cut 64 in a frame shape in each of the four resin layers 23.
  • a portion in contact with the resin layer 23 and a portion in contact with the insulating layer 31 are provided on the metal oxide layer 20.
  • the adhesiveness (adhesiveness) between the metal oxide layer 20 and the insulating layer 31 is higher than the adhesiveness (adhesiveness) between the metal oxide layer 20 and the resin layer 23. Therefore, it can suppress that the resin layer 23 peels from the metal oxide layer 20 unintentionally.
  • the separation timing can be controlled and the force required for the separation is small. Thereby, the yield of the separation process and the manufacturing process of the display device can be increased.
  • the substrate 29 is bonded to the exposed resin layer 23 using the adhesive layer 28 (FIG. 13B).
  • the substrate 29 can function as a support substrate for the display device. It is preferable to use a film for the substrate 29, and it is particularly preferable to use a resin film. As a result, the display device can be reduced in weight and thickness. In addition, a display device using a film substrate is less likely to be damaged than when glass or metal is used. In addition, the flexibility of the display device can be increased.
  • the transistor 40, the light-emitting element 60, and the like manufactured over the manufacturing substrate 14 can be peeled from the manufacturing substrate 14 and transferred to the substrate 29.
  • a material that can be used for the adhesive layer 75 b can be applied to the adhesive layer 28.
  • a material that can be used for the substrate 75 a can be used for the substrate 29.
  • the metal oxide layer 20 and the resin layer 23 are stacked and irradiated with light. Thereby, the adhesiveness or adhesiveness of the metal oxide layer 20 and the resin layer 23 can be reduced. Therefore, the manufacturing substrate 14 and the resin layer 23 can be easily separated.
  • FIG. 14A is a top view of the display device 10A.
  • 14B and 14C are examples of a cross-sectional view of the display portion 381 of the display device 10A and a cross-sectional view of a connection portion with the FPC 372, respectively.
  • the display device 10A can be manufactured using the manufacturing method example 1 described above.
  • the display device 10 ⁇ / b> A can be held in a bent state or can be bent repeatedly.
  • the display device 10 ⁇ / b> A includes a protective layer 75 and a substrate 29.
  • the protective layer 75 side is the display surface side of the display device.
  • the display device 10A includes a display unit 381 and a drive circuit unit 382.
  • An FPC 372 is attached to the display device 10A.
  • the conductive layer 43c and the FPC 372 are electrically connected through the connection body 76 (FIGS. 14B and 14C).
  • the conductive layer 43c can be formed using the same material and step as the source and drain of the transistor.
  • connection body 76 various anisotropic conductive films (ACF: Anisotropic Conductive Film), anisotropic conductive pastes (ACP: Anisotropic Conductive Paste), and the like can be used.
  • ACF Anisotropic Conductive Film
  • ACP Anisotropic Conductive Paste
  • the display device illustrated in FIG. 14C does not include the transistor 40, includes the transistor 49, and includes the coloring layer 97 over the insulating layer 33. Different. When the bottom emission type light emitting element 60 is used, the colored layer 97 may be provided closer to the substrate 29 than the light emitting element 60. In the manufacturing method example 1 described above, a material having a high visible light transmittance can be used for the resin layer 23. Therefore, even a display device that extracts light from the light emitting element 60 through the resin layer 23 can achieve high display quality.
  • a transistor 49 illustrated in FIG. 14C includes a conductive layer 45 functioning as a gate in addition to the structure of the transistor 40 illustrated in FIG.
  • the transistor 49 has a structure in which a semiconductor layer in which a channel is formed is sandwiched between two gates. With such a structure, the threshold voltage of the transistor can be controlled.
  • the transistor may be driven by connecting two gates and supplying the same signal thereto.
  • Such a transistor can have higher field-effect mobility than other transistors, and can increase on-state current.
  • a circuit that can be driven at high speed can be manufactured.
  • the area occupied by the circuit portion can be reduced.
  • the threshold voltage of the transistor can be controlled by applying a potential for controlling the threshold voltage to one of the two gates and applying a potential for driving to the other of the two gates.
  • the transistor 80 is formed over the insulating layer 31 (FIG. 15B).
  • the transistor 80 is formed at a temperature lower than the heat resistant temperature of the resin layer 23. It is preferable to form at a temperature lower than the temperature of the heat treatment.
  • the conductive layer 81 is formed on the insulating layer 31.
  • the conductive layer 81 can be formed by forming a conductive film, forming a resist mask, etching the conductive film, and then removing the resist mask.
  • the insulating layer 82 is formed.
  • an inorganic insulating film that can be used for the insulating layer 31 can be used.
  • the metal oxide layer 83 can be formed by forming a metal oxide film, forming a resist mask, etching the metal oxide film, and then removing the resist mask.
  • a material that can be used for the metal oxide layer 44 can be used for the metal oxide layer 83.
  • an insulating layer 84 and a conductive layer 85 are formed.
  • the insulating layer 84 an inorganic insulating film that can be used for the insulating layer 31 can be used.
  • the insulating layer 84 and the conductive layer 85 are formed by forming an insulating film to be the insulating layer 84 and a conductive film to be the conductive layer 85, then forming a resist mask, etching the insulating film and the conductive film, and then resisting the resist. It can be formed by removing the mask.
  • the insulating layer 33 that covers the metal oxide layer 83, the insulating layer 84, and the conductive layer 85 is formed.
  • the insulating layer 33 can be formed by a method similar to that for the insulating layer 31.
  • the insulating layer 33 preferably contains hydrogen. Hydrogen contained in the insulating layer 33 diffuses into the metal oxide layer 83 in contact with the insulating layer 33, and a part of the metal oxide layer 83 has a low resistance. Since part of the metal oxide layer 83 functions as a low-resistance region, the on-state current and the field-effect mobility of the transistor 80 can be increased.
  • a conductive layer 86a and a conductive layer 86b are formed.
  • the conductive layers 86a and 86b can be formed by forming a conductive film, forming a resist mask, etching the conductive film, and then removing the resist mask.
  • the conductive layer 86a and the conductive layer 86b are electrically connected to the metal oxide layer 83 through the opening of the insulating layer 33, respectively.
  • the transistor 80 can be manufactured (FIG. 15B).
  • part of the conductive layer 81 functions as a gate
  • part of the insulating layer 84 functions as a gate insulating layer
  • part of the insulating layer 82 functions as a gate insulating layer
  • part of the conductive layer 85 Functions as a gate.
  • the metal oxide layer 83 has a channel region and a low resistance region. The channel region overlaps with the conductive layer 85 with the insulating layer 84 interposed therebetween.
  • the low resistance region has a portion connected to the conductive layer 86a and a portion connected to the conductive layer 86b.
  • FIGS. 16A to 16C are performed independently of the steps of FIGS. 15A to 15C.
  • the metal oxide layer 92 is formed over the manufacturing substrate 91 (FIG. 16A).
  • a first layer is formed on the metal oxide layer 92, and heat treatment is performed to form the resin layer 93 ( FIG. 16 (B)).
  • the insulating layer 95 that covers the end portion of the resin layer 93 is formed on the resin layer 93 (FIG. 16B).
  • a colored layer 97 and a light-blocking layer 98 are formed over the insulating layer 95 (FIG. 16C).
  • the colored layer 97 As the colored layer 97, a color filter or the like can be used.
  • the colored layer 97 is disposed so as to overlap the display area of the light emitting element 60.
  • the light shielding layer 98 As the light shielding layer 98, a black matrix or the like can be used.
  • the light shielding layer 98 is disposed so as to overlap the insulating layer 35.
  • the surface of the manufacturing substrate 14 on which the transistor 80 or the like is formed and the surface of the manufacturing substrate 91 on which the resin layer 93 or the like is formed are attached to each other using the adhesive layer 99 (FIG. 16D). .
  • the laser beam 55 is irradiated (FIG. 17).
  • the laser beam 55 is a linear laser beam scanned from the left side to the right side, and its major axis is perpendicular to the scanning direction and the incident direction (from top to bottom).
  • the stacked body is arranged so that the manufacturing substrate 14 is on the upper side.
  • the laminated body is irradiated with laser light 55 from the upper side of the laminated body (production substrate 14).
  • Either the manufacturing substrate 14 or the manufacturing substrate 91 may be separated first.
  • an example in which the manufacturing substrate 14 is separated before the manufacturing substrate 91 is shown.
  • the laser beam 55 is preferably applied to the interface between the metal oxide layer 20 and the resin layer 23 or the vicinity thereof through the manufacturing substrate 14. Further, the laser beam 55 may be irradiated into the metal oxide layer 20 or may be irradiated into the resin layer 23.
  • the metal oxide layer 20 absorbs the laser light 55.
  • the resin layer 23 may absorb the laser light 55.
  • the adhesion or adhesiveness between the metal oxide layer 20 and the resin layer 23 is lowered.
  • the resin layer 23 may be weakened by the irradiation with the laser beam 55.
  • the description in the peeling method can be referred to.
  • the resin layer 23 is irradiated with laser light 66 in a frame shape from the manufacturing substrate 14 side (see a laser light irradiation region 67 illustrated in FIG. 18B). This is suitable when a hard substrate such as glass is used for the manufacturing substrate 14 and the manufacturing substrate 91.
  • the laser used to form the separation starting point there is no particular limitation on the laser used to form the separation starting point.
  • a continuous wave laser or a pulsed laser can be used.
  • Laser light irradiation conditions frequencies, power density, energy density, beam profile, and the like are appropriately controlled in consideration of the thickness, material, and the like of the manufacturing substrate and the resin layer.
  • a portion in contact with the resin layer 23 and a portion in contact with the insulating layer 31 are provided on the metal oxide layer 20.
  • the adhesiveness (adhesiveness) between the metal oxide layer 20 and the insulating layer 31 is higher than the adhesiveness (adhesiveness) between the metal oxide layer 20 and the resin layer 23. Therefore, it can suppress that the resin layer 23 peels from the metal oxide layer 20 unintentionally.
  • a portion in contact with the resin layer 93 and a portion in contact with the insulating layer 95 are provided on the metal oxide layer 92.
  • the adhesion (adhesion) between the metal oxide layer 92 and the insulating layer 95 is higher than the adhesion (adhesion) between the metal oxide layer 92 and the resin layer 93. Therefore, unintentional peeling of the resin layer 93 from the metal oxide layer 92 can be suppressed.
  • a separation starting point is formed only on one of the resin layer 23 and the resin layer 93. Since the timing of forming the separation starting point can be changed between the resin layer 23 and the resin layer 93, the manufacturing substrate 14 and the manufacturing substrate 91 can be separated in separate steps. Thereby, the yield of the separation process and the manufacturing process of the display device can be increased.
  • the laser beam 66 does not need to be irradiated on the entire surface of the resin layer 23, and is partially irradiated. Therefore, an expensive and high running cost laser device is unnecessary.
  • FIG. 19A shows an example in which the inner portion irradiated with the laser light 66 in a frame shape (also referred to as the inner portion of the laser light irradiation region 67 shown in FIG. 18B) and the manufacturing substrate 14 is separated.
  • FIG. 19A shows an example in which separation occurs in the adhesive layer 99 in the outer portion irradiated with the laser beam 66 in a frame shape (the adhesive layer 99 coheses and breaks), but is not limited thereto.
  • the adhesive layer 99 may be separated from the insulating layer 95 or the insulating layer 33 outside the irradiation region 67 (also referred to as interface breakdown or adhesive breakdown).
  • the metal oxide layer 20 and the resin layer 23 are stacked and irradiated with light. Thereby, the adhesiveness or adhesiveness of the metal oxide layer 20 and the resin layer 23 can be reduced. Therefore, the manufacturing substrate 14 and the resin layer 23 can be easily separated.
  • the substrate 29 can function as a support substrate for the display device.
  • the laser beam 55 is irradiated (FIG. 20).
  • the laser beam 55 is a linear laser beam scanned from the left side to the right side, and its major axis is perpendicular to the scanning direction and the incident direction (from top to bottom).
  • the stacked body is arranged so that the manufacturing substrate 91 is on the upper side.
  • the laminated body is irradiated with laser light 55 from the upper side of the laminated body (production substrate 91).
  • the laser beam 55 is preferably applied to the interface between the metal oxide layer 92 and the resin layer 93 or the vicinity thereof through the manufacturing substrate 91. Further, the laser beam 55 may be irradiated into the metal oxide layer 92 or may be irradiated into the resin layer 93.
  • the metal oxide layer 92 absorbs the laser light 55.
  • the resin layer 93 may absorb the laser light 55.
  • the adhesion or adhesion between the metal oxide layer 92 and the resin layer 93 is lowered.
  • the resin layer 93 may be weakened by the irradiation with the laser beam 55.
  • the description in the peeling method can be referred to.
  • a sharp tool 65 such as a blade is inserted inside the end portion of the resin layer 93 and cut into a frame shape. This is suitable when a resin is used for the substrate 29.
  • the resin layer 93 may be irradiated with a laser beam in a frame shape from the manufacturing substrate 91 side.
  • the separation starting point By forming the separation starting point, the manufacturing substrate 91 and the resin layer 93 can be separated at a desired timing. Therefore, the separation timing can be controlled and the force required for the separation is small. Thereby, the yield of the separation process and the manufacturing process of the display device can be increased.
  • the manufacturing substrate 91 and the transistor 80 are separated (FIG. 21B).
  • FIG. 21B an example is shown in which the inner portion of the frame-shaped cut and the manufacturing substrate 91 are separated.
  • the metal oxide layer 92 and the resin layer 93 are stacked and irradiated with light. Thereby, the adhesiveness or adhesiveness of the metal oxide layer 92 and the resin layer 93 can be reduced. Therefore, the manufacturing substrate 91 and the resin layer 93 can be easily separated.
  • the substrate 22 can function as a support substrate for the display device.
  • the visible light transmittance of the resin layer 93 is preferably high.
  • the thickness of the resin layer 93 can be reduced. Therefore, the visible light transmittance of the resin layer 93 can be increased, and a decrease in the light extraction efficiency of the light emitting element 60 can be suppressed.
  • light is emitted to the interface between the metal oxide layer 92 and the resin layer 93 or the vicinity thereof, and the metal oxide layer 92 absorbs part of the light. Therefore, even if the light absorption rate of the resin layer 93 is low, the metal oxide layer 92 and the resin layer 93 can be easily separated. Therefore, a material having a high visible light transmittance can be used for the resin layer 93. Therefore, a decrease in light extraction efficiency of the light emitting element 60 can be suppressed.
  • FIG. 22B shows an example in which the resin layer 93 is removed and the substrate 22 is bonded to the insulating layer 95 using the adhesive layer 13.
  • a material that can be used for the adhesive layer 75 b can be applied to the adhesive layer 13.
  • a material that can be used for the substrate 75 a can be used for the substrate 22.
  • Manufacturing Method Example 2 is an example of manufacturing a display device by performing the peeling method of one embodiment of the present invention twice.
  • the flexible substrate since the functional elements and the like included in the display device are formed over the manufacturing substrate, the flexible substrate has a high position even when a high-definition display device is manufactured. Alignment accuracy is not required. Therefore, a flexible substrate can be attached easily.
  • the separated manufacturing substrate 14 and the manufacturing substrate 91 can be cleaned or regenerated, respectively.
  • the manufacturing substrate 14 and the manufacturing substrate 91 for example, a glass substrate, respectively
  • a stacked body of the manufacturing substrate 14 and the metal oxide layer 20 and the manufacturing substrate 91 and the metal oxide layer 92 are used. Can be repeatedly used a plurality of times, so that production costs can be reduced.
  • the adhesive layer 99 is a portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other, and a portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other. The case where it is provided so as to be overlapped with both is shown.
  • the adhesion (adhesion) between the metal oxide layer 20 and the insulating layer 31 and the adhesion (adhesion) between the metal oxide layer 92 and the insulating layer 95 are the adhesion between the metal oxide layer 20 and the resin layer 23, respectively. (Adhesiveness) and higher adhesion (adhesiveness) between the metal oxide layer 92 and the resin layer 93.
  • a separation yield may be reduced, such as a separation failure. Therefore, it is preferable to form a separation starting point in the resin layer in a frame shape and then separate only a portion overlapping the resin layer from the manufacturing substrate.
  • the adhesive layer 99 includes a portion where the metal oxide layer 20 and the insulating layer 31 are in contact, and a metal oxide layer 92 and the insulating layer 95 which are in contact with each other. It can be set as the structure which does not overlap with the part which has.
  • the adhesive layer 99 can be easily formed in an island shape (FIG. 23A).
  • a frame-shaped partition wall 96 may be formed, and the inner side surrounded by the partition wall 96 may be filled with an adhesive layer 99 and cured (FIG. 23B).
  • the partition wall 96 When the partition wall 96 is used as a component of the display device, it is preferable to use a cured resin for the partition wall 96. At this time, the partition wall 96 is preferably not overlapped with a portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and a portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other.
  • the partition wall 96 may overlap with one or both of a portion where the metal oxide layer 20 and the insulating layer 31 are in contact and a portion where the metal oxide layer 92 and the insulating layer 95 are in contact.
  • uncured resin is used for the partition wall 96, the partition wall 96 is in contact with the metal oxide layer 20 and the insulating layer 31, and the metal oxide layer 92 and insulating layer 95 are in contact with each other.
  • the partition wall 96 is in contact with the metal oxide layer 20 and the insulating layer 31, and the metal oxide layer 92 and insulating layer 95 are in contact with each other.
  • the metal oxide layer 92 and insulating layer 95 are in contact with each other.
  • a method for forming a separation starting point in a configuration in which the adhesive layer 99 does not overlap with a portion where the metal oxide layer 20 and the insulating layer 31 are in contact with each other and a portion where the metal oxide layer 92 and the insulating layer 95 are in contact with each other is described. To do. Below, the example which peels the production board
  • the separation starting point can be formed by irradiating at least one portion of the region where the resin layer 93 and the adhesive layer 99 overlap with each other.
  • the separation starting point near the end rather than the central portion of the adhesive layer 99.
  • FIGS. 24B to 24E show an example of the irradiation region 67 of the laser beam.
  • FIG. 24B shows a laser beam irradiation region 67 at one corner of the adhesive layer 99.
  • FIG. 24C shows three laser light irradiation regions 67 at the corners of the adhesive layer 99.
  • FIG. FIG. 24D illustrates an example in which the laser light irradiation region 67 is in contact with one side of the adhesive layer 99 and extends along one side of the adhesive layer 99.
  • the laser light irradiation region 67 is located not only in the region where the adhesive layer 99 and the resin layer 93 overlap, but also in the region where the partition wall 96 and the resin layer 93 that are not cured overlap. Also good.
  • the manufacturing substrate 91 and the resin layer 93 can be separated.
  • part of the partition wall 96 may remain on the formation substrate 14 side.
  • the partition wall 96 may be removed or may not be removed and may proceed to the next step.
  • FIG. 25A is a top view of the display device 10B.
  • FIG. 25B is an example of a cross-sectional view of the display portion 381 of the display device 10B and a cross-sectional view of a connection portion with the FPC 372.
  • the display device 10B can be manufactured using the manufacturing method example 2 described above.
  • the display device 10B can be held in a bent state, bent repeatedly, or the like.
  • the display device 10 ⁇ / b> B includes a substrate 22 and a substrate 29.
  • the substrate 22 side is the display surface side of the display device 10B.
  • the display device 10B includes a display unit 381 and a drive circuit unit 382.
  • An FPC 372 is attached to the display device 10B.
  • the display device can be reduced in weight and thickness.
  • a display device using a film substrate is less likely to be damaged than when glass or metal is used.
  • the flexibility of the display device can be increased.
  • the conductive layer 86c and the FPC 372 are electrically connected through the connection body 76 (FIG. 25B).
  • the conductive layer 86c can be formed using the same material and the same process as the source and drain of the transistor.
  • the stack manufacturing apparatus illustrated in FIG. 26 can peel a layer to be peeled from a manufacturing substrate using the peeling method of this embodiment and can transfer the layer to be peeled to another substrate.
  • a stacked body of a semiconductor device, a display device, or the like can be manufactured using the stack manufacturing apparatus illustrated in FIG.
  • 26 includes a laser irradiation unit 610, a substrate reversing unit 630, a plurality of conveying rollers (such as conveying rollers 643, 644, 645, and 646), a tape reel 602, a take-up reel 683, and a direction changing roller. 604 and a pressure roller 606.
  • a stack 56 that can be processed by the stack manufacturing apparatus shown in FIG. 26 has a configuration in which, for example, a body to be peeled 56a and a support 56b are stacked.
  • the laminate 56 is peeled between the peeled body 56a and the support 56b.
  • the peeled body 56a has, for example, a resin layer
  • the support 56b has, for example, a manufacturing substrate.
  • the support body 601 is attached to the stack body 56, and the support body 601 is pulled to peel the body to be peeled 56 a from the stack body 56.
  • the stacked body 56 can be automatically separated using the support body 601, and the working time can be shortened and the production yield of products can be improved.
  • the object to be peeled 56a separated from the support 56b is bonded to the support 671 using an adhesive.
  • stacked in this order is producible.
  • the plurality of transport rollers can transport the stacked body 56.
  • the transport mechanism that transports the stacked body 56 is not limited to the transport roller, and a belt conveyor, a transport robot, or the like may be used. Moreover, you may arrange
  • the transport roller 643, the transport roller 644, the transport roller 645, and the transport roller 646 are one of a plurality of transport rollers arranged at a predetermined interval, and are provided with a predetermined interval, and the stacked body 56, the peeled body 56 a, or the support body 56 b. Is driven to rotate (in the direction of right rotation indicated by a solid line arrow).
  • the transport rollers arranged in a plurality are rotated and driven by a drive unit (motor or the like) not shown.
  • the laser irradiation unit 610 is a unit that irradiates the laminated body 56 with a laser.
  • a laser for example, an excimer laser that outputs ultraviolet light having a wavelength of 308 nm can be used. Further, a high pressure mercury lamp, a UV-LED, or the like may be used.
  • the laminated body 56 is conveyed to the laser irradiation unit 610 with the support body 56b positioned on the upper side.
  • the excimer laser is a high-power pulse laser, and the beam can be shaped into a linear shape by an optical system.
  • the entire substrate or a necessary portion can be irradiated with the laser beam.
  • the linear beam has a length equal to or longer than one side of the substrate to be used, the entire substrate can be irradiated with laser light only by moving the substrate in one direction.
  • the oscillation frequency of the pulse laser is preferably 1 Hz or more and 300 Hz or less, and more preferably around 60 Hz.
  • a device equipped with two or more laser oscillators may be used.
  • a laser beam having a high energy density can be obtained by combining (superimposing) laser beams output in synchronization from the respective laser oscillators in an optical system. Therefore, in the application of the present embodiment, 3.5th generation (600 mm ⁇ 720 mm) or more, 6th generation (1500 mm ⁇ 1850 mm) or more, 7th generation (1870 mm ⁇ 2200 mm) or more, or 8th generation (2160 mm ⁇ 2) 2460 mm) or larger glass substrates can be processed.
  • the laser beams output from the respective laser oscillators complement each other in output variations, so that intensity variations for each pulse are reduced, and high-yield processing can be performed.
  • a plurality of excimer laser devices may be used instead of the plurality of oscillators.
  • FIG. 27A shows an example of a laser irradiation unit 610 using an excimer laser.
  • Laser beams 610 a and 610 b output from an excimer laser device 660 having two laser oscillators are combined by an optical system 635. Further, the laser beam 610 c that is expanded horizontally by the optical system 635 enters the lens 680 via the mirror 650. The laser beam 610d transmitted through the lens 680 is reduced as compared with the laser beam 610c. At this time, the laser beam 610d is irradiated to the processing region 640 included in the stacked body 56 through the support 56b (for example, a glass substrate).
  • a portion of the laser beam 610d that is irradiated onto the processing region 640 is referred to as a linear beam 610e.
  • the linear beam 610e can be irradiated to the processing region 640 by moving the stacked body 56 in the direction of the arrow in the drawing by the transport roller 644.
  • the process time can be shortened by irradiating the linear beam 610e while transporting the laminated body 56 at a constant speed by the transport roller 644.
  • the stacked body 56 may be arranged on a stage movable in at least one direction, and the linear beam 610e may be irradiated while moving the stage. In the case of using a stage, it is preferable to use a stage that can move in the horizontal direction and the height direction with respect to the traveling direction, and to adjust the focal position and depth of the linear beam 610e.
  • FIG. 27A illustrates a structure in which the linear beam 610e is irradiated by moving the stacked body 56, the present invention is not limited to this.
  • the stacked body 56 may be fixed, the excimer laser device 660 and the like may be moved, and the stacked body 56 may be irradiated with the linear beam 610e.
  • FIG. 27A shows an example in which the processing region 640 irradiated with the linear beam 610e is positioned inside the end portion of the stacked body 56.
  • the width of the linear beam 610e may be equal to the width of the stacked body 56 or may be larger than the width of the stacked body 56. In that case, the entire stacked body 56 can be irradiated with the linear beam 610e.
  • FIG. 27B shows a state in which the linear beam 610e is irradiated onto the processing region 640 of the stacked body 56.
  • the stacked body 56 includes a manufacturing substrate 58, a first layer 57a, and a second layer 57b.
  • a portion including the manufacturing substrate 58 and the second layer 57b corresponds to the support 56b
  • a portion including the first layer 57a corresponds to the peeled body 56a.
  • the first layer 57 a corresponds to the resin layer 23 and the second layer 57 b corresponds to the metal oxide layer 20.
  • the laser light 610d is transmitted through the manufacturing substrate 58, and the linear beam 610e is preferably applied to the interface between the first layer 57a and the second layer 57b or in the vicinity thereof.
  • the linear beam 610e preferably has a focal point at or near the interface between the first layer 57a and the second layer 57b.
  • the focal point of the linear beam 610e is positioned at the interface between the first layer 57a and the second layer 57b, water that may exist at the interface between the first layer 57a and the second layer 57b is removed. And the water volume may expand rapidly. In this case, it is presumed that a peeling phenomenon occurs at or near the interface between the first layer 57a and the second layer 57b as the volume of water expands.
  • the laser beam is focused inside the amorphous silicon film.
  • the focal point of the laser light (here, the linear beam 610e) is the interface between the first layer 57a and the second layer 57b. Or its vicinity.
  • one embodiment of the present invention is different from the technique in which the focal position of the laser light is used to crystallize the amorphous silicon film.
  • the first The focal point of the linear beam 610e may be located over the entire thickness direction of the second layer 57b or the entire thickness direction of both the first layer 57a and the second layer 57b.
  • the excimer laser it is preferable to use a laser having a wavelength of 308 nm or longer.
  • the wavelength is 308 nm or more, even when a glass substrate is used for the support 56b, the laser light necessary for processing can be sufficiently transmitted.
  • a substrate reversing unit 630 shown in FIG. 26 is a unit that interchanges the top and bottom of the stacked body 56.
  • it can be configured to have a transport roller that sandwiches the upper and lower sides of the laminated body 56 and to have a mechanism for rotating the transport roller.
  • the configuration of the substrate reversing unit 630 is not limited to this, and the conveyance rollers that sandwich the upper and lower sides of the stacked body 56 may be arranged in a spiral shape or may have a reversible conveyance arm.
  • the laminated body 56 that has passed through the substrate reversing unit 630 is in a state in which the peeled body 56a is positioned on the upper side.
  • the tape reel 602 can feed out a roll sheet-like support 601. It is preferable that the speed at which the support 601 is fed out is variable. For example, by making the speed relatively slow, it is possible to suppress the peeling failure of the laminate or the occurrence of cracks in the peeled member.
  • the take-up reel 683 can take up the laminate 59.
  • Tension can be applied to the support 601 using the tape reel 602 and the take-up reel 683.
  • the support body 601 is drawn out continuously or intermittently. It is preferable to continuously feed out the support body 601 because peeling can be performed at a uniform speed and a uniform force. In the peeling step, it is preferable that the progress of peeling continues without stopping in the middle, and it is more preferred that the peeling progress at a constant speed. When the progress of the peeling is stopped halfway and the peeling is started again from the area, unlike the case where the peeling progress is continued, the area is distorted. Therefore, a change in the fine structure of the region or a change in characteristics of an electronic device or the like in the region occurs, and the influence may appear on the display in, for example, a display device.
  • a roll sheet film using an organic resin, a metal, an alloy, glass, or the like can be used as the support 601.
  • a member that constitutes an apparatus to be manufactured (for example, a flexible device) together with the object to be peeled 56a, such as a flexible substrate, is used for the support 601.
  • the support 601 may be a member that does not constitute an apparatus to be manufactured, such as a carrier tape.
  • the direction change roller 604 can change the feeding direction of the support 601.
  • FIG. 26 shows an example in which the direction changing roller 604 is positioned between the tape reel 602 and the pressing roller 606.
  • the support body 601 is attached to the stacked body 56 (the body to be peeled 56a) by the pressing roller 606 and the conveying roller 645.
  • the support body 601 can be prevented from coming into contact with the stacked body 56 before reaching the pressing roller 606. Therefore, air bubbles can be prevented from being mixed between the support 601 and the laminated body 56.
  • the pressing roller 606 is rotationally driven by a driving unit (motor or the like) (not shown). As the pressing roller 606 rotates, a force is applied to the laminate 56 to peel off the peeled body 56a, and the peeled body 56a is peeled off. At this time, it is preferable that a peeling start point is formed in the laminate 56.
  • the to-be-separated body 56a begins to peel from the starting point of peeling. And the laminated body 56 is isolate
  • the mechanism for peeling off the object to be peeled 56a from the stacked body 56 is not limited to the pressing roller 606, and a structure having a convex surface (also referred to as a convex curved surface or a convex curved surface) can be applied.
  • a convex surface also referred to as a convex curved surface or a convex curved surface
  • a cylindrical structure including a columnar shape, a right columnar shape, an elliptical columnar shape, a parabolic columnar shape, or the like
  • a spherical shape, or the like can be used.
  • a roller such as a drum roller can be used.
  • a columnar body whose bottom surface is composed of a curved line such as a cylinder whose bottom surface is a perfect circle or an elliptical column whose bottom surface is an ellipse
  • a columnar body whose bottom surface is composed of a straight line and a curve Column having a semi-circular bottom and a semi-elliptical bottom.
  • the material for the structure examples include metals, alloys, organic resins, and rubbers.
  • the structure may have a space or a cavity inside.
  • the rubber examples include natural rubber, urethane rubber, nitrile rubber, neoprene rubber and the like. When rubber is used, it is preferable to use a material that is not easily charged by friction or peeling, or to take measures to prevent static electricity.
  • the pressing roller 606 shown in FIG. 26 includes a hollow cylinder 606a using rubber or organic resin, and a column 606b using metal or alloy located inside the cylinder 606a.
  • the rotation speed of the pressing roller 606 is preferably variable. By controlling the rotation speed of the pressing roller 606, the separation yield can be further increased.
  • the pressing roller 606 and the plurality of conveying rollers may be movable in at least one direction (for example, up and down, left and right, front and back, etc.). It is preferable that the distance between the convex surface of the pressing roller 606 and the support surface of the conveying roller is variable because the laminates having various thicknesses can be peeled off.
  • FIG. 26 shows an example in which the pressure roller 606 turns the support 601 back at an obtuse angle.
  • the stack manufacturing apparatus illustrated in FIG. 26 further includes a roller 617.
  • the roller 617 can send the support 601 from the pressing roller 606 to the take-up reel 683 along the convex surface.
  • the roller 617 can move in one or more directions.
  • the roller 617 can apply tension to the support 601. That is, the roller 617 can be called a tension roller. Specifically, the support body 601 can be pulled in the feeding direction changed by the pressing roller 606.
  • the roller 617 can control the angle at which the pressing roller 606 turns the support 601 back.
  • the roller 617 can fold the support 601 and change the feeding direction of the support 601.
  • the feeding direction of the support 601 may be changed to the horizontal direction.
  • the feed direction of the support 601 is further changed by the direction changing roller 607 positioned between the roller 617 and the take-up reel 683.
  • the feeding direction of the support 601 may be the horizontal direction.
  • 26 further includes a guide roller (guide rollers 631, 632, 633, etc.), a take-up reel 613, a liquid supply mechanism 659, a drying mechanism 614, and an ionizer (ionizers 639, 620).
  • a guide roller guide rollers 631, 632, 633, etc.
  • a take-up reel 613 a take-up reel 613
  • a liquid supply mechanism 659 a drying mechanism 614
  • an ionizer ionizers 639, 620.
  • the stack manufacturing apparatus may include a guide roller that guides the support 601 to the take-up reel 683.
  • a guide roller that guides the support 601 to the take-up reel 683.
  • There may be a single guide roller or a plurality of guide rollers.
  • the guide roller may be able to apply tension to the support 601.
  • a tape 600 (also referred to as a separate film) may be bonded to at least one surface of the support 601.
  • the stack manufacturing apparatus preferably includes a reel capable of winding the tape 600 bonded to one surface of the support 601.
  • FIG. 26 shows an example in which the take-up reel 613 is positioned between the tape reel 602 and the pressing roller 606.
  • the stack manufacturing apparatus may include a guide roller 634. The guide roller 634 can guide the tape 600 to the take-up reel 613.
  • the stack manufacturing apparatus may include a drying mechanism 614. Since functional elements (eg, transistors and thin film integrated circuits) included in the object to be peeled 56a are vulnerable to static electricity, liquid is supplied to the interface between the object to be peeled 56a and the support 56b before peeling or liquid is supplied to the interface. Peeling is preferably carried out while supplying. Moreover, the force required for peeling can be reduced by the presence of the liquid in the peeling progressing portion. Separation can be performed using the liquid supply mechanism 659 while supplying liquid to the interface. If the liquid volatilizes while adhering to the object to be peeled 56a, a watermark may be formed. Therefore, it is preferable to remove the liquid immediately after peeling.
  • functional elements eg, transistors and thin film integrated circuits
  • a carrier plate 609 may be provided in order to prevent the support 601 from being bent.
  • the transport direction of the support 601 can be perpendicular to the horizontal plane, but the support 601 being transported is more stable and vibration can be suppressed when the support 601 is oblique to the horizontal plane.
  • a static eliminator included in the laminate manufacturing apparatus In a position where static electricity may occur during the process, it is preferable to use a static eliminator included in the laminate manufacturing apparatus.
  • the static eliminator is not particularly limited.
  • an ionizer such as a corona discharge method, a soft X-ray method, or an ultraviolet method can be used.
  • an ionizer is preferably provided in the stack manufacturing apparatus, and air or nitrogen gas or the like is blown from the ionizer to the object to be peeled 56a to perform static elimination treatment, thereby reducing the influence of static electricity on the functional element.
  • the ionizer 639 it is preferable to use the ionizer 639 to irradiate ions in the vicinity of the interface between the object to be peeled 56a and the support 56b to separate the laminate 56 into the object to be peeled 56a and the support 56b while removing static electricity.
  • the stack manufacturing apparatus may include a substrate load cassette 641 and a substrate unload cassette 642.
  • the stacked body 56 can be supplied to the substrate load cassette 641.
  • the substrate load cassette 641 can supply the stacked body 56 to a transport mechanism or the like.
  • the support 56 b can be supplied to the substrate unload cassette 642.
  • the tape reel 672 can feed out a roll sheet-like support 671.
  • a material similar to that of the support 601 can be used.
  • Tension can be applied to the support 671 using the tape reel 672 and the take-up reel 683.
  • the stack manufacturing apparatus may include guide rollers 677, 678, and 679 that guide the support 671 to the take-up reel 683.
  • the direction change roller 676 can change the feeding direction of the support 671.
  • the pressure roller 675 can bond the peeled body 56a and the support body 671 that the tape reel 672 extends while applying pressure. Thereby, it can suppress that a bubble mixes between the support body 671 and the to-be-separated body 56a.
  • a separation tape 670 may be bonded to at least one surface of the support 671.
  • the reel 673 can wind up the separation tape 670.
  • the guide roller 674 can guide the separation tape 670 to the reel 673.
  • the produced laminate 59 may be wound up or divided.
  • FIG. 26 shows an example in which the take-up reel 683 takes up the laminate 59.
  • a guide roller for guiding the laminated body 59 to the take-up reel 683 may be provided.
  • the peeled body 56 a can be peeled from the stacked body 56 using the pressing roller 606, and the peeled body 56 a can be transferred to the support 671 using the pressing roller 675. .
  • a metal oxide layer and a resin layer are stacked over a manufacturing substrate and light is irradiated to control the peelability of the resin layer with respect to the metal oxide layer.
  • the resin layer can be peeled from the manufacturing substrate at a desired timing. Therefore, a display device or the like can be manufactured with high yield by using the peeling method of this embodiment.
  • the display device of this embodiment includes a first display element that reflects visible light and a second display element that emits visible light.
  • the display device of this embodiment has a function of displaying an image using one or both of light reflected by the first display element and light emitted by the second display element.
  • an element that reflects external light for display can be used. Since such an element does not have a light source (no artificial light source is used), power consumption during display can be extremely reduced.
  • a reflective liquid crystal element can be typically used.
  • a first display element in addition to a shutter type MEMS (Micro Electro Mechanical System) element, an optical interference type MEMS element, a microcapsule type, an electrophoretic type, an electrowetting type, an electronic powder fluid (registered trademark) An element to which a method or the like is applied can be used.
  • a shutter type MEMS Micro Electro Mechanical System
  • an optical interference type MEMS element in addition to a shutter type MEMS (Micro Electro Mechanical System) element, an optical interference type MEMS element, a microcapsule type, an electrophoretic type, an electrowetting type, an electronic powder fluid (registered trademark)
  • An element to which a method or the like is applied can be used.
  • a light-emitting element is preferably used for the second display element.
  • the light emitted from such a display element is not affected by external light in brightness or chromaticity, so that it has high color reproducibility (wide color gamut), high contrast, and vivid display. Can do.
  • a self-luminous light emitting element such as an OLED (Organic Light Emitting Diode), an LED (Light Emitting Diode), or a QLED (Quantum-dot Light Emitting Diode) can be used.
  • OLED Organic Light Emitting Diode
  • LED Light Emitting Diode
  • QLED Quadantum-dot Light Emitting Diode
  • the display device of the present embodiment includes a first mode for displaying an image using only the first display element, a second mode for displaying an image using only the second display element, and a first mode There is a third mode in which an image is displayed using the display element and the second display element, and these modes can be used by switching automatically or manually.
  • the first mode an image is displayed using the first display element and external light. Since the first mode does not require a light source, it is an extremely low power consumption mode. For example, when external light is sufficiently incident on the display device (for example, in a bright environment), display can be performed using light reflected by the first display element. For example, it is effective when the external light is sufficiently strong and the external light is white light or light in the vicinity thereof.
  • the first mode is a mode suitable for displaying characters. In the first mode, light that reflects external light is used, so that it is possible to perform display that is kind to the eyes, and there is an effect that the eyes are less tired.
  • the second mode is a mode suitable for displaying vivid images (still images and moving images).
  • the third mode display is performed using both reflected light from the first display element and light emission from the second display element. While displaying more vividly than in the first mode, it is possible to suppress power consumption as compared with the second mode. For example, it is effective when the illuminance is relatively low, such as under room lighting or in the morning or evening hours, or when the chromaticity of outside light is not white.
  • a display device with high visibility and high convenience can be realized regardless of ambient brightness. Specifically, a display device with high visibility and high convenience can be realized even in the outside light or indoors.
  • the third mode can be referred to as a mode using a hybrid display method.
  • the display device and the input / output device of this embodiment can also be referred to as a hybrid display.
  • the hybrid display is a method of displaying characters and / or images on one panel by using reflected light and self-light emission together and complementing color tone or light intensity.
  • the hybrid display is a method of displaying characters and / or images using light from a plurality of display elements in the same pixel or the same sub-pixel.
  • the display is performed using a pixel or sub-pixel displayed using any one of a plurality of display elements and two or more of the plurality of display elements. A pixel or a sub-pixel.
  • a display that satisfies any one or a plurality of expressions of the above configuration is referred to as a hybrid display.
  • the hybrid display has a plurality of display elements in the same pixel or the same sub-pixel.
  • the plurality of display elements include a reflective element that reflects light and a self-luminous element that emits light. Note that the reflective element and the self-luminous element can be controlled independently.
  • the hybrid display has a function of displaying characters and / or images in the display unit using either or both of reflected light and self-light emission.
  • the display device of this embodiment includes a plurality of first pixels each including a first display element and a plurality of second pixels each including a second display element.
  • the first pixels and the second pixels are preferably arranged in a matrix.
  • Each of the first pixel and the second pixel can include one or more subpixels.
  • the pixel has a configuration with one subpixel (white (W), etc.), a configuration with three subpixels (red (R), green (G), and blue (B), or three colors, or Yellow (Y), cyan (C), magenta (M), etc.) or a configuration having four sub-pixels (red (R), green (G), blue (B), white (W) Or four colors of red (R), green (G), blue (B), yellow (Y), etc.) can be applied.
  • the display device in this embodiment can have a structure in which full color display is performed with the first pixel and full color display is performed with the second pixel.
  • the display device in this embodiment can have a structure in which the first pixel performs monochrome display or grayscale display, and the second pixel performs full color display.
  • the monochrome display or grayscale display using the first pixel is suitable for displaying information that does not require color display, such as document information.
  • FIG. 28 is a schematic perspective view of the display device 300A.
  • the display device 300A has a structure in which a substrate 351 and a substrate 361 are attached to each other.
  • the substrate 361 is indicated by a broken line.
  • the display device 300A includes a display portion 362, a circuit 364, a wiring 365, and the like.
  • FIG. 28 shows an example in which an IC (integrated circuit) 373 and an FPC 372 are mounted on the display device 300A. Therefore, the structure illustrated in FIG. 28 can also be referred to as a display module including the display device 300A, an IC, and an FPC.
  • a scan line driver circuit can be used.
  • the wiring 365 has a function of supplying a signal and power to the display portion 362 and the circuit 364.
  • the signal and power are input to the wiring 365 from the outside through the FPC 372 or from the IC 373.
  • FIG. 28 shows an example in which the IC 373 is provided on the substrate 351 by a COG (Chip On Glass) method, a COF (Chip on Film) method, or the like.
  • a COG Chip On Glass
  • COF Chip on Film
  • an IC having a scan line driver circuit or a signal line driver circuit can be used as the IC 373.
  • the display device 300A and the display module may have no IC.
  • the IC may be mounted on the FPC by a COF method or the like.
  • FIG. 28 shows an enlarged view of a part of the display unit 362.
  • electrodes 311b included in the plurality of display elements are arranged in a matrix.
  • the electrode 311b has a function of reflecting visible light, and functions as a reflective electrode of the liquid crystal element 180.
  • the electrode 311b has an opening 451.
  • the display portion 362 includes the light-emitting element 170 on the substrate 351 side of the electrode 311b. Light from the light emitting element 170 is emitted to the substrate 361 side through the opening 451 of the electrode 311b.
  • the area of the light emitting region of the light emitting element 170 and the area of the opening 451 may be equal.
  • One of the area of the light emitting region of the light emitting element 170 and the area of the opening 451 is larger than the other, which is preferable because a margin for positional deviation is increased.
  • the area of the opening 451 is preferably larger than the area of the light emitting region of the light emitting element 170.
  • the opening 451 When the opening 451 is small, part of light from the light-emitting element 170 may be blocked by the electrode 311b and may not be extracted to the outside. By making the opening 451 sufficiently large, it is possible to prevent the light emission of the light emitting element 170 from being wasted.
  • FIG. 29 illustrates an example of a cross section of the display device 300A illustrated in FIG. 28 when a part of the region including the FPC 372, a part of the region including the circuit 364, and a part of the region including the display portion 362 are cut. Indicates.
  • a display device 300A illustrated in FIG. 29 includes a transistor 201, a transistor 203, a transistor 205, a transistor 206, a liquid crystal element 180, a light-emitting element 170, an insulating layer 220, a colored layer 131, a colored layer 134, and the like between a substrate 351 and a substrate 361.
  • a substrate 351 and a substrate 361. Have The substrate 361 and the insulating layer 220 are bonded via an adhesive layer 141. The substrate 351 and the insulating layer 220 are bonded through an adhesive layer 142.
  • the substrate 361 is provided with a coloring layer 131, a light shielding layer 132, an insulating layer 121, an electrode 113 functioning as a common electrode of the liquid crystal element 180, an alignment film 133b, an insulating layer 117, and the like.
  • a polarizing plate 135 is provided on the outer surface of the substrate 361.
  • the insulating layer 121 may function as a planarization layer. Since the surface of the electrode 113 can be substantially flattened by the insulating layer 121, the alignment state of the liquid crystal layer 112 can be made uniform.
  • the insulating layer 117 functions as a spacer for maintaining the cell gap of the liquid crystal element 180. In the case where the insulating layer 117 transmits visible light, the insulating layer 117 may be overlapped with the display region of the liquid crystal element 180.
  • the liquid crystal element 180 is a reflective liquid crystal element.
  • the liquid crystal element 180 has a stacked structure in which an electrode 311a functioning as a pixel electrode, a liquid crystal layer 112, and an electrode 113 are stacked.
  • An electrode 311b that reflects visible light is provided in contact with the substrate 351 side of the electrode 311a.
  • the electrode 311b has an opening 451.
  • the electrode 311a and the electrode 113 transmit visible light.
  • An alignment film 133a is provided between the liquid crystal layer 112 and the electrode 311a.
  • An alignment film 133 b is provided between the liquid crystal layer 112 and the electrode 113.
  • the electrode 311b has a function of reflecting visible light
  • the electrode 113 has a function of transmitting visible light.
  • Light incident from the substrate 361 side is polarized by the polarizing plate 135, passes through the electrode 113 and the liquid crystal layer 112, and is reflected by the electrode 311b. Then, the light passes through the liquid crystal layer 112 and the electrode 113 again and reaches the polarizing plate 135.
  • the alignment of the liquid crystal can be controlled by the voltage applied between the electrode 311b and the electrode 113, and the optical modulation of light can be controlled. That is, the intensity of light emitted through the polarizing plate 135 can be controlled.
  • light that is not in a specific wavelength region is absorbed by the colored layer 131, so that the extracted light is, for example, red light.
  • the opening 451 is preferably provided with an electrode 311a that transmits visible light.
  • the liquid crystal layer 112 is aligned in the region overlapping with the opening 451 as well as the other regions, it is possible to suppress the occurrence of unintentional light leakage due to poor alignment of the liquid crystal at the boundary between these regions. .
  • connection portion 207 the electrode 311b is electrically connected to the conductive layer 222a included in the transistor 206 through the conductive layer 221b.
  • the transistor 206 has a function of controlling driving of the liquid crystal element 180.
  • connection portion 252 is provided in a part of the region where the adhesive layer 141 is provided.
  • a conductive layer obtained by processing the same conductive film as the electrode 311 a and a part of the electrode 113 are electrically connected by a connection body 243. Therefore, a signal or a potential input from the FPC 372 connected to the substrate 351 side can be supplied to the electrode 113 formed on the substrate 361 side through the connection portion 252.
  • connection body 243 for example, conductive particles can be used.
  • conductive particles those obtained by coating the surface of particles such as organic resin or silica with a metal material can be used. It is preferable to use nickel or gold as the metal material because the contact resistance can be reduced. In addition, it is preferable to use particles in which two or more kinds of metal materials are coated in layers, such as further coating nickel with gold. Further, it is preferable to use a material that is elastically deformed or plastically deformed as the connection body 243.
  • the connection body 243 which is a conductive particle, may have a shape crushed in the vertical direction as shown in FIG. By doing so, the contact area between the connection body 243 and the conductive layer electrically connected to the connection body 243 can be increased, the contact resistance can be reduced, and the occurrence of problems such as connection failure can be suppressed.
  • connection body 243 is preferably disposed so as to be covered with the adhesive layer 141.
  • connection body 243 may be dispersed in the adhesive layer 141 before curing.
  • the light emitting element 170 is a bottom emission type light emitting element.
  • the light-emitting element 170 has a stacked structure in which an electrode 191 that functions as a pixel electrode, an EL layer 192, and an electrode 193 that functions as a common electrode are stacked in this order from the insulating layer 220 side.
  • the electrode 191 is connected to the conductive layer 222 b included in the transistor 205 through an opening provided in the insulating layer 214.
  • the transistor 205 has a function of controlling driving of the light-emitting element 170.
  • An insulating layer 216 covers the end portion of the electrode 191.
  • the electrode 193 includes a material that reflects visible light
  • the electrode 191 includes a material that transmits visible light.
  • An insulating layer 194 is provided to cover the electrode 193. Light emitted from the light-emitting element 170 is emitted to the substrate 361 side through the coloring layer 134, the insulating layer 220, the opening 451, the electrode 311a, and the like.
  • the liquid crystal element 180 and the light emitting element 170 can exhibit various colors by changing the color of the colored layer depending on the pixel.
  • the display device 300 ⁇ / b> A can perform color display using the liquid crystal element 180.
  • the display device 300 ⁇ / b> A can perform color display using the light-emitting element 170.
  • the transistors 201, 203, 205, and 206 are all formed on the surface of the insulating layer 220 on the substrate 351 side. These transistors can be manufactured using the same process.
  • the circuit electrically connected to the liquid crystal element 180 is preferably formed on the same plane as the circuit electrically connected to the light emitting element 170. Thereby, the thickness of the display device can be reduced as compared with the case where the two circuits are formed on different surfaces. Further, since the two transistors can be manufactured in the same process, the manufacturing process can be simplified as compared with the case where the two transistors are formed over different surfaces.
  • the pixel electrode of the liquid crystal element 180 is positioned opposite to the pixel electrode of the light-emitting element 170 with a gate insulating layer included in the transistor interposed therebetween.
  • the liquid crystal element 180 is used when a transistor 206 having a metal oxide in a channel formation region and having extremely low off-state current or a memory element electrically connected to the transistor 206 is used.
  • the gradation can be maintained. That is, display can be maintained even if the frame rate is extremely small.
  • the frame rate can be extremely small, and driving with low power consumption can be performed.
  • the transistor 203 is a transistor (also referred to as a switching transistor or a selection transistor) that controls pixel selection / non-selection.
  • the transistor 205 is a transistor (also referred to as a drive transistor) that controls a current flowing through the light-emitting element 170.
  • Insulating layers such as an insulating layer 211, an insulating layer 212, an insulating layer 213, and an insulating layer 214 are provided on the substrate 351 side of the insulating layer 220.
  • a part of the insulating layer 211 functions as a gate insulating layer of each transistor.
  • the insulating layer 212 is provided so as to cover the transistor 206 and the like.
  • the insulating layer 213 is provided so as to cover the transistor 205 and the like.
  • the insulating layer 214 functions as a planarization layer. Note that the number of insulating layers covering the transistor is not limited, and may be a single layer or two or more layers.
  • the insulating layer can function as a barrier film.
  • impurities can be effectively prevented from diffusing from the outside with respect to the transistor, and a highly reliable display device can be realized.
  • the transistor 201, the transistor 203, the transistor 205, and the transistor 206 include a conductive layer 221a that functions as a gate, an insulating layer 211 that functions as a gate insulating layer, a conductive layer 222a and a conductive layer 222b that function as a source and a drain, and a semiconductor layer 231.
  • the same hatching pattern is given to a plurality of layers obtained by processing the same conductive film.
  • the transistor 201 and the transistor 205 include a conductive layer 223 that functions as a gate.
  • a structure in which a semiconductor layer in which a channel is formed is sandwiched between two gates is applied to the transistor 201 and the transistor 205.
  • the transistor may be driven by connecting two gates and supplying the same signal thereto.
  • Such a transistor can have higher field-effect mobility than other transistors, and can increase on-state current.
  • a circuit that can be driven at high speed can be manufactured.
  • the area occupied by the circuit portion can be reduced.
  • the threshold voltage of the transistor can be controlled by applying a potential for controlling the threshold voltage to one of the two gates and applying a potential for driving to the other of the two gates.
  • the transistor included in the circuit 364 and the transistor included in the display portion 362 may have the same structure or different structures.
  • the plurality of transistors included in the circuit 364 may have the same structure, or two or more structures may be used in combination.
  • the plurality of transistors included in the display portion 362 may have the same structure, or two or more structures may be used in combination.
  • a conductive material containing an oxide is preferably used for the conductive layer 223.
  • oxygen can be supplied to the insulating layer 212 by being formed in an atmosphere containing oxygen.
  • the proportion of oxygen gas in the film forming gas is preferably in the range of 90% to 100%.
  • Oxygen supplied to the insulating layer 212 is supplied to the semiconductor layer 231 by a later heat treatment, so that oxygen vacancies in the semiconductor layer 231 can be reduced.
  • the conductive layer 223 is preferably formed using a metal oxide with low resistance.
  • an insulating film from which hydrogen is released for example, a silicon nitride film or the like is preferably used for the insulating layer 213. Hydrogen is supplied into the conductive layer 223 during the formation of the insulating layer 213 or by a subsequent heat treatment, so that the electrical resistance of the conductive layer 223 can be effectively reduced.
  • a colored layer 134 is provided in contact with the insulating layer 213.
  • the colored layer 134 is covered with the insulating layer 214.
  • connection portion 204 is provided in a region where the substrate 351 and the substrate 361 do not overlap.
  • the wiring 365 is electrically connected to the FPC 372 through the connection layer 242.
  • the connection unit 204 has the same configuration as the connection unit 207.
  • a conductive layer obtained by processing the same conductive film as the electrode 311a is exposed. Accordingly, the connection unit 204 and the FPC 372 can be electrically connected via the connection layer 242.
  • a linear polarizing plate may be used as the polarizing plate 135 disposed on the outer surface of the substrate 361, but a circular polarizing plate may also be used.
  • a circularly-polarizing plate what laminated
  • optical members can be arranged outside the substrate 361.
  • the optical member include a polarizing plate, a retardation plate, a light diffusion layer (such as a diffusion film), an antireflection layer, and a light collecting film.
  • a polarizing plate such as a retardation plate
  • a light diffusion layer such as a diffusion film
  • an antireflection layer such as a diffusion film
  • a light collecting film such as a light collecting film.
  • an antistatic film that suppresses adhesion of dust a water-repellent film that makes it difficult to adhere dirt, a hard coat film that suppresses generation of scratches due to use, and the like may be arranged.
  • the substrate 351 and the substrate 36 glass, quartz, ceramic, sapphire, organic resin, or the like can be used, respectively.
  • a flexible material is used for the substrate 351 and the substrate 361, the flexibility of the display device can be increased.
  • liquid crystal element 180 for example, a liquid crystal element to which a vertical alignment (VA) mode is applied can be used.
  • VA vertical alignment
  • MVA Multi-Domain Vertical Alignment
  • PVA Plasma Vertical Alignment
  • ASV Advanced Super View
  • liquid crystal elements to which various modes are applied can be used.
  • VA mode Transmission Nematic
  • IPS In-Plane-Switching
  • FFS Ringe Field Switching
  • ASM Analy Symmetrical Aligned Micro-cell
  • FLC Fluorescence Liquid Crystal
  • AFLC Antiferroelectric Liquid Crystal
  • STN Super Twisted Nematic
  • TBA Transverse Bend Alignment
  • ECB ECB mode trically Controlled Birefringence
  • the liquid crystal element is an element that controls transmission or non-transmission of light by an optical modulation action of liquid crystal.
  • the optical modulation action of the liquid crystal is controlled by an electric field applied to the liquid crystal (including a horizontal electric field, a vertical electric field, or an oblique electric field).
  • a thermotropic liquid crystal a low molecular liquid crystal
  • a polymer liquid crystal a polymer dispersed liquid crystal
  • PNLC polymer network type liquid crystal
  • ferroelectric A liquid crystal, an antiferroelectric liquid crystal, or the like can be used.
  • These liquid crystal materials exhibit a cholesteric phase, a smectic phase, a cubic phase, a chiral nematic phase, an isotropic phase, and the like depending on conditions.
  • liquid crystal material either a positive type liquid crystal or a negative type liquid crystal may be used, and an optimal liquid crystal material can be used depending on the mode and design to be applied.
  • an alignment film can be provided.
  • liquid crystal exhibiting a blue phase for which an alignment film is unnecessary may be used.
  • the blue phase is one of the liquid crystal phases.
  • a liquid crystal composition mixed with several percent by weight or more of a chiral agent is used for the liquid crystal in order to improve the temperature range.
  • a liquid crystal composition containing a liquid crystal exhibiting a blue phase and a chiral agent has a short response speed and is optically isotropic.
  • a liquid crystal composition including a liquid crystal exhibiting a blue phase and a chiral agent does not require alignment treatment and has a small viewing angle dependency. Further, since it is not necessary to provide an alignment film, a rubbing process is not required, so that electrostatic breakdown caused by the rubbing process can be prevented, and defects or breakage of the liquid crystal display device during the manufacturing process can be reduced. .
  • a polarizing plate 135 is provided on the display surface side. Separately from this, it is preferable to arrange a light diffusing plate on the display surface side because the visibility can be improved.
  • a front light may be provided outside the polarizing plate 135.
  • As the front light an edge light type front light is preferably used. It is preferable to use a front light including an LED because power consumption can be reduced.
  • Embodiment 1 For materials that can be used for the light-emitting element, the transistor, the insulating layer, the conductive layer, the adhesive layer, the connection layer, and the like, the description in Embodiment 1 can be referred to.
  • the display device in this embodiment includes two types of display elements and can be used by switching between a plurality of display modes. Therefore, the display device is highly visible and convenient regardless of the surrounding brightness. High nature.
  • the CAC-OS or the CAC-metal oxide has a conductive function in part of the material and an insulating function in part of the material, and has a function as a semiconductor in the whole material.
  • the conductive function is a function of flowing electrons (or holes) serving as carriers
  • the insulating function is a carrier. This function prevents electrons from flowing.
  • a function of switching (a function of turning on / off) can be imparted to CAC-OS or CAC-metal oxide by causing the conductive function and the insulating function to act complementarily. In CAC-OS or CAC-metal oxide, by separating each function, both functions can be maximized.
  • the CAC-OS or the CAC-metal oxide has a conductive region and an insulating region.
  • the conductive region has the above-described conductive function
  • the insulating region has the above-described insulating function.
  • the conductive region and the insulating region may be separated at the nanoparticle level.
  • the conductive region and the insulating region may be unevenly distributed in the material, respectively.
  • the conductive region may be observed with the periphery blurred and connected in a cloud shape.
  • the conductive region and the insulating region are dispersed in the material with a size of 0.5 nm to 10 nm, preferably 0.5 nm to 3 nm, respectively. There is.
  • CAC-OS or CAC-metal oxide is composed of components having different band gaps.
  • CAC-OS or CAC-metal oxide includes a component having a wide gap caused by an insulating region and a component having a narrow gap caused by a conductive region.
  • the carrier when the carrier flows, the carrier mainly flows in the component having the narrow gap.
  • the component having a narrow gap acts in a complementary manner to the component having a wide gap, and the carrier flows through the component having the wide gap in conjunction with the component having the narrow gap. Therefore, when the CAC-OS or the CAC-metal oxide is used for a channel formation region of a transistor, high current driving force, that is, high on-state current and high field-effect mobility can be obtained in the on-state of the transistor.
  • CAC-OS or CAC-metal oxide can also be called a matrix composite material (metal matrix composite) or a metal matrix composite material (metal matrix composite).
  • the CAC-OS is one structure of a material in which elements forming a metal oxide are unevenly distributed with a size of 0.5 nm to 10 nm, preferably 1 nm to 2 nm, or the vicinity thereof.
  • elements forming a metal oxide are unevenly distributed with a size of 0.5 nm to 10 nm, preferably 1 nm to 2 nm, or the vicinity thereof.
  • the metal oxide one or more metal elements are unevenly distributed, and the region having the metal element has a size of 0.5 nm to 10 nm, preferably 1 nm to 2 nm, or the vicinity thereof.
  • the state mixed with is also referred to as a mosaic or patch.
  • the metal oxide preferably contains at least indium.
  • One kind selected from the above or a plurality of kinds may be included.
  • a CAC-OS in In-Ga-Zn oxide is an indium oxide (hereinafter referred to as InO).
  • X1 (X1 is greater real than 0) and.), or indium zinc oxide (hereinafter, in X2 Zn Y2 O Z2 ( X2, Y2, and Z2 is larger real than 0) and a.), gallium An oxide (hereinafter referred to as GaO X3 (X3 is a real number greater than 0)) or a gallium zinc oxide (hereinafter referred to as Ga X4 Zn Y4 O Z4 (where X4, Y4, and Z4 are greater than 0)) to.) and the like, the material becomes mosaic by separate into, mosaic InO X1 or in X2 Zn Y2 O Z2, is a configuration in which uniformly distributed in the film (hereinafter, click Also called Udo-like.) A.
  • CAC-OS includes a region GaO X3 is the main component, and In X2 Zn Y2 O Z2, or InO X1 is the main component region is a composite metal oxide having a structure that is mixed.
  • the first region indicates that the atomic ratio of In to the element M in the first region is larger than the atomic ratio of In to the element M in the second region. It is assumed that the concentration of In is higher than that in the second region.
  • IGZO is a common name and sometimes refers to one compound of In, Ga, Zn, and O.
  • ZnO ZnO
  • the crystalline compound has a single crystal structure, a polycrystalline structure, or a CAAC (c-axis aligned crystal) structure.
  • the CAAC structure is a crystal structure in which a plurality of IGZO nanocrystals have c-axis orientation and are connected without being oriented in the ab plane.
  • CAC-OS relates to a material structure of a metal oxide.
  • CAC-OS refers to a region that is observed in the form of nanoparticles mainly composed of Ga in a material structure including In, Ga, Zn, and O, and nanoparticles that are partially composed mainly of In.
  • the region observed in a shape is a configuration in which the regions are randomly dispersed in a mosaic shape. Therefore, in the CAC-OS, the crystal structure is a secondary element.
  • the CAC-OS does not include a stacked structure of two or more kinds of films having different compositions.
  • a structure composed of two layers of a film mainly containing In and a film mainly containing Ga is not included.
  • a region GaO X3 is the main component, and In X2 Zn Y2 O Z2 or InO X1 is the main component region, in some cases clear boundary can not be observed.
  • the CAC-OS includes a region that is observed in a part of a nanoparticle mainly including the metal element and a nanoparticle mainly including In.
  • the region observed in the form of particles refers to a configuration in which each region is randomly dispersed in a mosaic shape.
  • the CAC-OS can be formed by a sputtering method under a condition where the substrate is not intentionally heated, for example.
  • a CAC-OS is formed by a sputtering method
  • any one or more selected from an inert gas (typically argon), an oxygen gas, and a nitrogen gas may be used as a deposition gas.
  • the flow rate ratio of the oxygen gas to the total flow rate of the deposition gas during film formation is preferably as low as possible.
  • the flow rate ratio of the oxygen gas is 0% to less than 30%, preferably 0% to 10%. .
  • the CAC-OS has a feature that a clear peak is not observed when measurement is performed using a ⁇ / 2 ⁇ scan by an out-of-plane method, which is one of X-ray diffraction (XRD) measurement methods. Have. That is, it can be seen from X-ray diffraction that no orientation in the ab plane direction and c-axis direction of the measurement region is observed.
  • XRD X-ray diffraction
  • an electron diffraction pattern obtained by irradiating an electron beam with a probe diameter of 1 nm (also referred to as a nanobeam electron beam) has a ring-like region having a high luminance and a plurality of bright regions in the ring region. A point is observed. Therefore, it can be seen from the electron beam diffraction pattern that the crystal structure of the CAC-OS has an nc (nano-crystal) structure having no orientation in the planar direction and the cross-sectional direction.
  • a region in which GaO X3 is a main component is obtained by EDX mapping obtained by using energy dispersive X-ray spectroscopy (EDX). It can be confirmed that a region in which In X2 Zn Y2 O Z2 or InO X1 is a main component is unevenly distributed and mixed.
  • EDX energy dispersive X-ray spectroscopy
  • CAC-OS has a structure different from that of an IGZO compound in which metal elements are uniformly distributed, and has a property different from that of an IGZO compound. That is, in the CAC-OS, a region in which GaO X3 or the like is a main component and a region in which In X2 Zn Y2 O Z2 or InO X1 is a main component are phase-separated from each other, and a region in which each element is a main component. Has a mosaic structure.
  • the region containing In X2 Zn Y2 O Z2 or InO X1 as a main component is a region having higher conductivity than a region containing GaO X3 or the like as a main component. That, In X2 Zn Y2 O Z2 or InO X1, is an area which is the main component, by carriers flow, expressed the conductivity of the oxide semiconductor. Accordingly, a region where In X2 Zn Y2 O Z2 or InO X1 is a main component is distributed in a cloud shape in the oxide semiconductor, whereby high field-effect mobility ( ⁇ ) can be realized.
  • areas such as GaO X3 is the main component, as compared to the In X2 Zn Y2 O Z2 or InO X1 is the main component area, it is highly regions insulating. That is, a region containing GaO X3 or the like as a main component is distributed in the oxide semiconductor, whereby leakage current can be suppressed and good switching operation can be realized.
  • CAC-OS when CAC-OS is used for a semiconductor element, the insulating property caused by GaO X3 and the like and the conductivity caused by In X2 Zn Y2 O Z2 or InO X1 act in a complementary manner, resulting in high An on-current (I on ) and high field effect mobility ( ⁇ ) can be realized.
  • CAC-OS is optimal for various semiconductor devices including a display.
  • a display module 8000 illustrated in FIG. 30A includes a display panel 8006, a frame 8009, a printed board 8010, and a battery 8011 which are connected to an FPC 8005 between an upper cover 8001 and a lower cover 8002.
  • a display device manufactured using one embodiment of the present invention can be used for the display panel 8006.
  • a display module can be manufactured with a high yield.
  • the shapes and dimensions of the upper cover 8001 and the lower cover 8002 can be changed as appropriate in accordance with the size of the display panel 8006.
  • a touch panel may be provided over the display panel 8006.
  • a resistive film type or capacitive type touch panel can be used so as to overlap with the display panel 8006.
  • the display panel 8006 can have a touch panel function.
  • the frame 8009 has a function as an electromagnetic shield for blocking electromagnetic waves generated by the operation of the printed board 8010 in addition to a protective function of the display panel 8006.
  • the frame 8009 may have a function as a heat sink.
  • the printed board 8010 includes a power supply circuit, a signal processing circuit for outputting a video signal and a clock signal.
  • a power supply for supplying power to the power supply circuit an external commercial power supply may be used, or a power supply using a battery 8011 provided separately may be used.
  • the battery 8011 can be omitted when a commercial power source is used.
  • the display module 8000 may be additionally provided with a member such as a polarizing plate, a retardation plate, or a prism sheet.
  • FIG. 30B is a schematic cross-sectional view of a display module 8000 including an optical touch sensor.
  • the display module 8000 includes a light emitting unit 8015 and a light receiving unit 8016 provided on the printed board 8010. Further, a region surrounded by the upper cover 8001 and the lower cover 8002 has a pair of light guide portions (light guide portion 8017a and light guide portion 8017b).
  • the upper cover 8001 and the lower cover 8002 for example, plastic can be used. Further, the upper cover 8001 and the lower cover 8002 can be made thin. For example, the thickness of each cover can be 0.5 mm or more and 5 mm or less. Therefore, the display module 8000 can be extremely lightweight. Since the upper cover 8001 and the lower cover 8002 can be manufactured with a small amount of material, manufacturing cost can be reduced.
  • the display panel 8006 is provided so as to overlap the printed board 8010 and the battery 8011 with a frame 8009 interposed therebetween.
  • the display panel 8006 and the frame 8009 are fixed to the light guide unit 8017a and the light guide unit 8017b.
  • Light 8018 emitted from the light emitting unit 8015 passes through the upper part of the display panel 8006 by the light guide unit 8017a and reaches the light receiving unit 8016 through the light guide unit 8017b.
  • the light 8018 is blocked by a detection object such as a finger or a stylus, whereby a touch operation can be detected.
  • a plurality of light emitting units 8015 are provided along two adjacent sides of the display panel 8006.
  • a plurality of light receiving units 8016 are provided at positions facing the light emitting unit 8015. Thereby, the information on the position where the touch operation is performed can be acquired.
  • the light emitting unit 8015 can use a light source such as an LED element.
  • a light source such as an LED element.
  • the light receiving unit 8016 can be a photoelectric element that receives light emitted from the light emitting unit 8015 and converts the light into an electrical signal.
  • a photodiode capable of receiving infrared light can be used.
  • a member that transmits at least light 8018 can be used as the light guide portion 8017a and the light guide portion 8017b.
  • the light emitting unit 8015 and the light receiving unit 8016 can be arranged below the display panel 8006, and external light reaches the light receiving unit 8016 and the touch sensor malfunctions. Can be suppressed.
  • a highly reliable electronic device having a curved surface can be manufactured. Further, according to one embodiment of the present invention, an electronic device having flexibility and high reliability can be manufactured.
  • Electronic devices include, for example, television devices, desktop or notebook personal computers, monitors for computers, digital cameras, digital video cameras, digital photo frames, mobile phones, portable game consoles, personal digital assistants, audio devices Large game machines such as playback devices and pachinko machines are listed.
  • the display device of one embodiment of the present invention can achieve high visibility regardless of the intensity of external light. Therefore, it can be suitably used for a portable electronic device, a wearable electronic device (wearable device), an electronic book terminal, and the like.
  • a portable information terminal 800 illustrated in FIGS. 31A and 31B includes a housing 801, a housing 802, a display portion 803, a hinge portion 805, and the like.
  • the housing 801 and the housing 802 are connected by a hinge portion 805.
  • the portable information terminal 800 can be developed from the folded state (FIG. 31A) as shown in FIG. Thereby, when carrying, it is excellent in portability, and when using, it is excellent in visibility by a large display area.
  • the portable information terminal 800 is provided with a flexible display portion 803 across a housing 801 and a housing 802 connected by a hinge portion 805.
  • a display device manufactured using one embodiment of the present invention can be used for the display portion 803. Thereby, a portable information terminal can be manufactured with a high yield.
  • the display unit 803 can display at least one of document information, a still image, a moving image, and the like.
  • the portable information terminal 800 can be used as an electronic book terminal.
  • the display unit 803 When the portable information terminal 800 is deployed, the display unit 803 is held in a greatly curved form.
  • the display portion 803 is held including a curved portion with a curvature radius of 1 mm to 50 mm, preferably 5 mm to 30 mm.
  • Part of the display portion 803 can display a curved surface by continuously arranging pixels from the housing 801 to the housing 802.
  • the display portion 803 functions as a touch panel and can be operated with a finger, a stylus, or the like.
  • the display unit 803 is preferably composed of one flexible display. Accordingly, continuous display can be performed between the housings 801 and 802 without interruption. Note that each of the housing 801 and the housing 802 may have a display.
  • the hinge portion 805 preferably has a lock mechanism so that the angle between the housing 801 and the housing 802 does not become larger than a predetermined angle when the portable information terminal 800 is deployed.
  • the angle at which the lock is applied is 90 degrees or more and less than 180 degrees, typically 90 degrees, 120 degrees, 135 degrees, 150 degrees, or 175 degrees. be able to. Thereby, the convenience, safety
  • the hinge portion 805 has a lock mechanism
  • the display portion 803 can be prevented from being damaged without applying excessive force to the display portion 803. Therefore, a highly reliable portable information terminal can be realized.
  • the housing 801 and the housing 802 may include a power button, an operation button, an external connection port, a speaker, a microphone, and the like.
  • Either one of the housing 801 and the housing 802 is provided with a wireless communication module, and transmits and receives data via a computer network such as the Internet, a LAN (Local Area Network), and Wi-Fi (registered trademark). Is possible.
  • a computer network such as the Internet, a LAN (Local Area Network), and Wi-Fi (registered trademark). Is possible.
  • a portable information terminal 810 illustrated in FIG. 31C includes a housing 811, a display portion 812, operation buttons 813, an external connection port 814, a speaker 815, a microphone 816, a camera 817, and the like.
  • a display device manufactured using one embodiment of the present invention can be used for the display portion 812. Thereby, a portable information terminal can be manufactured with a high yield.
  • the portable information terminal 810 includes a touch sensor in the display unit 812. Any operation such as making a call or inputting characters can be performed by touching the display portion 812 with a finger or a stylus.
  • the power can be turned on and off, and the type of image displayed on the display portion 812 can be switched.
  • the mail creation screen can be switched to the main menu screen.
  • the orientation (portrait or landscape) of the portable information terminal 810 is determined, and the screen display orientation of the display unit 812 is changed. It can be switched automatically.
  • the screen display orientation can also be switched by touching the display portion 812, operating the operation buttons 813, or inputting voice using the microphone 816.
  • the portable information terminal 810 has one or more functions selected from, for example, a telephone, a notebook, an information browsing device, or the like. Specifically, it can be used as a smartphone.
  • the portable information terminal 810 can execute various applications such as mobile phone, electronic mail, text browsing and creation, music playback, video playback, Internet communication, and games.
  • a camera 820 illustrated in FIG. 31D includes a housing 821, a display portion 822, operation buttons 823, a shutter button 824, and the like.
  • a removable lens 826 is attached to the camera 820.
  • a display device manufactured using one embodiment of the present invention can be used for the display portion 822. Thereby, a camera can be manufactured with a high yield.
  • the camera 820 is configured such that the lens 826 can be removed from the housing 821 and replaced, but the lens 826 and the housing 821 may be integrated.
  • the camera 820 can capture a still image or a moving image by pressing the shutter button 824.
  • the display portion 822 has a function as a touch panel and can capture an image by touching the display portion 822.
  • the camera 820 can be separately attached with a strobe device, a viewfinder, and the like. Alternatively, these may be incorporated in the housing 821.
  • 32A to 32E are diagrams illustrating electronic devices. These electronic devices include a housing 9000, a display portion 9001, a speaker 9003, operation keys 9005 (including a power switch or operation switch), a connection terminal 9006, and a sensor 9007 (force, displacement, position, velocity, acceleration, angular velocity, Includes functions to measure rotation speed, distance, light, liquid, magnetism, temperature, chemical, sound, time, hardness, electric field, current, voltage, power, radiation, flow rate, humidity, gradient, vibration, odor or infrared ), A microphone 9008 and the like.
  • a display device manufactured using one embodiment of the present invention can be favorably used for the display portion 9001. Thereby, an electronic device can be manufactured with a high yield.
  • the electronic devices illustrated in FIGS. 32A to 32E can have various functions. For example, a function for displaying various information (still images, moving images, text images, etc.) on the display unit, a touch panel function, a function for displaying a calendar, date or time, a function for controlling processing by various software (programs), Wireless communication function, function for connecting to various computer networks using the wireless communication function, function for transmitting or receiving various data using the wireless communication function, and reading and displaying the program or data recorded on the recording medium It can have a function of displaying on the section. Note that the functions of the electronic devices illustrated in FIGS. 32A to 32E are not limited to these, and may have other functions.
  • FIG. 32A is a perspective view showing a wristwatch-type portable information terminal 9200
  • FIG. 32B is a perspective view showing a wristwatch-type portable information terminal 9201.
  • a portable information terminal 9200 illustrated in FIG. 32A can execute various applications such as a mobile phone, e-mail, text browsing and creation, music playback, Internet communication, and computer games. Further, the display portion 9001 is provided with a curved display surface, and can perform display along the curved display surface. In addition, the portable information terminal 9200 can execute short-range wireless communication with a communication standard. For example, it is possible to talk hands-free by communicating with a headset capable of wireless communication. In addition, the portable information terminal 9200 includes a connection terminal 9006 and can directly exchange data with other information terminals via a connector. Charging can also be performed through the connection terminal 9006. Note that the charging operation may be performed by wireless power feeding without using the connection terminal 9006.
  • a portable information terminal 9201 illustrated in FIG. 32B is different from the portable information terminal illustrated in FIG. 32A in that the display surface of the display portion 9001 is not curved.
  • the external shape of the display portion of the portable information terminal 9201 is a non-rectangular shape (a circular shape in FIG. 32B).
  • FIGS. 32C to 32E are perspective views showing a foldable portable information terminal 9202.
  • FIG. 32C is a perspective view of a state in which the portable information terminal 9202 is expanded
  • FIG. 32D is a state in which the portable information terminal 9202 is expanded or changed from one of the folded state to the other.
  • FIG. 32E is a perspective view of the portable information terminal 9202 folded.
  • the portable information terminal 9202 is excellent in portability in the folded state, and in the expanded state, the portable information terminal 9202 is excellent in display listability due to a seamless wide display area.
  • a display portion 9001 included in the portable information terminal 9202 is supported by three housings 9000 connected by a hinge 9055. By bending between the two housings 9000 via the hinge 9055, the portable information terminal 9202 can be reversibly deformed from the expanded state to the folded state. For example, the portable information terminal 9202 can be bent with a curvature radius of 1 mm to 150 mm.
  • the metal oxide layer 20 was formed over the manufacturing substrate 14 (FIG. 5A1).
  • a glass substrate having a thickness of about 0.7 mm was used as the manufacturing substrate 14.
  • a titanium oxide film was formed as the metal oxide layer 20. Specifically, first, a titanium film having a thickness of about 5 nm was formed by sputtering. Then, a titanium oxide film was formed by baking at 450 ° C. for 1 hour while flowing a mixed gas of nitrogen gas and oxygen gas (580 NL / min, oxygen concentration 20%).
  • the first layer 24 was formed over the metal oxide layer 20 (FIG. 5B).
  • the first layer 24 was formed using a material containing a non-photosensitive soluble polyimide resin.
  • the film thickness when the material was applied was about 2.0 ⁇ m.
  • the resin layer 23 was formed by heat-processing the 1st layer 24 (FIG.5 (C)).
  • As the heat treatment baking was performed at 350 ° C. for 1 hour in an N 2 atmosphere.
  • the sample of this example was irradiated with laser light from the manufacturing substrate 14 side (FIG. 6A).
  • the laser beam was applied to the entire surface of the sample when viewed from above.
  • a mask (not shown) for light shielding was provided on the outer periphery of the sample.
  • a XeCl excimer laser with a wavelength of 308 nm was used as a laser oscillator for laser light.
  • the beam short axis condensing width was 625 ⁇ m, and the energy density was about 440 mJ / cm 2 .
  • the sample was divided into four regions with different laser light irradiation conditions. The number of shots in the four areas was 10 shots, 20 shots, 30 shots, and 40 shots, respectively. The repetition frequency was 60 Hz. The scan speed varies depending on the number of shots.
  • the 10-shot area was 3.75 mm / sec
  • the 20-shot area was 1.90 mm / sec
  • the 30-shot area was 1.25 mm / sec
  • the 40-shot area was 0.93 mm / sec.
  • the light absorptance of 308 nm was about 75%, and the transmittance was about 13%. From this, it is considered that laser light was irradiated to all of the interface between the metal oxide layer 20 and the resin layer 23, the metal oxide layer 20, and the resin layer 23.
  • the production substrate 14 was peeled from the sample by cutting the sample with a cutter from the substrate 75a side to the inside of the outer peripheral portion (FIG. 6 (B1)).
  • the substrate 75a was peeled from the manufacturing substrate 14 in any region where the number of shots was 10 shots to 40 shots.
  • FIG. 34 shows the result of cross-sectional STEM (Scanning Transmission Electron Microscopy) observation of a sample under the condition that the number of shots is 10 shots.
  • FIG. 34A shows a cross-sectional STEM photograph of the sample before peeling.
  • the thickness of the metal oxide layer 20 was about 14 nm.
  • FIG. 34B shows a cross-sectional STEM photograph of the peeled substrate 75a side.
  • the metal oxide layer 20 was not observed between the resin layer 23 and the coating layer formed for observation.
  • EDX Energy Dispersive X-ray spectroscopy
  • titanium was not detected on the resin layer 23 side.
  • FIG. 34C shows a cross-sectional STEM photograph on the side of the manufacturing substrate 14 which is peeled.
  • the thickness of the metal oxide layer 20 was about 11 nm. From the above results, it is considered that the metal oxide layer 20 and the resin layer 23 could be separated at the interface.
  • the formation substrate 14 could be peeled off using the peeling method of one embodiment of the present invention as an interface between the metal oxide layer 20 and the resin layer 23.
  • the stack of the manufacturing substrate 14 and the metal oxide layer 20 can be cleaned using the substrate cleaning method of one embodiment of the present invention. Thereby, the reuse of the manufacturing substrate 14 alone or the stacked body of the manufacturing substrate 14 and the metal oxide layer 20 can be achieved.
  • the metal oxide layer 20 and the resin layer It was confirmed that the manufacturing substrate 14 was able to be peeled off with an interface between the substrate and the substrate 23 (10 shots each). From this, it was found that the treatment can be performed at an energy density lower than the conditions used in the laser crystallization process. Thus, the number of substrates that can be processed by the laser device can be increased. Further, the laser device can be used for a long time, and the running cost of the laser device can be reduced.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Electroluminescent Light Sources (AREA)
  • Thin Film Transistor (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)
  • Laminated Bodies (AREA)
  • Laser Beam Processing (AREA)

Abstract

要約書 ガラス基板を再利用する。半導体装置の量産性を高める。 一方の面に第1の材料及び第2の材料を有するガラス基板である。 第1の材料は、 金属及び金属酸化 物のうち一方または双方を有する。 第2の材料は、 樹脂及び樹脂の分解物のうち一方または双方を有 する。 一方の面に第1の材料及び第2の材料を有するガラス基板を準備する工程と、 第2の材料の少 なくとも一部を除去し、前記第1の材料を露出させる工程と、を有するガラス基板の洗浄方法である。

Description

ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板
本発明の一態様は、基板の洗浄方法に関する。本発明の一態様は、ガラス基板及びガラス基板の洗浄方法に関する。本発明の一態様は、剥離方法、半導体装置の作製方法、及び表示装置の作製方法に関する。
なお、本発明の一態様は、上記の技術分野に限定されない。本発明の一態様の技術分野としては、半導体装置、表示装置、発光装置、蓄電装置、記憶装置、電子機器、照明装置、入力装置(例えば、タッチセンサなど)、入出力装置(例えば、タッチパネルなど)、それらの駆動方法、またはそれらの製造方法を一例として挙げることができる。
なお、本明細書等において、半導体装置とは、半導体特性を利用することで機能しうる装置全般を指す。トランジスタ、半導体回路、表示装置、発光装置、入力装置、入出力装置、演算装置、記憶装置等は半導体装置の一態様である。また、撮像装置、電気光学装置、発電装置(薄膜太陽電池、有機薄膜太陽電池等を含む)、及び電子機器は半導体装置を有している場合がある。
有機EL(Electro Luminescence)素子や、液晶素子が適用された表示装置が知られている。そのほか、発光ダイオード(LED:Light Emitting Diode)等の発光素子を備える発光装置、電気泳動方式などにより表示を行う電子ペーパなども、表示装置の一例として挙げることができる。
有機EL素子の基本的な構成は、一対の電極間に発光性の有機化合物を含む層を挟持したものである。この素子に電圧を印加することにより、発光性の有機化合物から発光を得ることができる。このような有機EL素子が適用された表示装置は、薄型、軽量、高コントラストで且つ低消費電力な表示装置を実現できる。
また、可撓性を有する基板(フィルム)上に、トランジスタなどの半導体素子や、有機EL素子などの表示素子を形成することによりフレキシブルな表示装置が実現できる。
特許文献1では、犠牲層を介して耐熱性樹脂層及び電子素子が設けられた支持基板(ガラス基板)にレーザ光を照射して、耐熱性樹脂層をガラス基板から剥離することで、フレキシブルな表示装置を作製する方法が開示されている。
特開2015−223823号公報
本発明の一態様は、新規な基板(代表的にはガラス基板)の洗浄方法、ガラス基板、剥離方法、半導体装置の作製方法、または表示装置の作製方法を提供することを課題の一つとする。本発明の一態様は、ガラス基板の再利用を課題の一つとする。本発明の一態様は、低コストで量産性の高い剥離方法、半導体装置の作製方法、または表示装置の作製方法を提供することを課題の一つとする。本発明の一態様は、歩留まりの高い剥離方法を提供することを課題の一つとする。本発明の一態様は、大判基板を用いて半導体装置または表示装置を作製することを課題の一つとする。本発明の一態様は、半導体装置または表示装置を低温で作製することを課題の一つとする。
なお、これらの課題の記載は、他の課題の存在を妨げるものではない。本発明の一態様は、必ずしも、これらの課題の全てを解決する必要はないものとする。明細書、図面、請求項の記載から、これら以外の課題を抽出することが可能である。
本発明の一態様は、一方の面に第1の材料を有するガラス基板を準備する工程と、第1の材料の少なくとも一部を除去する工程と、を有するガラス基板の洗浄方法である。第1の材料は、金属及び金属酸化物のうち一方または双方を有する。第1の材料の少なくとも一部を除去する工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。
本発明の一態様は、一方の面に第1の材料及び第2の材料を有するガラス基板を準備する工程と、第2の材料の少なくとも一部を除去し、第1の材料を露出させる工程と、を有するガラス基板の洗浄方法である。第1の材料は、金属及び金属酸化物のうち一方または双方を有する。第2の材料は、樹脂及び樹脂の分解物のうち一方または双方を有する。第1の材料を露出させる工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。
本発明の一態様は、ガラス基板上に第1の材料層を形成する工程、第1の材料層上に第2の材料層を形成する工程、第2の材料層上に第1の被剥離層を形成する工程、第1の材料層と第2の材料層を用いて、ガラス基板と第1の被剥離層とを分離する工程、及び、ガラス基板に残存した第1の材料層の少なくとも一部を除去する工程を有する、半導体装置の作製方法である。第1の材料層の少なくとも一部を除去する工程の後に、さらに、ガラス基板上に、第3の材料層を形成する工程、第3の材料層上に、第4の材料層を形成する工程、第4の材料層上に、第2の被剥離層を形成する工程、及び、第3の材料層と第4の材料層を用いて、ガラス基板と第2の被剥離層とを分離する工程を有していてもよい。第1の材料層及び第3の材料層は、それぞれ、金属及び金属酸化物のうち一方または双方を有する。第2の材料層及び第4の材料層は、それぞれ、樹脂を有する。第1の材料層の少なくとも一部を除去する工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。
本発明の一態様は、ガラス基板上に第1の材料層を形成する工程、第1の材料層上に第2の材料層を形成する工程、第2の材料層上に第1の被剥離層を形成する工程、第1の材料層と第2の材料層を用いて、ガラス基板と第1の被剥離層とを分離する工程、及び、ガラス基板に残存した第2の材料層の少なくとも一部を除去し、第1の材料層を露出させる工程を有する、半導体装置の作製方法である。第1の材料層を露出させる工程の後に、さらに、第1の材料層上に、第3の材料層を形成する工程、第3の材料層上に、第2の被剥離層を形成する工程、及び、第1の材料層と第3の材料層を用いて、ガラス基板と第2の被剥離層とを分離する工程を有していてもよい。第1の材料層は、金属及び金属酸化物のうち一方または双方を有する。第2の材料層及び第3の材料層は、それぞれ、樹脂を有する。第1の材料層を露出させる工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。
第1の材料層とガラス基板とは、共通の金属を有することが好ましい。
本発明の一態様は、一方の面に第1の材料及び第2の材料を有するガラス基板を準備する工程、第2の材料の少なくとも一部を除去し、第1の材料を露出させる工程、露出した第1の材料上に第3の材料を形成する工程、第1の材料と第3の材料とが積層された状態で加熱される工程、及び、第1の材料と第3の材料とを分離する工程を有するガラス基板の洗浄方法である。第1の材料は、金属及び金属酸化物のうち一方または双方を有する。第1の材料は、水素、酸素、及び水のうち一つまたは複数を有する。第2の材料及び第3の材料は、それぞれ、樹脂を有する。加熱される工程では、第1の材料と第3の材料との界面または界面近傍に水が析出する。分離する工程では、界面または界面近傍に存在する水に光が照射されることにより、第1の材料と第3の材料とが分離する。第1の材料を露出させる工程の後に、露出した第1の材料上に第4の材料を形成する工程を有していてもよい。その場合、第3の材料を形成する工程では、第4の材料上に、第3の材料を形成する。第4の材料は、第1の材料と共通の金属を有する。
第1の材料を露出させる工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。
光は、波長領域が180nm以上450nm以下を有するように照射されることが好ましい。
光は、レーザ装置を用いて照射されることが好ましい。
光は、250mJ/cm以上360mJ/cm以下のエネルギー密度で照射されることが好ましい。
第1の材料は、チタン及び酸化チタンのうち一方または双方を有することが好ましい。
本発明の一態様は、一方の面に、第1の材料及びと、第1の材料上の第2の材料とを有するガラス基板である。第1の材料は、金属及び金属酸化物のうち一方または双方を有する。第1の材料は、チタン及び酸化チタンのうち一方または双方を有することが好ましい。第2の材料は、樹脂を有する。第2の材料は、構造式(100)で表される化合物の残基を有することが好ましい。
Figure JPOXMLDOC01-appb-C000002
本発明の一態様により、新規な基板の洗浄方法、ガラス基板、剥離方法、半導体装置の作製方法、または表示装置の作製方法を提供することができる。本発明の一態様により、ガラス基板の再利用が可能となる。本発明の一態様により、低コストで量産性の高い剥離方法、半導体装置の作製方法、または表示装置の作製方法を提供することができる。本発明の一態様により、歩留まりの高い剥離方法を提供することができる。本発明の一態様により、大判基板を用いて半導体装置または表示装置を作製することができる。本発明の一態様により、半導体装置または表示装置を低温で作製することができる。
なお、これらの効果の記載は、他の効果の存在を妨げるものではない。本発明の一態様は、必ずしも、これらの効果の全てを有する必要はない。明細書、図面、請求項の記載から、これら以外の効果を抽出することが可能である。
剥離方法の一例を示す模式図。 剥離方法の一例を示す模式図。 剥離方法の一例を示す模式図。 金属酸化物層と樹脂層との界面の一例を示す模式図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図、 洗浄方法の一例を示す断面図。 マルチチャンバー設備、インライン設備、及びアッシング装置それぞれの一例を示す図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図及び上面図。 表示装置の作製方法の一例を示す断面図及び上面図。 表示装置の作製方法の一例を示す断面図。 表示装置の一例を示す上面図及び断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図及び上面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図。 表示装置の作製方法の一例を示す断面図及び上面図。 表示装置の一例を示す上面図及び断面図。 積層体の作製装置の一例を示す図。 レーザ照射ユニットの一例を示す図。 表示装置の一例を示す斜視図。 表示装置の一例を示す断面図。 表示モジュールの一例を示す図。 電子機器の一例を示す図。 電子機器の一例を示す図。 実施例1の剥離結果を示す図。 実施例1の試料の断面STEM観察写真。
実施の形態について、図面を用いて詳細に説明する。但し、本発明は以下の説明に限定されず、本発明の趣旨及びその範囲から逸脱することなくその形態及び詳細を様々に変更し得ることは当業者であれば容易に理解される。従って、本発明は以下に示す実施の形態の記載内容に限定して解釈されるものではない。
なお、以下に説明する発明の構成において、同一部分又は同様な機能を有する部分には同一の符号を異なる図面間で共通して用い、その繰り返しの説明は省略する。また、同様の機能を指す場合には、ハッチパターンを同じくし、特に符号を付さない場合がある。
また、図面において示す各構成の、位置、大きさ、範囲などは、理解の簡単のため、実際の位置、大きさ、範囲などを表していない場合がある。このため、開示する発明は、必ずしも、図面に開示された位置、大きさ、範囲などに限定されない。
なお、「膜」という言葉と、「層」という言葉とは、場合によっては、又は、状況に応じて、互いに入れ替えることが可能である。例えば、「導電層」という用語を、「導電膜」という用語に変更することが可能である。または、例えば、「絶縁膜」という用語を、「絶縁層」という用語に変更することが可能である。
本明細書等において、金属酸化物(metal oxide)とは、広い表現での金属の酸化物である。金属酸化物は、酸化物絶縁体、酸化物導電体(透明酸化物導電体を含む)、酸化物半導体(Oxide Semiconductorまたは単にOSともいう)などに分類される。例えば、トランジスタの半導体層に金属酸化物を用いた場合、当該金属酸化物を酸化物半導体と呼称する場合がある。つまり、OS FETと記載する場合においては、金属酸化物または酸化物半導体を有するトランジスタと換言することができる。
また、本明細書等において、窒素を有する金属酸化物も金属酸化物(metal oxide)と総称する場合がある。また、窒素を有する金属酸化物を、金属酸窒化物(metal oxynitride)と呼称してもよい。
(実施の形態1)
本実施の形態では、本発明の一態様の剥離方法、表示装置の作製方法、及び基板の洗浄方法について図1~図27を用いて説明する。
本実施の形態では、トランジスタ及び有機EL素子を有する表示装置(アクティブマトリクス型の有機EL表示装置ともいう)を例に挙げて説明する。当該表示装置は、基板に可撓性を有する材料を用いることで、フレキシブルデバイスとすることができる。なお、本発明の一態様は、有機EL素子を用いた発光装置、表示装置、及び入出力装置(タッチパネルなど)に限られず、他の機能素子を用いた半導体装置、発光装置、表示装置、及び入出力装置等の各種装置に適用することができる。
本実施の形態では、まず、基板上に第1の材料層、ここでは金属酸化物層を形成する。次に、金属酸化物層上に、第2の材料層、ここでは樹脂層を形成する。そして、光を照射することで、金属酸化物層と樹脂層とを分離する。
本実施の形態では、基板と樹脂層の間に下地となる層(下地層ともいう)を形成する。この下地層は、樹脂層との密着性(接着性)が、基板よりも低い層である。本実施の形態では、下地層として金属酸化物層を用いる場合を例に挙げて説明するが、これに限られない。
金属酸化物層と樹脂層とを分離する際に、光を用いると好ましい。光は、金属酸化物層と樹脂層との界面またはその近傍(界面または界面近傍とも記す)に照射されることが好ましい。また、光は、金属酸化物層中に照射されてもよい。また、光は、樹脂層中に照射されてもよい。なお、本明細書等において、「AとBとの界面またはその近傍」、「AとBとの界面または界面近傍」とは、少なくともAとBとの界面を含み、AとBとの界面から、AまたはBのいずれか一方の厚さの20%以内の範囲を含むものとする。
光を照射することで、金属酸化物層と樹脂層との界面(さらには金属酸化物層中及び樹脂層中)を加熱し、金属酸化物層と樹脂層との密着性(接着性)を低くすることができる。さらには金属酸化物層と樹脂層とを分離することができる。
分離後、金属酸化物層が残存する基板(例えばガラス基板)を洗浄することができる。
本発明の一態様の基板の洗浄方法は、一方の面に第1の材料を有する基板を準備する工程と、第1の材料の少なくとも一部を除去する工程と、を有する。第1の材料は、金属及び金属酸化物のうち一方または双方を有する。第1の材料の少なくとも一部を除去する工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。
また、分離後、基板には、金属酸化物層だけでなく、樹脂層が残存していることもある。
本発明の一態様の基板の洗浄方法は、一方の面に第1の材料及び第2の材料を有する基板を準備する工程と、第2の材料の少なくとも一部を除去する工程と、を有する。第1の材料は、金属及び金属酸化物のうち一方または双方を有する。第2の材料は、樹脂及び樹脂の分解物のうち一方または双方を有する。第2の材料の少なくとも一部を除去する工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われることが好ましい。第2の材料の少なくとも一部を除去することで、第1の材料が露出する。第2の材料の少なくとも一部を除去する工程において、第1の材料の少なくとも一部が除去される場合がある。
本発明の一態様の基板の洗浄方法により処理された基板は、再利用が可能である。当該基板を用いて、半導体装置、表示装置等の各種装置を作製することができる。基板を再利用することで、コストの大幅な削減を図ることができる。
例えば、当該基板を、本発明の一態様の表示装置の作製方法で用いる基板に採用することができる。
一例として、本発明の一態様の表示装置の作製方法で用いる基板に、第1の材料が露出している基板を採用する場合について説明する。本発明の一態様の基板の洗浄方法を適用することで、第1の材料が露出している基板を得ることができる。このような基板を用いることで、基板上に第1の材料を形成する工程を省略することができる。第1の材料が一方の面に形成された基板を準備し、第1の材料上に第2の材料を形成することができる。または、第1の材料上に、さらに、第1の材料(または第1の材料と同じ金属を含む第4の材料)を形成してもよい。例えば、基板に残存する第1の材料の厚さが薄すぎる場合などは、第1の材料上にさらに第1の材料または第4の材料を形成してもよい。
第1の材料とガラス基板とは、共通の金属を有することが好ましい。もともとガラス基板に含まれる金属がガラス基板上に残存していても、当該金属は、各種装置の作製に悪影響を及ぼしにくい(不純物となりにくい)。そのため、本発明の一態様の基板の洗浄方法により処理された基板に、第1の材料が一部残存していても、当該基板を様々な用途で再利用することができる。本発明の一態様の表示装置の作製方法以外(例えば、第1の材料を用いない方法)で用いる基板に採用することもできる。
なお、本発明の一態様の基板の洗浄方法では、様々な基板の洗浄が可能である。つまり、洗浄される基板は、本実施の形態で例示する剥離方法、表示装置の作製方法等で用いた基板に限定されない。また、本発明の一態様の基板の洗浄方法を用いて処理された基板は、様々な用途で利用することができる。つまり、洗浄された基板の用途は、本実施の形態で例示する剥離方法、表示装置の作製方法等で用いるのみに限定されない。
図1~図3を用いて、金属酸化物層と樹脂層とを分離する原理の一例を説明する。
まず、図1及び図2を用いて、HOが、金属酸化物層20と樹脂層23との密着性を阻害する作用(以下、阻害作用)について説明する。
図1において、作製基板14上に金属酸化物層20が設けられ、金属酸化物層20上に樹脂層23が設けられている。
金属酸化物層20と樹脂層23との界面、及び、金属酸化物層20中のうち一方または双方には、HO、水素(H)、酸素(O)、水酸基(OH)、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)のうち一つまたは複数が存在する。これらは、金属酸化物層20の成膜工程、金属酸化物層20成膜後の添加(dope)工程等によって供給することができる。図1のステップ(i)では、金属酸化物層20と樹脂層23との界面、及び、金属酸化物層20中に、それぞれ、HO、H、O等を有する例を示す。
金属酸化物層20と樹脂層23との界面、及び、金属酸化物層20中に供給されたH、O、HOなどは、樹脂層23(例えば、ポリイミドなど)を固体化(固化、硬化)させる工程(例えば、350℃での加熱)で当該界面にHOとして析出する場合がある。この場合、金属酸化物層20と樹脂層23との界面に析出したHOが、金属酸化物層20と、樹脂層23との密着性を阻害する可能性がある。つまり、金属酸化物層20と樹脂層23との界面に析出したHOは、密着性を阻害する作用(阻害作用)を有する。図1のステップ(ii)では、金属酸化物層20中のHOが金属酸化物層20と樹脂層23との界面に析出する例を示す。また、図1のステップ(ii)では、金属酸化物層20中の水素と水酸基(OH)とが、金属酸化物層20と樹脂層23との界面にHOとして析出する例を示す。
次に、作製基板14、金属酸化物層20、及び樹脂層23を有する積層体に光を照射する。図2のステップ(iii)では、作製基板14が上側に位置する状態で積層体を配置する例を示す。図2のステップ(iii)では、搬送機構(図示しない)を用いて、図中の矢印方向に積層体を移動させることで、図の右側から左側に向かって光が照射される。光は、作製基板14を介して、金属酸化物層20と樹脂層23との界面またはその近傍に照射される。ここでは、線状のレーザ光を用いる例を示す。図2のステップ(iii)、(iv)では、線状ビーム26が、作製基板14を介して、加工領域27に照射される例を示す。光照射により、金属酸化物層20と樹脂層23との界面(さらには金属酸化物層20中及び樹脂層23中)が加熱される。また、光照射により、金属酸化物層20と樹脂層23との界面に存在するHOが、高エネルギーで瞬間的に気化(蒸発)してアブレーションする(爆発する、ともいう)。
 図2のステップ(v)では、積層体の上下を反転する例を示す。図2のステップ(vi)では、金属酸化物層20と樹脂層23とが分離されている例を示す。光照射により、HOが水蒸気となり、体積が膨張する。これにより、金属酸化物層20と樹脂層23の密着性が弱くなり、金属酸化物層20と樹脂層23の間を分離することができる。
次に、図3を用いて、金属酸化物層20と樹脂層23との間の結合について説明する。
図3において、金属酸化物層20と樹脂層23とが積層されている。
金属酸化物層20と樹脂層23との間には、結合が生じていると考えられる。具体的には共有結合、イオン結合、水素結合等の化学結合が、金属酸化物層20と樹脂層23との間に生じている。
図3のステップ(i)では、金属酸化物層20が有する金属Mと、樹脂層23が有する炭素Cとが、酸素Oによって結合されている例を示す。
金属酸化物層20と樹脂層23の積層構造に光を照射する(図3のレーザ光55参照)。ここでは、線状のレーザ光を用いる例を示す。基板と光源とを相対的に移動させることでレーザ光55を走査し、剥離したい領域に亘ってレーザ光55を照射する。
光照射により、金属酸化物層20と樹脂層23との界面(さらには金属酸化物層20中及び樹脂層23中)が加熱され、式(1)(下記及び図3参照)の反応が生じる。光を照射することで、HO(水蒸気)が、金属M−酸素O−炭素Cの結合を切断する。そして、金属酸化物層20と樹脂層23との間の結合を、水素結合にする。
M−O−C+HO→M−OH+C−OH・・・(1)
図3のステップ(ii)では、金属酸化物層20が有する金属Mと酸素Oが結合し、樹脂層23が有する炭素Cと別の酸素Oが結合している例を示す。2つの酸素は、それぞれ、別の水素と共有結合を形成している。また、2つの酸素は、それぞれ、他方の酸素と結合している水素と水素結合を形成している。
水素結合は、共有結合に比べて極めて弱い結合であるため、容易に切断することができる。また、光照射のエネルギーにより、水は蒸発して水蒸気になる。このとき膨張する力によって、金属酸化物層20と樹脂層23の間の水素結合を切断することができる場合がある。したがって、金属酸化物層20と樹脂層23とを容易に分離することができる。
図3のステップ(iii)では、水素結合で結合されていた酸素と水素が離れ、金属酸化物層20と樹脂層23とが分離されている例を示す。金属酸化物層20が有する金属Mと酸素Oが結合し、樹脂層23が有する炭素Cと別の酸素Oが結合している。2つの酸素は、それぞれ、別の水素と共有結合を形成している。
以上のように、金属酸化物層20と樹脂層23の積層構造に光を照射することで、HOが、金属酸化物層20と樹脂層23の間の強固な結合を、弱い結合である水素結合に変える。これにより、金属酸化物層20と樹脂層23の間の分離に要する力を低減させることができる。また、光照射のエネルギーにより、HOが膨張することで、金属酸化物層20と樹脂層23とを分離することができる。
次に、上記阻害作用及び上記式(1)に示す反応に係るHOについて説明する。
Oは、金属酸化物層20中、樹脂層23中、及び金属酸化物層20と樹脂層23との界面などに存在する場合がある。
また、金属酸化物層20中、樹脂層23中、及び金属酸化物層20と樹脂層23との界面などに存在していた水素(H)、酸素(O)、水酸基(OH)、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)等は、加熱されてHOとなる場合がある。
金属酸化物層20の内部、金属酸化物層20の表面(樹脂層23と接する面)、または金属酸化物層20と樹脂層23の界面に、HO、水素(H)、酸素(O)、水酸基(OH)、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)のうち一つまたは複数を添加することが好ましい。
なお、本実施の形態の剥離方法では、上記の阻害作用と、先に示す式(1)の反応と、が同時に生じる場合がある。この場合、金属酸化物層20と樹脂層23との密着性をさらに低下させる、別言すると金属酸化物層20と樹脂層23との剥離性をさらに高めることができると推定される。
金属酸化物層20中、樹脂層23中、及び金属酸化物層20と樹脂層23との界面などに、HO、水素(H)、酸素(O)、水酸基(OH)、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)等を多く有することが好ましい。反応に寄与するHOを多くすることで、反応を促進し、分離に要する力をより低減させることができる。
例えば、金属酸化物層20を形成する際に、金属酸化物層20中、または金属酸化物層20表面に、HO、水素、酸素、水酸基、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)等を多く含ませることが好ましい。
具体的には、金属層を形成し、金属層の表面にラジカル処理を行うことで金属酸化物層20を形成することが好ましい。ラジカル処理では、酸素ラジカル及びヒドロキシラジカルのうち少なくとも一方を含む雰囲気に、金属層の表面を曝すことが好ましい。例えば、酸素または水蒸気(HO)のうち一方または双方を含む雰囲気でプラズマ処理を行うことが好ましい。
または、金属酸化物層20を形成し、金属酸化物層20の表面にラジカル処理を行うことが好ましい。ラジカル処理では、酸素ラジカル、水素ラジカル、及びヒドロキシラジカルのうち少なくとも1種を含む雰囲気に、金属酸化物層20の表面を曝すことが好ましい。例えば、酸素、水素、または水蒸気(HO)のうち一つまたは複数を含む雰囲気でプラズマ処理を行うことが好ましい。
ラジカル処理は、プラズマ発生装置またはオゾン発生装置を用いて行うことができる。
例えば、酸素プラズマ処理、水素プラズマ処理、水プラズマ処理、オゾン処理等を行うことができる。酸素プラズマ処理は、酸素を含む雰囲気下でプラズマを生成して行うことができる。水素プラズマ処理は、水素を含む雰囲気下でプラズマを生成して行うことができる。水プラズマ処理は、水蒸気(HO)を含む雰囲気下でプラズマを生成して行うことができる。特に水プラズマ処理を行うことで、金属酸化物層20の表面または内部に水分を多く含ませることができ好ましい。
酸素、水素、水(水蒸気)、及び不活性ガス(代表的にはアルゴン)のうち2種以上を含む雰囲気下でのプラズマ処理を行ってもよい。当該プラズマ処理としては、例えば、酸素と水素とを含む雰囲気下でのプラズマ処理、酸素と水とを含む雰囲気下でのプラズマ処理、水とアルゴンとを含む雰囲気下でのプラズマ処理、酸素とアルゴンとを含む雰囲気下でのプラズマ処理、または酸素と水とアルゴンとを含む雰囲気下でのプラズマ処理などが挙げられる。プラズマ処理のガスの一つとして、アルゴンガスを用いることで金属層または金属酸化物層20にダメージを与えながら、プラズマ処理を行うことが可能となるため好適である。
2種以上のプラズマ処理を大気に暴露することなく連続で行ってもよい。例えば、アルゴンプラズマ処理を行った後に、水プラズマ処理を行ってもよい。
これにより、図4に示すように、金属酸化物層20の表面または内部に、水素、酸素、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)等を含ませることができる。また、図4では、樹脂層23に、炭素Cと結合した水素H、水酸基OHが含まれる例を示す。これらが、加熱処理や光照射により加熱され、HOとなることが考えられる。
ランプ、レーザ装置等を用いて光を照射することができる。
線状レーザ装置を用いてレーザ光を照射することが好ましい。低温ポリシリコン(LTPS(Low Temperature Poly−Silicon))等の製造ラインのレーザ装置を使用することができるため、これらの装置の有効利用が可能である。線状レーザは、矩形長尺状に集光(線状レーザビームに成形)して、金属酸化物層と樹脂層との界面に光を照射する。
光は、波長領域が180nm以上450nm以下を有するように照射されることが好ましい。光は、波長領域が308nm又はその近傍を有するように照射されることがより好ましい。
光のエネルギー密度は、250mJ/cm以上400mJ/cm以下が好ましく、250mJ/cm以上360mJ/cm以下がより好ましい。
レーザ装置を用いて光を照射する場合、同一箇所に照射されるレーザ光のショット数は、1ショット以上50ショット以下とすることができ、1ショットより多く10ショット以下が好ましく、1ショットより多く5ショット以下がより好ましい。
ビームの短軸方向の両端には、光の強度が低い部分が存在する。そのため、当該光の強度が低い部分の幅以上、一つのショットと次のショットの間にオーバーラップする部分を設けることが好ましい。そのため、レーザ光のショット数は、1.1ショット以上とすることが好ましく、1.25ショット以上とすることがより好ましい。
なお、本明細書中、レーザ光のショット数とは、ある点(領域)に照射されるレーザ光の照射回数を指し、ビーム幅、スキャン速度、周波数、またはオーバーラップ率などで決定される。また、線状のビームをあるスキャン方向に移動させているパルスとパルスの間、即ち、一つのショットと次のショットの間にオーバーラップする部分があり、その重なる比率がオーバーラップ率である。なお、オーバーラップ率が100%に近ければ近いほどショット数は多く、離れれば離れるほどショット数は少なくなり、スキャン速度が速ければ速いほどショット数は少なくなる。
上記のレーザ光のショット数が1.1ショットとは、連続する2つのショットの間にビームの10分の1程度の幅のオーバーラップを有することを示し、オーバーラップ率10%といえる。同様に、1.25ショットとは、連続する2つのショットの間にビームの4分の1程度の幅のオーバーラップを有することを示し、オーバーラップ率25%といえる。
ここで、LTPSのレーザ結晶化の工程で照射する光のエネルギー密度は高く、例えば350mJ/cm以上400mJ/cm以下が挙げられる。また、レーザのショット数も多く必要であり、例えば10ショット以上100ショット以下が挙げられる。
一方、本実施の形態において、金属酸化物層20と樹脂層23とを分離するために行う光の照射は、レーザ結晶化の工程で用いる条件よりも低いエネルギー密度、または少ないショット数で行うことができる。そのため、レーザ装置での処理可能な基板枚数を増やすことができる。また、レーザ装置のメンテナンスの頻度の低減など、レーザ装置のランニングコストの低減が可能となる。したがって、表示装置などの作製コストを低減することができる。
また、光の照射が、レーザ結晶化の工程で用いる条件よりも低いエネルギー密度、または少ないショット数で行われることから、基板がレーザ光の照射により受けるダメージを低減できる。そのため、基板を一度使用しても、強度が低下しにくく、基板を再利用できる。したがって、コストを抑えることが可能となる。
また、本実施の形態では、作製基板14と樹脂層23との間に金属酸化物層20を配置する。金属酸化物層20を用いることで、金属酸化物層20を用いない場合に比べて、光の照射を、低いエネルギー密度、または少ないショット数で行うことができることがある。
作製基板を介して光を照射する際、作製基板の光照射面にゴミなどの異物が付着していると、光の照射ムラが生じ、剥離性が低い部分が形成され、金属酸化物層と樹脂層とを分離する工程の歩留まりが低下することがある。そのため、光を照射する前、または光を照射している間に、光照射面を洗浄することが好ましい。例えば、アセトンなどの有機溶剤、水等を用いて作製基板の光照射面を洗浄することができる。また、エアナイフを用いて気体を噴きつけながら光を照射してもよい。これにより、光の照射ムラを低減し、分離の歩留まりを向上させることができる。
または、本実施の形態では、まず、基板上に金属酸化物層を形成する。次に、金属酸化物層上に樹脂層を形成する。次に、基板上及び樹脂層上に、樹脂層の端部を覆う絶縁層を形成する。次に、樹脂層上に、絶縁層を介して、チャネル形成領域に金属酸化物を有するトランジスタを形成する。次に、金属酸化物層と樹脂層との界面またはその近傍に光を照射する。次に、樹脂層の少なくとも一部を金属酸化物層から分離することで、分離の起点を形成する。そして、金属酸化物層と樹脂層とを分離する。
基板上には、樹脂層が接する部分と、絶縁層が接する部分と、が設けられる。絶縁層は、樹脂層の端部を覆って設けられる。絶縁層は、樹脂層に比べて、金属酸化物層に対する密着性もしくは接着性が高い。樹脂層の端部を覆って絶縁層を設けることで、光を照射した後に、樹脂層が基板から意図せず剥がれることを抑制できる。例えば、レーザ装置から別の場所に基板を搬送する時などに樹脂層が剥がれることを抑制できる。そして、分離の起点を形成することで、所望のタイミングで、金属酸化物層と樹脂層とを分離することができる。つまり、本実施の形態では、金属酸化物層と樹脂層の分離のタイミングを制御でき、かつ、分離に要する力が小さい。これにより、金属酸化物層と樹脂層の分離工程、及び表示装置の作製工程の歩留まりを高めることができる。
本実施の形態の表示装置は、トランジスタのチャネル形成領域に、金属酸化物を有することが好ましい。金属酸化物は、酸化物半導体として機能することができる。
トランジスタのチャネル形成領域に低温ポリシリコン(LTPS(Low Temperature Poly−Silicon))を用いる場合、500℃から550℃程度の温度をかける必要があるため、樹脂層に耐熱性が求められる。また、レーザ結晶化の工程でのダメージを緩和するため、樹脂層の厚膜化が必要となることがある。
一方、チャネル形成領域に金属酸化物を用いたトランジスタは、350℃以下、さらには300℃以下で形成することができる。そのため、樹脂層に高い耐熱性は求められない。したがって、樹脂層の耐熱温度を低くすることができ、材料の選択の幅が広がる。
また、チャネル形成領域に金属酸化物を用いたトランジスタは、レーザ結晶化の工程が不要である。そして、本実施の形態では、レーザ結晶化の工程で用いる条件よりも、低いエネルギー密度または少ないショット数で光を照射することができる。また、レーザ結晶化の工程では、レーザ光が基板を介さずに樹脂層に照射されるが、本実施の形態では、作製基板と金属酸化物層とを介して樹脂層に照射される。このように、樹脂層が受けるダメージが少ないため、樹脂層の厚さを薄くすることができる。樹脂層に高耐熱性が要求されず、薄膜化できることで、デバイス作製の大幅なコストダウンが期待できる。また、LTPSを用いる場合に比べて、工程が簡略化でき好ましい。
ただし、本発明の一態様の表示装置は、トランジスタのチャネル形成領域に、金属酸化物を有する構成に限定されない。例えば、本実施の形態の表示装置は、トランジスタのチャネル形成領域に、シリコンを用いることができる。シリコンとしては、アモルファスシリコンまたは結晶性シリコンを用いることができる。結晶性シリコンとしては、微結晶シリコン、多結晶シリコン、単結晶シリコン等が挙げられる。
チャネル形成領域には、LTPSを用いることが好ましい。LTPSなどの多結晶シリコンは、単結晶シリコンに比べて低温で形成でき、かつアモルファスシリコンに比べて高い電界効果移動度と高い信頼性を備える。
樹脂層23の厚さは、0.1μm以上5μm以下としてもよい。樹脂層23を薄く形成することで、低コストで表示装置を作製できる。また、表示装置の軽量化及び薄型化が可能となる。また、表示装置の可撓性を高めることができる。
樹脂層23の可視光の透過性は特に限定されない。例えば、有色の層であってもよく、透明の層であってもよい。ここで、表示装置の表示面側に樹脂層23が位置する場合、樹脂層23が着色している(有色である)と、光取り出し効率が低下する、取り出される光の色味が変わる、表示品位が低下する等の不具合が生じることがある。
樹脂層23は、ウエットエッチング装置、ドライエッチング装置、アッシング装置等を用いて除去することができる。特に、酸素プラズマを用いたアッシングを行って樹脂層23を除去することが好適である。
本実施の形態では、作製基板14と樹脂層23との間に金属酸化物層20を有する。金属酸化物層20が光を吸収する機能を有するため、樹脂層23の光の吸収率が低くても、光照射による効果が得られる。したがって、可視光の透過率が高い樹脂層23を用いることができる。そのため、表示装置の表示面側に樹脂層23が位置していても、高い表示品位を実現できる。また、表示品位を高めるために、着色している(有色の)樹脂層23を除去する工程を削減できる。また、樹脂層23の材料の選択の幅が広がる。
樹脂層23の波長450nm以上700nm以下の範囲の光の透過率の平均値は、70%以上100%以下が好ましく、80%以上100%以下が好ましく、90%以上100%以下がより好ましい。
本実施の形態では、樹脂層の耐熱温度以下の温度で、トランジスタ等を形成する。樹脂層の耐熱性は、例えば、加熱による重量減少率、具体的には5%重量減少温度等で評価できる。本実施の形態の剥離方法及び表示装置の作製方法では、工程中の最高温度を低くすることができる。例えば、本実施の形態では、樹脂層の5%重量減少温度を、200℃以上650℃以下、200℃以上500℃以下、200℃以上400℃以下、または200℃以上350℃以下とすることができる。そのため、材料の選択の幅が広がる。なお、樹脂層の5%重量減少温度は、650℃より高くてもよい。
分離前または分離中に、分離界面に水を含む液体を供給することが好ましい。分離界面に水が存在することで、樹脂層23と金属酸化物層20との密着性もしくは接着性をより低下させ、分離に要する力を低減させることができる。また、分離界面に水を含む液体を供給することで、樹脂層23と金属酸化物層20との間の結合を弱めるもしくは切断する効果を奏することがある。液体との化学結合を利用して、樹脂層23と金属酸化物層20の間の結合を切って分離を進行させることができる。例えば、樹脂層23と金属酸化物層20との間に水素結合が形成されている場合、水を含む液体が供給されることで、水と、樹脂層23または金属酸化物層20との間に水素結合が形成され、樹脂層23と金属酸化物層20との間の水素結合が切れることが考えられる。
金属酸化物層20は、表面張力が小さく、水を含む液体に対する濡れ性が高いことが好ましい。これにより、金属酸化物層20の表面全体に水を含む液体を行き渡らせ、分離界面に水を含む液体を容易に供給できる。金属酸化物層20全体に水が広がることで、均一な剥離ができる。
金属酸化物層20の水を含む液体との接触角は、0°より大きく60°以下が好ましく、0°より大きく50°以下がより好ましい。なお、水を含む液体に対する濡れ性が極めて高い場合(例えば接触角が約20°以下の場合)には、接触角の正確な値の取得が困難なことがある。金属酸化物層20は、水を含む液体に対する濡れ性が高いほど好適であるため、上記接触角の正確な値が取得できないほど、水を含む液体に対する濡れ性が高くてもよい。
分離界面に水を含む液体が存在することで、分離時に生じる静電気が、被剥離層に含まれる機能素子に悪影響を及ぼすこと(半導体素子が静電気により破壊されるなど)を抑制できる。また、イオナイザなどを用いて、分離により露出した被剥離層の表面を除電してもよい。
分離界面に液体を供給した場合は、分離により露出した被剥離層の表面を乾燥してもよい。
以下では、本実施の形態の表示装置の作製方法について、具体的に説明する。
なお、表示装置を構成する薄膜(絶縁膜、半導体膜、導電膜等)は、スパッタリング法、化学気相堆積(CVD:Chemical Vapor Deposition)法、真空蒸着法、パルスレーザ堆積(PLD:Pulsed Laser Deposition)法、原子層成膜(ALD:Atomic Layer Deposition)法等を用いて形成することができる。CVD法としては、プラズマ化学気相堆積(PECVD:Plasma Enhanced Chemical Vapor Deposition)法や、熱CVD法でもよい。熱CVD法の例として、有機金属化学気相堆積(MOCVD:Metal Organic CVD)法を使ってもよい。
表示装置を構成する薄膜(絶縁膜、半導体膜、導電膜等)は、スピンコート、ディップ、スプレー塗布、インクジェット、ディスペンス、スクリーン印刷、オフセット印刷、ドクターナイフ、スリットコート、ロールコート、カーテンコート、ナイフコート等の方法により形成することができる。
表示装置を構成する薄膜を加工する際には、リソグラフィ法等を用いて加工することができる。または、遮蔽マスクを用いた成膜方法により、島状の薄膜を形成してもよい。または、ナノインプリント法、サンドブラスト法、リフトオフ法などにより薄膜を加工してもよい。フォトリソグラフィ法としては、加工したい薄膜上にレジストマスクを形成して、エッチング等により当該薄膜を加工し、レジストマスクを除去する方法と、感光性を有する薄膜を成膜した後に、露光、現像を行って、当該薄膜を所望の形状に加工する方法と、がある。
リソグラフィ法において光を用いる場合、露光に用いる光は、例えばi線(波長365nm)、g線(波長436nm)、h線(波長405nm)、またはこれらを混合させた光を用いることができる。そのほか、紫外線やKrFレーザ光、またはArFレーザ光等を用いることもできる。また、液浸露光技術により露光を行ってもよい。また、露光に用いる光として、極端紫外光(EUV:Extreme Ultra−violet)やX線を用いてもよい。また、露光に用いる光に換えて、電子ビームを用いることもできる。極端紫外光、X線または電子ビームを用いると、極めて微細な加工が可能となるため好ましい。なお、電子ビームなどのビームを走査することにより露光を行う場合には、フォトマスクは不要である。
薄膜のエッチングには、ドライエッチング法、ウエットエッチング法、サンドブラスト法などを用いることができる。
[剥離方法]
まず、作製基板14上に、金属酸化物層20を形成する(図5(A1))。または、作製基板14上に、金属層19と金属酸化物層20とを積層する(図5(A2))。
作製基板14は、搬送が容易となる程度に剛性を有し、かつ作製工程にかかる温度に対して耐熱性を有する。作製基板14に用いることができる材料としては、例えば、ガラス、石英、セラミック、サファイヤ、樹脂、半導体、金属または合金などが挙げられる。ガラスとしては、例えば、無アルカリガラス、バリウムホウケイ酸ガラス、アルミノホウケイ酸ガラス等が挙げられる。
上述の通り、本実施の形態では、作製基板14と樹脂層23の間に下地層を形成する。下地層は、樹脂層23との密着性(接着性)が、作製基板14よりも低い層である。本実施の形態では、金属酸化物層20を用いる場合を例に挙げて説明するが、これに限られない。
具体的には、下地層には、チタン、モリブデン、アルミニウム、タングステン、シリコン、インジウム、亜鉛、ガリウム、タンタル、錫、ハフニウム、イットリウム、ジルコニウム、マグネシウム、ランタン、セリウム、ネオジム、ビスマス、及びニオブのうち一つまたは複数を有する層を用いることができる。下地層には、金属、合金、及びそれらの化合物(金属酸化物など)を含むことができる。下地層は、チタン、モリブデン、アルミニウム、タングステン、シリコン、インジウム、亜鉛、ガリウム、タンタル、及び錫のうち一つまたは複数を有することが好ましい。
また、下地層の材料は、無機材料に限られず、有機材料を用いてもよい。例えば、有機EL素子のEL層に用いることができる各種有機材料を用いてもよい。下地層として、これら有機材料の蒸着膜を用いることができる。これにより、密着性の低い膜を形成できる。
金属層19には、各種金属や合金等を用いることができる。
金属酸化物層20には、各種金属の酸化物を用いることができる、金属酸化物としては、例えば、酸化チタン(TiO)、酸化モリブデン、酸化アルミニウム、酸化タングステン、シリコンを含むインジウム錫酸化物(ITSO)、インジウム亜鉛酸化物、In−Ga−Zn酸化物等が挙げられる。
そのほか、金属酸化物としては、酸化インジウム、チタンを含むインジウム酸化物、タングステンを含むインジウム酸化物、インジウム錫酸化物(ITO)、チタンを含むITO、タングステンを含むインジウム亜鉛酸化物、酸化亜鉛(ZnO)、ガリウムを含むZnO、酸化ハフニウム、酸化イットリウム、酸化ジルコニウム、酸化ガリウム、酸化タンタル、酸化マグネシウム、酸化ランタン、酸化セリウム、酸化ネオジム、酸化スズ、酸化ビスマス、チタン酸塩、タンタル酸塩、ニオブ酸塩等が挙げられる。
金属酸化物層20の形成方法に特に限定は無い。例えば、スパッタリング法、プラズマCVD法、蒸着法、ゾルゲル法、電気泳動法、スプレー法等を用いて形成することができる。
金属層を成膜した後に、当該金属層に酸素を導入することで、金属酸化物層20を形成することができる。このとき、金属層の表面のみ、または金属層全体を酸化させる。前者の場合、金属層に酸素を導入することで、金属層19と金属酸化物層20との積層構造が形成される(図5(A2))。
例えば、酸素を含む雰囲気下で金属層を加熱することで、金属層を酸化させることができる。酸素を含むガスを流しながら金属層を加熱することが好ましい。金属層を加熱する温度は、100℃以上500℃以下が好ましく、100℃以上450℃以下がより好ましく、100℃以上400℃以下がより好ましく、100℃以上350℃以下がさらに好ましい。
金属層は、トランジスタの作製における最高温度以下の温度で加熱されることが好ましい。これにより、表示装置の作製における最高温度が高くなることを防止できる。トランジスタの作製における最高温度以下とすることで、トランジスタの作製工程における製造装置などを流用することが可能となるため、追加の設備投資などを抑制することができる。したがって、生産コストが抑制された表示装置とすることができる。例えば、トランジスタの作製温度が350℃までである場合、加熱処理の温度は350℃以下とすることが好ましい。
または、金属層の表面にラジカル処理を行うことで金属層を酸化させることができる。ラジカル処理では、酸素ラジカル及びヒドロキシラジカルのうち少なくとも一方を含む雰囲気に、金属層の表面を曝すことが好ましい。例えば、酸素または水蒸気(HO)のうち一方または双方を含む雰囲気でプラズマ処理を行うことが好ましい。
上述の通り、金属酸化物層20の表面または内部に、水素、酸素、水素ラジカル(H)、酸素ラジカル(O)、ヒドロキシラジカル(OH)等を含ませることで、金属酸化物層20と樹脂層23との分離に要する力を低減できる。このことからも、金属酸化物層20の形成に、ラジカル処理もしくはプラズマ処理を行うことは好適である。
金属層の表面にラジカル処理もしくはプラズマ処理を行うことで金属層を酸化させる場合、金属層を高温で加熱する工程が不要となる。そのため、表示装置の作製における最高温度が高くなることを防止できる。
または、酸素雰囲気下で、金属酸化物層20を形成することができる。例えば、酸素を含むガスを流しながら、スパッタリング法を用いて金属酸化物膜を成膜することで、金属酸化物層20を形成できる。この場合も、金属酸化物層20の表面にラジカル処理を行うことが好ましい。ラジカル処理では、酸素ラジカル、水素ラジカル、及びヒドロキシラジカルのうち少なくとも1種を含む雰囲気に、金属酸化物層20の表面を曝すことが好ましい。例えば、酸素、水素、または水蒸気(HO)のうち一つまたは複数を含む雰囲気でプラズマ処理を行うことが好ましい。
ラジカル処理の詳細については、先に記した内容を参照できる。
そのほか、酸素、水素、水等の導入方法としては、イオン注入法、イオンドーピング法、プラズマイマージョンイオン注入法等を用いることができる。
金属層19の厚さは、1nm以上100nm以下が好ましく、1nm以上50nm以下がより好ましく、1nm以上20nm以下がより好ましい。
金属酸化物層20の厚さは、例えば、1nm以上200nm以下が好ましく、5nm以上100nm以下がより好ましく、5nm以上50nm以下がより好ましい。なお、金属層を用いて金属酸化物層20を形成する場合、最終的に形成される金属酸化物層20の厚さは、成膜した金属層の厚さよりも厚くなることがある。
分離前または分離中に、金属酸化物層20と樹脂層23との界面に水を含む液体を供給することで、分離に要する力を低減させることができる。金属酸化物層20と当該液体との接触角が小さいほど、液体供給による効果を高めることができる。具体的には、金属酸化物層20の水を含む液体との接触角は、0°より大きく60°以下が好ましく、0°より大きく50°以下がより好ましい。
金属酸化物層20には、酸化チタン、酸化タングステン等が好適である。酸化チタンを用いると、酸化タングステンよりもコストを低減でき、好ましい。
次に、金属酸化物層20上に、第1の層24を形成する(図5(B))。
図5(B)では塗布法を用いて金属酸化物層20の一面全体に第1の層24を形成する例を示す。これに限られず、印刷法等を用いて第1の層24を形成してもよい。金属酸化物層20上に、島状の第1の層24、開口または凹凸形状を有する第1の層24等を形成してもよい。
第1の層24は、各種樹脂材料(樹脂前駆体を含む)を用いて形成することができる。
第1の層24は、熱硬化性を有する材料を用いて形成することが好ましい。
第1の層24は、感光性を有する材料を用いて形成してもよく、感光性を有さない材料(非感光性の材料ともいう)を用いて形成してもよい。
感光性を有する材料を用いると、光を用いたリソグラフィ法により、第1の層24の一部を除去し、所望の形状の樹脂層23を形成することができる。
第1の層24は、ポリイミド樹脂またはポリイミド樹脂前駆体を含む材料を用いて形成されることが好ましい。第1の層24は、例えば、ポリイミド樹脂と溶媒を含む材料、またはポリアミック酸と溶媒を含む材料等を用いて形成できる。ポリイミドは、表示装置の平坦化膜等に好適に用いられる材料であるため、成膜装置や材料を共有することができる。そのため本発明の一態様の構成を実現するために新たな装置や材料を必要としない。
具体的には、樹脂層23は、構造式(100)で表される化合物(オキシジフタル酸)の残基を有することが好ましい。
Figure JPOXMLDOC01-appb-C000003
樹脂層23には、オキシジフタル酸またはオキシジフタル酸誘導体を含む酸成分と、芳香族アミンまたは芳香族アミン誘導体を含むアミン成分と、を用いて得られるポリイミド樹脂が好適である。オキシジフタル酸誘導体としては、例えば、オキシジフタル酸無水物が挙げられる。また、樹脂層23は、フッ素を含んでいてもよい。樹脂層23中にフッ素を含む場合、当該フッ素を用いて、金属酸化物層20と樹脂層23の間の水素結合が形成されることがある。
そのほか、第1の層24の形成に用いることができる樹脂材料としては、例えば、アクリル樹脂、エポキシ樹脂、ポリアミド樹脂、ポリイミドアミド樹脂、シロキサン樹脂、ベンゾシクロブテン系樹脂、フェノール樹脂、及びこれら樹脂の前駆体等が挙げられる。
第1の層24は、スピンコータを用いて形成することが好ましい。スピンコート法を用いることで、大判基板に薄い膜を均一に形成することができる。
第1の層24は、粘度が5cP以上500cP未満、好ましくは5cP以上100cP未満、より好ましくは10cP以上50cP以下の溶液を用いて形成することが好ましい。溶液の粘度が低いほど、塗布が容易となる。また、溶液の粘度が低いほど、気泡の混入を抑制でき、良質な膜を形成できる。
そのほか、第1の層24の形成方法としては、ディップ、スプレー塗布、インクジェット、ディスペンス、スクリーン印刷、オフセット印刷、ドクターナイフ、スリットコート、ロールコート、カーテンコート、ナイフコート等が挙げられる。
次に、第1の層24に対して加熱処理を行うことで、樹脂層23を形成する(図5(C))。
加熱処理は、例えば、加熱装置のチャンバーの内部に、酸素、窒素、及び希ガス(アルゴンなど)のうち一つまたは複数を含むガスを流しながら行うことができる。または、加熱処理は、大気雰囲気下で加熱装置のチャンバー、ホットプレート等を用いて行うことができる。
大気雰囲気下や酸素を含むガスを流しながら加熱を行うと、樹脂層23が酸化により着色し、可視光に対する透過性が低下することがある。
そのため、窒素ガスを流しながら、加熱を行うことが好ましい。これにより、樹脂層23の可視光に対する透過性を高めることができる。
加熱処理により、樹脂層23中の脱ガス成分(例えば、水素、水等)を低減することができる。特に、樹脂層23上に形成する各層の作製温度以上の温度で加熱することが好ましい。これにより、トランジスタの作製工程における、樹脂層23からの脱ガスを大幅に抑制することができる。
例えば、トランジスタの作製温度が350℃までである場合、樹脂層23となる膜を350℃以上450℃以下で加熱することが好ましく、400℃以下がより好ましく、375℃以下がさらに好ましい。これにより、トランジスタの作製工程における、樹脂層23からの脱ガスを大幅に抑制することができる。
加熱処理の温度は、トランジスタの作製における最高温度以下の温度とすることが好ましい。トランジスタの作製における最高温度以下とすることで、トランジスタの作製工程における製造装置などを流用することが可能となるため、追加の設備投資などを抑制することができる。したがって、生産コストが抑制された表示装置とすることができる。例えば、トランジスタの作製温度が350℃までである場合、加熱処理の温度は350℃以下とすることが好ましい。
トランジスタの作製における最高温度と、加熱処理の温度を等しくすると、加熱処理を行うことで表示装置の作製における最高温度が高くなることを防止でき、かつ樹脂層23の脱ガス成分を低減できるため、好ましい。
処理時間を長くすることで、加熱温度が比較的低い場合であっても、加熱温度がより高い条件の場合と同等の剥離性を実現できる場合がある。そのため、加熱装置の構成により加熱温度を高められない場合には、処理時間を長くすることが好ましい。
加熱処理の時間は、例えば、5分以上24時間以下が好ましく、30分以上12時間以下がより好ましく、1時間以上6時間以下がさらに好ましい。なお、加熱処理の時間はこれに限定されない。例えば、加熱処理を、RTA(Rapid Thermal Annealing)法を用いて行う場合などは、5分未満としてもよい。
加熱装置としては、電気炉や、抵抗発熱体などの発熱体からの熱伝導または熱輻射によって被処理物を加熱する装置等、様々な装置を用いることができる。例えば、GRTA(Gas Rapid Thermal Anneal)装置、LRTA(Lamp Rapid Thermal Anneal)装置等のRTA装置を用いることができる。LRTA装置は、ハロゲンランプ、メタルハライドランプ、キセノンアークランプ、カーボンアークランプ、高圧ナトリウムランプ、高圧水銀ランプなどのランプから発する光(電磁波)の輻射により、被処理物を加熱する装置である。GRTA装置は、高温のガスを用いて加熱処理を行う装置である。RTA装置を用いることによって、処理時間を短縮することができるので、量産する上で好ましい。また、加熱処理はインライン型の加熱装置を用いて行ってもよい。
なお、加熱処理により、樹脂層23の厚さは、第1の層24の厚さから変化する場合がある。例えば、第1の層24に含まれていた溶媒が除去されることや、硬化が進行し密度が増大することにより、体積が減少し、第1の層24よりも樹脂層23が薄くなる場合がある。
加熱処理を行う前に、第1の層24に含まれる溶媒を除去するための熱処理(プリベーク処理ともいう)を行ってもよい。プリベーク処理の温度は用いる材料に応じて適宜決定することができる。例えば、50℃以上180℃以下、80℃以上150℃以下、または90℃以上120℃以下で行うことができる。または、加熱処理がプリベーク処理を兼ねてもよく、加熱処理によって、第1の層24に含まれる溶媒を除去してもよい。
樹脂層23は、可撓性を有する。作製基板14は、樹脂層23よりも可撓性が低い。
樹脂層23の厚さは、0.01μm以上10μm未満であることが好ましく、0.1μm以上5μm以下であることがより好ましく、0.5μm以上3μm以下であることがさらに好ましい。樹脂層を薄く形成することで、低コストで表示装置を作製できる。また、表示装置の軽量化及び薄型化が可能となる。また、表示装置の可撓性を高めることができる。低粘度の溶液を用いることで、樹脂層23を薄く形成することが容易となる。ただし、これに限定されず、樹脂層23の厚さは、10μm以上としてもよい。例えば、樹脂層23の厚さを10μm以上200μm以下としてもよい。樹脂層23の厚さを10μm以上とすることで、表示装置の剛性を高めることができるため好適である。
樹脂層23の熱膨張係数は、0.1ppm/℃以上50ppm/℃以下であることが好ましく、0.1ppm/℃以上20ppm/℃以下であることがより好ましく、0.1ppm/℃以上10ppm/℃以下であることがさらに好ましい。樹脂層23の熱膨張係数が低いほど、加熱により、トランジスタ等を構成する層にクラックが生じることや、トランジスタ等が破損することを抑制できる。
次に、樹脂層23上に、被剥離層25を形成する(図5(D))。
被剥離層25として、例えば、絶縁層、機能素子(トランジスタ、表示素子など)を設けることができる。
被剥離層25は、絶縁層を有することが好ましい。当該絶縁層は、後の加熱工程において、金属酸化物層20及び樹脂層23などから放出される水素、酸素、及び水をブロックする機能を有することが好ましい。
被剥離層は、例えば、窒化シリコン膜、酸化窒化シリコン膜、または窒化酸化シリコン膜を有することが好ましい。例えば、窒化シリコン膜を、シランガス、水素ガス、及びアンモニア(NH)ガスを含む成膜ガスを用いたプラズマCVD法により成膜する。絶縁層の厚さは特に限定されない。例えば、50nm以上600nm以下、好ましくは100nm以上300nm以下の厚さとすることができる。
なお、本明細書等において「酸化窒化シリコン」とは、その組成として、窒素よりも酸素の含有量が多いものをいう。また、本明細書等において、「窒化酸化シリコン」とは、その組成として、酸素よりも窒素の含有量が多いものをいう。
そして、被剥離層25上に保護層を形成する。保護層は、表示装置の最表面に位置する層である。保護層は、可視光に対する透過性が高いことが好ましい。保護層が、有機絶縁膜を有すると、表示装置の表面に傷がつくことや、クラックが生じてしまうことを抑制できるため好ましい。
図5(D)には、接着層75bを用いて被剥離層25上に基板75aを貼り合わせた例を示す。
接着層75bには、紫外線硬化型等の光硬化型接着剤、反応硬化型接着剤、熱硬化型接着剤、嫌気型接着剤等の各種硬化型接着剤を用いることができる。また、接着シート等を用いてもよい。
基板75aには、例えば、ポリエチレンテレフタレート(PET)、ポリエチレンナフタレート(PEN)等のポリエステル樹脂、ポリアクリロニトリル樹脂、アクリル樹脂、ポリイミド樹脂、ポリメチルメタクリレート樹脂、ポリカーボネート(PC)樹脂、ポリエーテルスルホン(PES)樹脂、ポリアミド樹脂(ナイロン、アラミド等)、ポリシロキサン樹脂、シクロオレフィン樹脂、ポリスチレン樹脂、ポリアミドイミド樹脂、ポリウレタン樹脂、ポリ塩化ビニル樹脂、ポリ塩化ビニリデン樹脂、ポリプロビレン樹脂、ポリテトラフルオロエチレン(PTFE)樹脂、ABS樹脂、セルロースナノファイバー等を用いることができる。基板75aには、可撓性を有する程度の厚さのガラス、石英、樹脂、金属、合金、半導体等の各種材料を用いてもよい。
次に、レーザ光55を照射する(図6(A))。レーザ光55は、例えば、図6(A)においては、左側から右側に走査される線状レーザビームで、その長軸は、その走査方向及びその入射方向(上から下)に垂直である。レーザ装置において、作製基板14が上側にくるように積層体を配置する。積層体には、積層体(作製基板14)の上側からレーザ光55が照射される。
レーザ光55は、作製基板14を介して金属酸化物層20と樹脂層23との界面またはその近傍に照射されることが好ましい(図6(A)の加工領域640参照)。また、レーザ光55は、金属酸化物層20中に照射されてもよく、樹脂層23中に照射されてもよい。
金属酸化物層20は、レーザ光55を吸収する。樹脂層23は、レーザ光55を吸収してもよい。
作製基板14と金属酸化物層20の積層構造におけるレーザ光55の吸収率は、50%以上100%以下が好ましく、75%以上100%以下がより好ましく、80%以上100%以下がさらに好ましい。当該積層構造が、レーザ光55の大半を吸収することで、金属酸化物層と樹脂層23との界面で確実に剥離することが可能となる。また、樹脂層23が光から受けるダメージを低減できる。
レーザ光55の照射により、金属酸化物層20と樹脂層23の密着性もしくは接着性が低下する。レーザ光55の照射により、樹脂層23が脆弱化されることがある。
レーザ光55としては、少なくともその一部が作製基板14を透過し、かつ金属酸化物層20に吸収される波長の光を選択して用いる。レーザ光55は、可視光線から紫外線の波長領域の光であることが好ましい。例えば波長が180nm以上450nm以下の光、好ましくは200nm以上400nm以下の光、より好ましくは波長が250nm以上350nm以下の光を用いることができる。
レーザ光55は、金属酸化物層20のエネルギーギャップよりも高いエネルギーを有することが好ましい。例えば、酸化チタンのエネルギーギャップは、約3.2eVである。したがって、金属酸化物層20に酸化チタンを用いる場合、光は、3.2eVより高いエネルギーを有することが好ましい。
特に、波長308nmのエキシマレーザを用いると、生産性に優れるため好ましい。エキシマレーザは、LTPSにおけるレーザ結晶化にも用いるため、既存のLTPS製造ラインの装置を流用することができ、新たな設備投資を必要としないため好ましい。波長308nmの光のエネルギーは、約40eVである。つまり、金属酸化物層20に酸化チタンを用いる場合、波長308nmのエキシマレーザは好適である。また、Nd:YAGレーザの第三高調波である波長355nmのUVレーザなどの固体UVレーザ(半導体UVレーザともいう)を用いてもよい。固体レーザはガスを用いないため、エキシマレーザに比べて、ランニングコストを低減でき、好ましい。また、ピコ秒レーザ等のパルスレーザを用いてもよい。
レーザ光55として、線状のレーザ光を用いる場合には、作製基板14と光源とを相対的に移動させることでレーザ光55を走査し、剥離したい領域に亘ってレーザ光55を照射する。
ここで、作製基板14の光照射面にゴミなどの異物18が付着していると、光の照射ムラが生じることがある。図7(A)では、作製基板14上に接して樹脂層23が形成されている比較例である。図7(A)では、作製基板14と樹脂層23の界面またはその近傍において、異物18の真下に、光の照射された領域16が途切れている部分を有する。この部分は、他の部分に比べて剥離性が低く、作製基板14と樹脂層23とを分離する工程の歩留まりが低下することが懸念される。
一方、本実施の形態では、作製基板14と樹脂層23との間に下地層を形成する。下地層としては、金属層19、金属酸化物層20、または、図7(B)に示すように、金属層19と金属酸化物層20の積層等が挙げられる。下地層は熱伝導性が高い層を有することが好ましい。例えば、図7(B)に示す金属層19の熱伝導性が高いと、作製基板14の光照射面に異物18が付着していても、異物18の周辺の金属層19が加熱されることで、金属層19全体にムラなく熱が伝導する。金属層19の異物18の陰になる部分にも熱が伝わることで、剥離性の低い部分が生じることを抑制できる。図7(B)に示すように、金属層19と金属酸化物層20の界面またはその近傍において、異物18の真下を含んで一面全体に、加熱された領域17が形成される。
金属酸化物層20と樹脂層23の界面またはその近傍において、光が当たらない領域は、一箇所または複数箇所設けられていてもよい。光が当たらない領域の面積は、特に限定は無く、例えば、それぞれ、1μm以上1cm以下である。場合によっては、光が当たらない領域の面積は、1μm以下、または1cm以上であってもよい。
次に、作製基板14と樹脂層23とを分離する。金属酸化物層20と樹脂層23との密着性もしくは接着性が低いため、金属酸化物層20と樹脂層23との界面で分離が生じる(図6(B1))。また、脆弱化された樹脂層23中で分離が生じる場合もある。
例えば、樹脂層23に垂直方向に引っ張る力をかけることにより、作製基板14と樹脂層23とを分離することができる。具体的には、基板75aの上面の一部を吸着し、上方に引っ張ることにより、作製基板14から樹脂層23を引き剥がすことができる。
ここで、分離時に、分離界面に水や水溶液など、水を含む液体を添加し、該液体が分離界面に浸透するように分離を行うことで、分離を容易に行うことができる。また、分離時に生じる静電気が、トランジスタなどの機能素子に悪影響を及ぼすこと(半導体素子が静電気により破壊されるなど)を抑制できる。図6(B2)では、液体供給機構21を用いて、分離界面に液体を供給する例を示す。
供給する液体としては、水(好ましくは純水)、中性、アルカリ性、もしくは酸性の水溶液や、塩が溶けている水溶液が挙げられる。また、エタノール、アセトン等が挙げられる。また、各種有機溶剤を用いてもよい。
分離前に、樹脂層23の一部を作製基板14から分離することで、分離の起点を形成してもよい。例えば、作製基板14と樹脂層23との間に、刃物などの鋭利な形状の器具を差し込むことで分離の起点を形成してもよい。または、基板75a側から鋭利な形状の器具で樹脂層23を切り込み、分離の起点を形成してもよい。または、レーザアブレーション法等のレーザを用いた方法で、分離の起点を形成してもよい。
本実施の形態では、金属酸化物層20及び樹脂層23を積層し、光を照射する。これにより、金属酸化物層20と樹脂層23との密着性もしくは接着性を低下させることができる。そのため、作製基板14と樹脂層23とを容易に分離することができる。
本実施の形態の剥離方法を用いることで、低コストで量産性の高い剥離方法、または半導体装置の作製方法を提供することができる。また、本実施の形態の基板の洗浄方法を用いることで、分離後の作製基板14を洗浄または再生することができる。例えば、本実施の形態の剥離方法では、作製基板14(例えば、ガラス基板)、または作製基板14と金属酸化物層20との積層体を、複数回繰り返し使うことが可能となるため、生産コストを抑制することができる。
[洗浄方法及び洗浄装置]
次に、基板の洗浄方法及び洗浄装置の一例について、図8及び図9を用いて説明する。ここでは、上記剥離方法で用いた作製基板14の洗浄方法を例に挙げて説明する。
図8(A1)、(A2)に示すように、基板75a(図6(B1)等参照)と分離された作製基板14上には、金属酸化物層20が残存している。さらに、金属酸化物層20上には、樹脂層23が残存していることがある。図8(A1)では、樹脂層23が金属酸化物層20上に部分的に残存している例を示す。図8(A2)では、樹脂層23が金属酸化物層20に膜状に残存している例を示す。
次に、図8(B)に示すように、樹脂層23の少なくとも一部を除去する。図8(B)では、酸素プラズマ50を用いたアッシングを行い、樹脂層23を除去する例を示す。なお、金属酸化物層20の少なくとも一部を除去してもよい。
樹脂層23及び金属酸化物層20のうち少なくとも一方の除去に用いることができる方法としては、例えば、エッチング、アッシング、洗浄、及び研磨が挙げられる。そのほか、プラズマ処理、光照射処理等を行ってもよい。
エッチング法としては、ウエットエッチング法、ドライエッチング法、サンドブラスト法などが挙げられる。
ドライエッチング法としては、例えば、反応性イオンエッチング(RIE:Reactive IonEtching)法、ICPエッチング法、ECR(Electron Cyclotron Resonance)エッチング法、平行平板型(容量結合型)エッチング法、マグネトロンプラズマエッチング法、2周波プラズマエッチング法、又はヘリコン波プラズマエッチング法等が挙げられる。
酸素プラズマを用いたアッシングは、樹脂等の有機材料を除去する際に特に好適である。
洗浄としては、メガソニック洗浄などの超音波洗浄、2流体ジェット洗浄等が挙げられる。また、フッ酸、アルカリ、純水、オゾン水等を用いて洗浄することができる。
研磨は、例えば、化学的機械研磨法(Chemical Mechanical Polishing:CMP)を用いて行うことができる。
光照射処理には、例えば、レーザ、ランプ等を用いることができる。光照射処理では、例えば、紫外光を照射することができる。
また、UVオゾン処理を行ってもよい。
以上により、図8(C1)に示すように、樹脂層23を除去することができる。また、図8(C2)に示すように、金属酸化物層20の一部が除去され、薄膜化する場合がある。また、処理によっては、金属酸化物層20が除去され、作製基板14の表面が露出する場合がある。
図8(C1)または図8(C2)に示す積層体を用いて、各種装置を作製することで、作製基板14、さらには金属酸化物層20の再利用が可能である。これにより、コストの削減を図ることができる。例えば、上記の剥離方法において、当該積層体を用いることができる。具体的には、図5(A1)の金属酸化物層20を形成する工程を行うことなく、図5(B)において、図8(C1)または図8(C2)に示す積層体上に、第1の層24を形成することができる。これにより、工程の削減を図ることができる。
次に、本発明の一態様の洗浄方法に用いることができる設備について説明する。以下では、アッシング装置を有する設備について説明するが、本発明の一態様はこれに限定されない。例えばアッシング装置にかえて、エッチング装置、洗浄装置、または研磨装置等を有していてもよい。
図9(A)に、マルチチャンバー設備150の一例を示す。図9(B)に、インライン設備160の一例を示す。図9(C)に、アッシング装置151の一例を示す。マルチチャンバー設備150及びインライン設備160は、それぞれ、アッシング装置151を1つ以上有する。
マルチチャンバー設備150は、アッシング装置151、搬送室152、ロードロック室153、及び基板供給室155等を有する。図9(A)のマルチチャンバー設備150は、アッシング装置151を3つ有する例を示すが、アッシング装置の数は限定されない。基板供給室155は、被処理基板を収容するカセットポート154を1つ以上有する。図9(A)では、基板供給室155が、カセットポート154を3つ有する例を示す。基板供給室155に供給された基板は、ロードロック室153と搬送室152を介してアッシング装置151内の真空チャンバー171に搬送されてアッシングが行われる。アッシングが終了した基板は、アッシング装置からロードロック室153と搬送室152を介して基板供給室155に搬送される。なお、基板供給室155及び搬送室152には、被処理基板を搬送するための搬送ロボットがそれぞれ配置されている。
図9(B)に示すインライン設備は、前処理部161、処理室162、処理室163、処理室164、後処理部165、及びアッシング装置151等を有する。
前処理部161はローダ部161aと前処理室161bを有する。ローダ部161aは大気圧で搬入される複数の基板を蓄え、図示されない排気手段により所望の圧力まで減圧するための部屋である。前処理室161bでは、前処理により基板に付着した不純物を除去する。前処理としては、例えば真空加熱処理やUV照射処理などをその例に挙げることができる。
図9(B)には、処理室162、処理室163、処理室164を示す。また、処理室163と処理室164の間に、1以上の処理室をさらに有していてもよい。処理室では、それぞれ、成膜、加工、分離等の各処理を行うことができる。各処理室は、搬送機構及び排気機構をそれぞれ有する。また、各処理室間には、緩衝部169が設けられている。緩衝部169を介することで、基板を圧力が異なる処理室に搬送することができる。
インライン設備160を用いて、上記剥離方法の各工程を行う例を説明する。なお、本実施の形態では、予め金属酸化物層20が形成されている作製基板14を搬入する場合について説明する。まず、金属酸化物層20が形成されている作製基板14が、前処理部161に搬入される。前処理部161にて、当該作製基板14に前処理が施された後、作製基板14が処理室162に搬入される。次に、作製基板14が、処理室162から処理室164の前まで搬送される間に、金属酸化物層20上に樹脂層23、被剥離層25、基板75a等が形成される。そして、処理室164で、金属酸化物層20と樹脂層23とが分離される。基板75aを含む積層体は、後処理部165に搬入される。また、金属酸化物層20及び樹脂層23が残存する作製基板14は、アッシング装置151に搬入される。アッシング装置151では、アッシングにより、樹脂層23を除去することができる。樹脂層23が除去され、金属酸化物層20が残存する作製基板14は、アッシング装置151から処理室162に搬入される。処理室162以降で処理が繰り返される。これにより、作製基板14及び金属酸化物層20の繰り返しの使用が可能となる。
後処理部165は後処理室165a及びアンローダ部165bを有する。後処理室165aでは、基板75aを含む積層体の、分離により露出した面に、フィルム等の基板を貼り合わせることができる。そして、アンローダ部165bより、積層体を搬出することができる。
図9(C)に示すアッシング装置151は、真空チャンバー171を有する。
真空チャンバー171の上部には、複数のガス吹き出し口と、プラズマ発生源であるICPコイル172(誘導結合プラズマコイル)が配置されている。
複数のガス吹き出し口は、それぞれ、酸素ガスを供給するためのガス供給源と、ガス流路173を介して接続されている。ガス供給源は、マスフローコントローラ等を備え、所望の流量(0より多く1000sccm以下)でガス流路173に対して酸素ガスを供給することができる。ガス供給源から供給される酸素ガスは、ガス流路173からガス吹き出し口を介して真空チャンバー171内に供給される。
ICPコイル172は、複数本の帯状の導体を螺旋状に配置してなる。各導体の一端は、インピーダンス調整のためのマッチング回路を介して高周波電源174(例えば13.56MHz)に電気的に接続され、他端は接地されている。
真空チャンバー171の下部には、下部電極として機能する基板ステージ175が配置されている。基板ステージ175に設けられた静電チャックなどにより、基板ステージ175上に被処理基板176が着脱可能に保持される。基板ステージ175は、加熱機構としてヒータ、冷却機構としてHeガス流路を備えている。基板ステージ175は、基板バイアス電圧印加用の高周波電源177(例えば3.2MHz)に接続されている。
真空チャンバー171には、排気口が設けられ、自動圧力制御弁178(automatic pressure control valve、APCとも呼ぶ)が備えられる。APCはターボ分子ポンプ179aに接続され、さらにターボ分子ポンプ179aを介してドライポンプ179bに接続される。APCは真空チャンバー内の圧力制御を行い、ターボ分子ポンプ179a及びドライポンプ179bは、真空チャンバー171内を減圧する。
例えば、図9(C)に示す真空チャンバー171内に酸素プラズマを発生させ、被処理基板176に設けられている樹脂層23に酸素プラズマを照射することで、樹脂層23を除去することができる。
[作製方法例1]
次に、本実施の形態の表示装置の作製方法例について説明する。先に説明した剥離方法と同様の部分について、説明を省略することがある。
まず、作製基板14上に、金属酸化物層20を形成する(図10(A))。金属酸化物層20については、上記剥離方法における記載を参照できる。
次に、金属酸化物層20上に、第1の層24を形成する(図10(B))。第1の層24については、上記剥離方法における記載を参照できる。
本実施の形態では、感光性及び熱硬化性を有する材料を用いて第1の層24を形成する。なお、第1の層24は、非感光性の材料を用いて形成してもよい。
第1の層24を成膜した後、溶媒を除去するための熱処理(プリベーク処理)を行い、その後フォトマスクを用いて露光を行う。続いて、現像処理を施すことで、不要な部分を除去することができる。次に、所望の形状に加工された第1の層24に対して加熱処理を行うことで、樹脂層23を形成する(図10(C))。図10(C)では、島状の樹脂層23を形成する例を示す。
なお、樹脂層23の形状は1つの島状に限られず、例えば、複数の島状、開口を有する形状などでもよい。また、ハーフトーンマスクもしくはグレートーンマスクを用いた露光技術、または多重露光技術などを用い、樹脂層23の表面に凹凸形状を形成してもよい。
第1の層24または樹脂層23上にレジストマスク、ハードマスク等のマスクを形成し、エッチングすることで、所望の形状の樹脂層23を形成することができる。この方法は、非感光性の材科を用いる場合に特に好適である。
例えば、樹脂層23上に無機膜を形成し、無機膜上にレジストマスクを形成する。レジストマスクを用いて、無機膜をエッチングした後、無機膜をハードマスクに用いて、樹脂層23をエッチングすることができる。
ハードマスクとして用いることができる無機膜としては、各種無機絶縁膜や、導電層に用いることができる金属膜及び合金膜などが挙げられる。
マスクを極めて薄い厚さで形成し、エッチングと同時にマスクを除去することができると、マスクを除去する工程を削減でき、好ましい。
加熱処理の詳細は、上記剥離方法における加熱処理の記載を参照できる。
次に、樹脂層23上に、絶縁層31を形成する(図10(D))。絶縁層31は、樹脂層23の端部を覆って形成される。金属酸化物層20上には、樹脂層23が設けられていない部分が存在する。そのため、金属酸化物層20上に接して絶縁層31を形成することができる。
絶縁層31は、樹脂層23の耐熱温度以下の温度で形成する。加熱処理の温度より低い温度で形成することが好ましい。
絶縁層31は、樹脂層23に含まれる不純物が、後に形成するトランジスタや表示素子に拡散することを防ぐバリア層として用いることができる。例えば、絶縁層31は、樹脂層23を加熱した際に、樹脂層23に含まれる水分等がトランジスタや表示素子に拡散することを防ぐことが好ましい。そのため、絶縁層31は、バリア性が高いことが好ましい。
絶縁層31としては、例えば、窒化シリコン膜、酸化窒化シリコン膜、酸化シリコン膜、窒化酸化シリコン膜、酸化アルミニウム膜、窒化アルミニウム膜などの無機絶縁膜を用いることができる。また、酸化ハフニウム膜、酸化イットリウム膜、酸化ジルコニウム膜、酸化ガリウム膜、酸化タンタル膜、酸化マグネシウム膜、酸化ランタン膜、酸化セリウム膜、及び酸化ネオジム膜等を用いてもよい。また、上述の絶縁膜を2以上積層して用いてもよい。特に、樹脂層23上に窒化シリコン膜を形成し、窒化シリコン膜上に酸化シリコン膜を形成することが好ましい。
無機絶縁膜は、成膜温度が高いほど緻密でバリア性の高い膜となるため、高温で形成することが好ましい。
絶縁層31の成膜時の基板温度は、室温(25℃)以上350℃以下が好ましく、100℃以上300℃以下がさらに好ましい。
次に、絶縁層31上に、トランジスタ40を形成する(図10(E))。
表示装置が有するトランジスタの構造は特に限定されない。例えば、プレーナ型のトランジスタとしてもよいし、スタガ型のトランジスタとしてもよいし、逆スタガ型のトランジスタとしてもよい。また、トップゲート構造またはボトムゲート構造のいずれのトランジスタ構造としてもよい。または、チャネルの上下にゲート電極が設けられていてもよい。
ここではトランジスタ40として、金属酸化物層44を有する、ボトムゲート構造のトランジスタを作製する場合を示す。金属酸化物層44は、トランジスタ40の半導体層として機能することができる。金属酸化物は、酸化物半導体として機能することができる。
本実施の形態において、トランジスタの半導体には、酸化物半導体を用いる。シリコンよりもバンドギャップが広く、且つキャリア密度の小さい半導体材料を用いると、トランジスタのオフ状態における電流を低減できるため好ましい。
トランジスタ40は、樹脂層23の耐熱温度以下の温度で形成する。トランジスタ40は、加熱処理の温度より低い温度で形成することが好ましい。
具体的には、まず、絶縁層31上に導電層41を形成する。導電層41は、導電膜を成膜した後、レジストマスクを形成し、当該導電膜をエッチングした後にレジストマスクを除去することで形成できる。
導電膜の成膜時の基板温度は、室温以上350℃以下が好ましく、室温以上300℃以下がさらに好ましい。
表示装置が有する導電層には、それぞれ、アルミニウム、チタン、クロム、ニッケル、銅、イットリウム、ジルコニウム、モリブデン、銀、タンタル、もしくはタングステン等の金属、またはこれを主成分とする合金を単層構造または積層構造として用いることができる。または、酸化インジウム、インジウム錫酸化物(ITO)、タングステンを含むインジウム酸化物、タングステンを含むインジウム亜鉛酸化物、チタンを含むインジウム酸化物、チタンを含むITO、インジウム亜鉛酸化物、酸化亜鉛(ZnO)、ガリウムを含むZnO、またはシリコンを含むITO等の透光性を有する導電性材料を用いてもよい。また、不純物元素を含有させる等して低抵抗化させた、多結晶シリコンもしくは酸化物半導体等の半導体、またはニッケルシリサイド等のシリサイドを用いてもよい。また、グラフェンを含む膜を用いることもできる。グラフェンを含む膜は、例えば酸化グラフェンを含む膜を還元して形成することができる。また、不純物元素を含有させた酸化物半導体等の半導体を用いてもよい。または、銀、カーボン、もしくは銅等の導電性ペースト、またはポリチオフェン等の導電性ポリマーを用いて形成してもよい。導電性ペーストは、安価であり、好ましい。導電性ポリマーは、塗布しやすく、好ましい。
続いて、絶縁層32を形成する。絶縁層32は、絶縁層31に用いることのできる無機絶縁膜を援用できる。
続いて、金属酸化物層44を形成する。金属酸化物層44は、金属酸化物膜を成膜した後、レジストマスクを形成し、当該金属酸化物膜をエッチングした後にレジストマスクを除去することで形成できる。
金属酸化物膜の成膜時の基板温度は、350℃以下が好ましく、室温以上200℃以下がより好ましく、室温以上130℃以下がさらに好ましい。
金属酸化物膜は、不活性ガス及び酸素ガスのいずれか一方または双方を用いて成膜することができる。なお、金属酸化物膜の成膜時における酸素の流量比(酸素分圧)に、特に限定はない。ただし、電界効果移動度が高いトランジスタを得る場合においては、金属酸化物膜の成膜時における酸素の流量比(酸素分圧)は、0%以上30%以下が好ましく、5%以上30%以下がより好ましく、7%以上15%以下がさらに好ましい。
金属酸化物膜は、少なくともインジウムまたは亜鉛を含むことが好ましい。特にインジウム及び亜鉛を含むことが好ましい。
金属酸化物は、エネルギーギャップが2eV以上であることが好ましく、2.5eV以上であることがより好ましく、3eV以上であることがさらに好ましい。このように、エネルギーギャップの広い金属酸化物を用いることで、トランジスタのオフ電流を低減することができる。
金属酸化物膜は、スパッタリング法により形成することができる。そのほか、PLD法、PECVD法、熱CVD法、ALD法、真空蒸着法などを用いてもよい。
続いて、導電層43a及び導電層43bを形成する。導電層43a及び導電層43bは、導電膜を成膜した後、レジストマスクを形成し、当該導電膜をエッチングした後にレジストマスクを除去することにより形成できる。導電層43a及び導電層43bは、それぞれ、金属酸化物層44と接続される。
なお、導電層43a及び導電層43bの加工の際に、レジストマスクに覆われていない金属酸化物層44の一部がエッチングにより薄膜化する場合がある。
導電膜の成膜時の基板温度は、室温以上350℃以下が好ましく、室温以上300℃以下がさらに好ましい。
以上のようにして、トランジスタ40を作製できる(図10(E))。トランジスタ40において、導電層41の一部はゲートとして機能し、絶縁層32の一部はゲート絶縁層として機能し、導電層43a及び導電層43bは、それぞれソースまたはドレインのいずれか一方として機能する。
次に、トランジスタ40を覆う絶縁層33を形成する(図11(A))。絶縁層33は、絶縁層31と同様の方法により形成することができる。
また、絶縁層33として、酸素を含む雰囲気下で成膜した酸化シリコン膜や酸化窒化シリコン膜等の酸化物絶縁膜を用いることが好ましい。さらに、当該酸化シリコン膜や酸化窒化シリコン膜上に窒化シリコン膜などの酸素を拡散、透過しにくい絶縁膜を積層することが好ましい。酸素を含む雰囲気下で形成した酸化物絶縁膜は、加熱により多くの酸素を放出しやすい絶縁膜とすることができる。このような酸素を放出する酸化物絶縁膜と、酸素を拡散、透過しにくい絶縁膜を積層した状態で、加熱処理を行うことにより、金属酸化物層44に酸素を供給することができる。その結果、金属酸化物層44中の酸素欠損、及び金属酸化物層44と絶縁層33の界面の欠陥を修復し、欠陥準位を低減することができる。これにより、極めて信頼性の高い表示装置を実現できる。
以上の工程により、樹脂層23上に絶縁層31、トランジスタ40、及び絶縁層33を形成することができる(図11(A))。
この段階において、後述する方法を用いて作製基板14とトランジスタ40とを分離することで、表示素子を有さないデバイスを作製することができる。例えば、トランジスタ40や、トランジスタ40に加えて容量素子、抵抗素子、及び配線などを形成することで、半導体装置を作製することができる。
次に、絶縁層33上に絶縁層34を形成する(図11(A))。絶縁層34は、後に形成する表示素子の被形成面を有する層であるため、平坦化層として機能することが好ましい。絶縁層34は、絶縁層31に用いることのできる有機絶縁膜または無機絶縁膜を援用できる。
絶縁層34は、樹脂層23の耐熱温度以下の温度で形成する。絶縁層34は、加熱処理の温度より低い温度で形成することが好ましい。
絶縁層34に有機絶縁膜を用いる場合、絶縁層34の形成時に樹脂層23にかかる温度は、室温以上350℃以下が好ましく、室温以上300℃以下がさらに好ましい。
絶縁層34に無機絶縁膜を用いる場合、成膜時の基板温度は、室温以上350℃以下が好ましく、100℃以上300℃以下がさらに好ましい。
次に、絶縁層34及び絶縁層33に、導電層43bに達する開口を形成する。
その後、導電層61を形成する。導電層61は、その一部が発光素子60の画素電極として機能する。導電層61は、導電膜を成膜した後、レジストマスクを形成し、当該導電膜をエッチングした後にレジストマスクを除去することにより形成できる。
導電層61は、樹脂層23の耐熱温度以下の温度で形成する。導電層61は、加熱処理の温度より低い温度で形成することが好ましい。
導電膜の成膜時の基板温度は、室温以上350℃以下が好ましく、室温以上300℃以下がさらに好ましい。
次に、導電層61の端部を覆う絶縁層35を形成する。絶縁層35は、絶縁層31に用いることのできる有機絶縁膜または無機絶縁膜を援用できる。
絶縁層35は、樹脂層23の耐熱温度以下の温度で形成する。絶縁層35は、加熱処理の温度より低い温度で形成することが好ましい。
絶縁層35に有機絶縁膜を用いる場合、絶縁層35の形成時に樹脂層23にかかる温度は、室温以上350℃以下が好ましく、室温以上300℃以下がさらに好ましい。
絶縁層35に無機絶縁膜を用いる場合、成膜時の基板温度は、室温以上350℃以下が好ましく、100℃以上300℃以下がさらに好ましい。
次に、EL層62及び導電層63を形成する。導電層63は、その一部が発光素子60の共通電極として機能する。
EL層62は、蒸着法、塗布法、印刷法、吐出法などの方法で形成することができる。EL層62を画素毎に作り分ける場合、メタルマスクなどのシャドウマスクを用いた蒸着法、またはインクジェット法等により形成することができる。EL層62を画素毎に作り分けない場合には、メタルマスクを用いない蒸着法を用いることができる。
EL層62には、低分子系化合物及び高分子系化合物のいずれを用いることもでき、無機化合物を含んでいてもよい。
導電層63は、蒸着法やスパッタリング法等を用いて形成することができる。
導電層63は、樹脂層23の耐熱温度以下の温度かつEL層62の耐熱温度以下の温度で形成する。また、加熱処理の温度より低い温度で形成することが好ましい。
以上のようにして、発光素子60を形成することができる(図11(A))。発光素子60は、一部が画素電極として機能する導電層61、EL層62、及び一部が共通電極として機能する導電層63が積層された構成を有する。
ここでは、発光素子60として、トップエミッション型の発光素子を作製する例を示したが、本発明の一態様はこれに限られない。
発光素子は、トップエミッション型、ボトムエミッション型、デュアルエミッション型のいずれであってもよい。光を取り出す側の電極には、可視光を透過する導電膜を用いる。また、光を取り出さない側の電極には、可視光を反射する導電膜を用いることが好ましい。
次に、導電層63を覆って絶縁層74を形成する(図11(A))。絶縁層74は、発光素子60に水などの不純物が拡散することを抑制する保護層として機能する。発光素子60は、絶縁層74によって封止される。導電層63を形成した後、大気に曝すことなく、絶縁層74を形成することが好ましい。
絶縁層74は、樹脂層23の耐熱温度以下の温度かつ発光素子60の耐熱温度以下の温度で形成する。絶縁層74は、加熱処理の温度より低い温度で形成することが好ましい。
絶縁層74は、例えば、上述した絶縁層31に用いることのできるバリア性の高い無機絶縁膜が含まれる構成とすることが好ましい。また、無機絶縁膜と有機絶縁膜を積層して用いてもよい。
絶縁層74は、ALD法やスパッタリング法等を用いて形成することができる。ALD法及びスパッタリング法は低温成膜が可能であるため好ましい。ALD法を用いると絶縁層74のカバレッジが良好となり好ましい。
次に、絶縁層74上に保護層75を形成する(図11(A))。保護層75としては、図5(D)に示すように、接着層75b及び基板75aを用いてもよい。
次に、レーザ光55を照射する(図11(B1))。レーザ光55は、例えば、図11(B1)においては、左側から右側に走査される線状レーザビームで、その長軸は、その走査方向及びその入射方向(上から下)に垂直である。レーザ装置において、作製基板14が上側にくるように積層体を配置する。積層体には、積層体(作製基板14)の上側からレーザ光55が照射される。
レーザ光の照射工程については、上記剥離方法における記載を参照できる。
なお、1枚の作製基板で複数の表示装置を形成する(多面取りする)場合、1つの樹脂層23を用いて、複数の表示装置を形成することができる。または、複数の樹脂層23を用いて、表示装置ごとに樹脂層23を作り分けてもよい。図11(B2)は作製基板に1つの樹脂層23を有する例である。図11(B3)、(B4)は作製基板に4つの樹脂層23を有する例である。
レーザ装置は、大判の基板の処理が困難であること、もしくは高価であることがある。そのため、作製基板のサイズによっては、図11(B4)に示すように、作製基板を分断した後に、分断した作製基板それぞれに対してレーザ光を照射してもよい。
次に、樹脂層23に分離の起点を形成する(図12(A)~(C))。
例えば、保護層75側から、樹脂層23の端部よりも内側に刃物などの鋭利な形状の器具65を差し込み、枠状に切れ目64を入れる。
または、樹脂層23に、枠状にレーザ光を照射してもよい。
上述の通り、多面取りにより、1つの樹脂層23を用いて、複数の表示装置を形成することができる。例えば、図12(B)の切れ目64の内側に、複数の表示装置が配置される。これにより、複数の表示装置を一度にまとめて作製基板と分離することができる。
または、複数の樹脂層23を用いて、表示装置ごとに樹脂層23を作り分けてもよい。図12(C)では、作製基板上に、4つの樹脂層23を形成する例を示す。4つの樹脂層23それぞれに、枠状に切れ目64を入れることで、各表示装置を異なるタイミングで作製基板と分離することができる。
作製方法例1では、金属酸化物層20上に、樹脂層23が接する部分と、絶縁層31が接する部分と、を設ける。金属酸化物層20と絶縁層31との密着性(接着性)は、金属酸化物層20と樹脂層23との密着性(接着性)よりも高い。そのため、樹脂層23が金属酸化物層20から意図せず剥がれることを抑制できる。そして、分離の起点を形成することで、所望のタイミングで、金属酸化物層20と樹脂層23とを分離することができる。したがって、分離のタイミングを制御でき、かつ、分離に要する力が小さい。これにより、分離工程、及び表示装置の作製工程の歩留まりを高めることができる。
次に、金属酸化物層20と樹脂層23とを分離する(図13(A))。
そして、露出した樹脂層23に、接着層28を用いて、基板29を貼り合わせる(図13(B))。
基板29は、表示装置の支持基板として機能することができる。基板29にはフィルムを用いることが好ましく、特に樹脂フィルムを用いることが好ましい。これにより表示装置の軽量化、薄型化が可能となる。また、フィルム基板を用いた表示装置は、ガラスや金属などを用いる場合に比べて、破損しにくい。また、表示装置の可撓性を高めることができる。
本実施の形態の剥離方法を用いることで、作製基板14上に作製したトランジスタ40及び発光素子60等を、作製基板14から剥離し、基板29に転置することができる。
接着層28には、接着層75bに用いることができる材料を適用することができる。基板29には、基板75aに用いることができる材料を適用することができる。
作製方法例1では、金属酸化物層20及び樹脂層23を積層し、光を照射する。これにより、金属酸化物層20と樹脂層23との密着性もしくは接着性を低下させることができる。そのため、作製基板14と樹脂層23とを容易に分離することができる。
[表示装置の構成例1]
図14(A)は、表示装置10Aの上面図である。図14(B)、(C)は、それぞれ、表示装置10Aの表示部381の断面図及びFPC372との接続部の断面図の一例である。
表示装置10Aは、上記の作製方法例1を用いて作製することができる。表示装置10Aは、曲がった状態に保持することや、繰り返し曲げることなどが可能である。
表示装置10Aは、保護層75及び基板29を有する。保護層75側が表示装置の表示面側である。表示装置10Aは、表示部381及び駆動回路部382を有する。表示装置10AにはFPC372が貼り付けられている。
接続体76を介して、導電層43cとFPC372とが電気的に接続されている(図14(B)、(C))。導電層43cは、トランジスタのソース及びドレインと同一の材料及び同一の工程で形成することができる。
接続体76としては、様々な異方性導電フィルム(ACF:Anisotropic Conductive Film)及び異方性導電ペースト(ACP:Anisotropic Conductive Paste)等を用いることができる。
図14(C)に示す表示装置は、トランジスタ40を有さず、トランジスタ49を有している点、及び、絶縁層33上に着色層97を有する点で、図14(B)の構成と異なる。ボトムエミッション型の発光素子60を用いる場合、発光素子60よりも基板29側に着色層97を有していてもよい。上記の作製方法例1では、樹脂層23に可視光の透過率が高い材料を用いることができる。そのため、樹脂層23を介して発光素子60の光を取り出す表示装置であっても、高い表示品位を実現できる。
図14(C)に示すトランジスタ49は、図14(B)に示すトランジスタ40の構成に加えて、ゲートとして機能する導電層45を有する。
トランジスタ49には、チャネルが形成される半導体層を2つのゲートで挟持する構成が適用されている。このような構成とすることで、トランジスタの閾値電圧を制御することができる。2つのゲートを接続し、これらに同一の信号を供給することによりトランジスタを駆動してもよい。このようなトランジスタは他のトランジスタと比較して電界効果移動度を高めることが可能であり、オン電流を増大させることができる。その結果、高速駆動が可能な回路を作製することができる。さらには、回路部の占有面積を縮小することが可能となる。オン電流の大きなトランジスタを適用することで、表示装置を大型化、または高精細化したときに配線数が増大したとしても、各配線における信号遅延を低減することが可能であり、表示ムラを抑制することができる。
または、2つのゲートのうち、一方に閾値電圧を制御するための電位を与え、他方に駆動のための電位を与えることで、トランジスタの閾値電圧を制御することができる。
[作製方法例2]
まず、上記剥離方法と同様に、作製基板14上に、金属酸化物層20から絶縁層31までを形成する(図15(A))。
次に、絶縁層31上にトランジスタ80を形成する(図15(B))。
ここではトランジスタ80として、金属酸化物層83と2つのゲートを有するトランジスタを作製する場合を示す。
トランジスタ80は、樹脂層23の耐熱温度以下の温度で形成する。加熱処理の温度より低い温度で形成することが好ましい。
具体的には、まず、絶縁層31上に導電層81を形成する。導電層81は、導電膜を成膜した後、レジストマスクを形成し、当該導電膜をエッチングした後にレジストマスクを除去することで形成できる。
続いて、絶縁層82を形成する。絶縁層82は、絶縁層31に用いることのできる無機絶縁膜を援用できる。
続いて、金属酸化物層83を形成する。金属酸化物層83は、金属酸化物膜を成膜した後、レジストマスクを形成し、当該金属酸化物膜をエッチングした後にレジストマスクを除去することで形成できる。金属酸化物層83は、金属酸化物層44に用いることのできる材料を援用できる。
続いて、絶縁層84及び導電層85を形成する。絶縁層84は、絶縁層31に用いることのできる無機絶縁膜を援用できる。絶縁層84及び導電層85は、絶縁層84となる絶縁膜と、導電層85となる導電膜とを成膜した後、レジストマスクを形成し、当該絶縁膜及び当該導電膜をエッチングした後にレジストマスクを除去することにより形成できる。
次に、金属酸化物層83、絶縁層84、及び導電層85を覆う絶縁層33を形成する。絶縁層33は、絶縁層31と同様の方法により形成することができる。
絶縁層33は、水素を含むことが好ましい。絶縁層33に含まれる水素が、絶縁層33と接する金属酸化物層83に拡散し、金属酸化物層83の一部が低抵抗化する。金属酸化物層83の一部が低抵抗領域として機能するため、トランジスタ80のオン電流の増大及び電界効果移動度の向上が可能である。
次に、絶縁層33に、金属酸化物層83に達する開口を形成する。
続いて、導電層86a及び導電層86bを形成する。導電層86a及び導電層86bは、導電膜を成膜した後、レジストマスクを形成し、当該導電膜をエッチングした後にレジストマスクを除去することにより形成できる。導電層86a及び導電層86bは、それぞれ、絶縁層33の開口を介して金属酸化物層83と電気的に接続される。
以上のようにして、トランジスタ80を作製できる(図15(B))。トランジスタ80において、導電層81の一部はゲートとして機能し、絶縁層84の一部はゲート絶縁層として機能し、絶縁層82の一部はゲート絶縁層として機能し、導電層85の一部はゲートとして機能する。金属酸化物層83はチャネル領域と低抵抗領域とを有する。チャネル領域は絶縁層84を介して導電層85と重なる。低抵抗領域は導電層86aと接続される部分と、導電層86bと接続される部分と、を有する。
次に、絶縁層33上に絶縁層34から発光素子60までを形成する(図15(C))。これらの工程は作製方法例1を参照できる。
また、図15(A)~(C)までの工程とは独立して、図16(A)~(C)の工程を行う。まず、作製基板14上に金属酸化物層20を形成する工程と同様に、作製基板91上に、金属酸化物層92を形成する(図16(A))。次に、金属酸化物層20上に樹脂層23を形成する工程と同様に、金属酸化物層92上に第1の層を形成し、加熱処理を行うことで、樹脂層93を形成する(図16(B))。そして、樹脂層23上に絶縁層31を形成する工程と同様に、樹脂層93上に、樹脂層93の端部を覆う絶縁層95を形成する(図16(B))。
次に、絶縁層95上に、着色層97及び遮光層98を形成する(図16(C))。
着色層97として、カラーフィルタ等を用いることができる。着色層97は発光素子60の表示領域と重なるように配置する。
遮光層98として、ブラックマトリクス等を用いることができる。遮光層98は、絶縁層35と重なるように配置する。
次に、作製基板14のトランジスタ80等が形成されている面と、作製基板91の樹脂層93等が形成されている面とを、接着層99を用いて貼り合わせる(図16(D))。
次に、レーザ光55を照射する(図17)。レーザ光55は、例えば、図17においては、左側から右側に走査される線状レーザビームで、その長軸は、その走査方向及びその入射方向(上から下)に垂直である。レーザ装置において、作製基板14が上側にくるように積層体を配置する。積層体には、積層体(作製基板14)の上側からレーザ光55が照射される。
作製基板14と作製基板91はどちらを先に分離してもよい。ここでは、作製基板91よりも先に作製基板14を分離する例を示す。
レーザ光55は、作製基板14を介して金属酸化物層20と樹脂層23との界面またはその近傍に照射されることが好ましい。また、レーザ光55は、金属酸化物層20中に照射されてもよく、樹脂層23中に照射されてもよい。
金属酸化物層20は、レーザ光55を吸収する。樹脂層23は、レーザ光55を吸収してもよい。
レーザ光55の照射により、金属酸化物層20と樹脂層23の密着性もしくは接着性が低下する。レーザ光55の照射により、樹脂層23が脆弱化されることがある。
レーザ光の照射工程については、上記剥離方法における記載を参照できる。
次に、樹脂層23に分離の起点を形成する(図18(A)、(B))。
例えば、作製基板14側から、樹脂層23に、枠状にレーザ光66を照射する(図18(B)に示すレーザ光の照射領域67参照)。作製基板14及び作製基板91にガラスなどの硬質基板を用いる場合に好適である。
分離の起点を形成するために用いるレーザには特に限定はない。例えば、連続発振型のレーザやパルス発振型のレーザを用いることができる。レーザ光の照射条件(周波数、パワー密度、エネルギー密度、ビームプロファイル等)は、作製基板や樹脂層の厚さ、材料等を考慮して適宜制御する。
作製方法例2では、金属酸化物層20上に、樹脂層23が接する部分と、絶縁層31が接する部分と、を設ける。金属酸化物層20と絶縁層31との密着性(接着性)は、金属酸化物層20と樹脂層23との密着性(接着性)よりも高い。そのため、樹脂層23が金属酸化物層20から意図せず剥がれることを抑制できる。同様に、金属酸化物層92上には、樹脂層93が接する部分と、絶縁層95が接する部分と、を設ける。金属酸化物層92と絶縁層95との密着性(接着性)は、金属酸化物層92と樹脂層93との密着性(接着性)よりも高い。そのため、樹脂層93が金属酸化物層92から意図せず剥がれることを抑制できる。
そして、樹脂層23または樹脂層93の一方のみに分離の起点を形成する。樹脂層23と樹脂層93とで、分離の起点を形成するタイミングを変えることができるため、作製基板14と作製基板91をそれぞれ別工程で分離することができる。これにより、分離工程、及び表示装置の作製工程の歩留まりを高めることができる。
レーザ光66は、樹脂層23の一面全体に照射する必要はなく、部分的に照射する。そのため、高価かつランニングコストの高いレーザ装置は不要である。
次に、作製基板14とトランジスタ80とを分離する(図19(A))。ここでは、枠状にレーザ光66を照射した内側の部分(図18(B)に示すレーザ光の照射領域67の内側の部分ともいえる。)と、作製基板14とを分離する例を示す。また、図19(A)では、枠状にレーザ光66を照射した外側の部分において、接着層99中で分離が生じる(接着層99が凝集破壊する)例を示すが、これに限られない。例えば、照射領域67の外側において、接着層99は絶縁層95または絶縁層33との間で分離が生じる(界面破壊または接着破壊が生じるともいう)場合がある。
作製方法例2では、金属酸化物層20及び樹脂層23を積層し、光を照射する。これにより、金属酸化物層20と樹脂層23との密着性もしくは接着性を低下させることができる。そのため、作製基板14と樹脂層23とを容易に分離することができる。
次に、作製基板14から分離することで露出した樹脂層23と、基板29とを、接着層28を用いて貼り合わせる(図19(B))。基板29は、表示装置の支持基板として機能することができる。
次に、レーザ光55を照射する(図20)。レーザ光55は、例えば、図20においては、左側から右側に走査される線状レーザビームで、その長軸は、その走査方向及びその入射方向(上から下)に垂直である。レーザ装置において、作製基板91が上側にくるように積層体を配置する。積層体には、積層体(作製基板91)の上側からレーザ光55が照射される。
レーザ光55は、作製基板91を介して金属酸化物層92と樹脂層93との界面またはその近傍に照射されることが好ましい。また、レーザ光55は、金属酸化物層92中に照射されてもよく、樹脂層93中に照射されてもよい。
金属酸化物層92は、レーザ光55を吸収する。樹脂層93は、レーザ光55を吸収してもよい。
レーザ光55の照射により、金属酸化物層92と樹脂層93の密着性もしくは接着性が低下する。レーザ光55の照射により、樹脂層93が脆弱化されることがある。
レーザ光の照射工程については、上記剥離方法における記載を参照できる。
次に、樹脂層93に分離の起点を形成する(図21(A))。
図21(A)では、基板29側から、樹脂層93の端部よりも内側に刃物などの鋭利な形状の器具65を差し込み、枠状に切れ目を入れる。基板29に樹脂を用いる場合に好適である。
または、樹脂層23に分離の起点を形成した際と同様に、作製基板91側から、樹脂層93に、枠状にレーザ光を照射してもよい。
分離の起点を形成することで、所望のタイミングで、作製基板91と樹脂層93とを分離することができる。したがって、分離のタイミングを制御でき、かつ、分離に要する力が小さい。これにより、分離工程、及び表示装置の作製工程の歩留まりを高めることができる。
次に、作製基板91とトランジスタ80とを分離する(図21(B))。ここでは、枠状に切れ目を入れた内側の部分と作製基板91とを分離する例を示す。
作製方法例2では、金属酸化物層92及び樹脂層93を積層し、光を照射する。これにより、金属酸化物層92と樹脂層93との密着性もしくは接着性を低下させることができる。そのため、作製基板91と樹脂層93とを容易に分離することができる。
次に、作製基板91から分離することで露出した樹脂層93と、基板22とを、接着層13を用いて貼り合わせる(図22(A))。基板22は、表示装置の支持基板として機能することができる。
図22(A)において、発光素子60の発光は、着色層97、絶縁層95、及び樹脂層93を通して、表示装置の外部に取り出される。そのため、樹脂層93の可視光の透過率は高いことが好ましい。本発明の一態様では、樹脂層93の厚さを薄くすることができる。そのため、樹脂層93の可視光の透過率を高め、発光素子60の光取り出し効率の低下を抑制できる。
また、本発明の一態様では、金属酸化物層92と樹脂層93との界面またはその近傍に光を照射し、金属酸化物層92が光の一部を吸収する。そのため、樹脂層93の光の吸収率が低くても、金属酸化物層92と樹脂層93とを容易に分離することができる。よって、樹脂層93に可視光の透過率が高い材料を用いることができる。したがって、発光素子60の光取り出し効率の低下を抑制できる。
樹脂層93を除去してもよい。これにより、発光素子60の光取り出し効率をさらに高めることができる。図22(B)では、樹脂層93を除去し、接着層13を用いて絶縁層95に基板22を貼り合わせた例を示す。
接着層13には、接着層75bに用いることができる材料を適用できる。
基板22には、基板75aに用いることができる材料を適用できる。
作製方法例2は、本発明の一態様の剥離方法を2回行って表示装置を作製する例である。本発明の一態様では、表示装置を構成する機能素子等は、全て作製基板上で形成するため、精細度の高い表示装置を作製する場合においても、可撓性を有する基板には、高い位置合わせ精度が要求されない。よって、簡便に可撓性を有する基板を貼り付けることができる。
また、本実施の形態の基板の洗浄方法を用いることで、分離後の作製基板14及び作製基板91をそれぞれ洗浄または再生することができる。例えば、本実施の形態の剥離方法では、作製基板14及び作製基板91(例えば、それぞれガラス基板)、または作製基板14と金属酸化物層20との積層体及び作製基板91と金属酸化物層92との積層体を、複数回繰り返し使うことが可能となるため、生産コストを抑制することができる。
[変形例]
作製方法例2(図16(D))では、接着層99が、金属酸化物層20と絶縁層31とが接している部分、及び金属酸化物層92と絶縁層95とが接している部分の双方と重ねて設けられる場合を示した。
金属酸化物層20と絶縁層31の密着性(接着性)、及び金属酸化物層92と絶縁層95の密着性(接着性)は、それぞれ、金属酸化物層20と樹脂層23の密着性(接着性)、及び金属酸化物層92と樹脂層93の密着性(接着性)よりも高い。
金属酸化物層20と絶縁層31の界面または金属酸化物層92と絶縁層95の界面で剥離を行うと、剥離不良が生じるなど、剥離の歩留まりが低下することがある。そのため、樹脂層に分離の起点を枠状に形成した後、樹脂層と重なる部分のみを作製基板と分離する工程が好適である。
一方、図23(A)、(B)に示すように、接着層99を、金属酸化物層20と絶縁層31とが接している部分、及び金属酸化物層92と絶縁層95とが接している部分とは重ねない構成とすることができる。
例えば、流動性の低い接着剤、または接着シートなどを接着層99に用いると、接着層99を島状に形成することが容易である(図23(A))。
または、枠状の隔壁96を形成し、隔壁96に囲まれた内側に接着層99を充填し硬化してもよい(図23(B))。
隔壁96を表示装置の構成要素として用いる場合、隔壁96には、硬化した樹脂を用いることが好ましい。このとき、隔壁96も、金属酸化物層20と絶縁層31とが接している部分、及び金属酸化物層92と絶縁層95とが接している部分とは重ねないことが好ましい。
隔壁96を表示装置の構成要素として用いない場合、隔壁96には、未硬化または半硬化の樹脂を用いることが好ましい。このとき、隔壁96を金属酸化物層20と絶縁層31とが接している部分、及び金属酸化物層92と絶縁層95とが接している部分の一方または双方と重ねてもよい。
本実施の形態では、隔壁96に未硬化の樹脂を用い、隔壁96が、金属酸化物層20と絶縁層31とが接している部分、及び金属酸化物層92と絶縁層95とが接している部分と重ならない例を示す。
接着層99が、金属酸化物層20と絶縁層31とが接している部分、及び金属酸化物層92と絶縁層95とが接している部分と重ならない構成における分離の起点の形成方法について説明する。以下では、作製基板91を剥離する例を示す。作製基板14を剥離する場合にも同様の方法を用いることができる。
図24(A)~(E)では、作製基板91と樹脂層93とを分離する場合のレーザ光66の照射位置を説明する。
図24(A)に示すように、樹脂層93と接着層99とが重なる領域の少なくとも1か所に、レーザ光66を照射することで、分離の起点を形成できる。
分離の起点に、作製基板91と樹脂層93を引き離す力が集中することが好ましいため、接着層99の中央部よりも端部近傍に分離の起点を形成することが好ましい。特に、端部近傍の中でも、辺部近傍に比べて、角部近傍に分離の起点を形成することが好ましい。
図24(B)~(E)に、レーザ光の照射領域67の一例を示す。
図24(B)では、接着層99の角部に1か所、レーザ光の照射領域67を示す。
連続的もしくは断続的にレーザ光を照射することで、実線状もしくは破線状の分離の起点を形成することができる。図24(C)では、接着層99の角部に3か所、レーザ光の照射領域67を示す。図24(D)では、レーザ光の照射領域67が、接着層99の一辺に接し、かつ接着層99の一辺に沿って伸びている例を示す。図24(E)に示すように、レーザ光の照射領域67が、接着層99と樹脂層93とが重なる領域だけでなく、硬化状態でない隔壁96と樹脂層93とが重なる領域に位置してもよい。
その後、作製基板91と樹脂層93とを分離することができる。なお、作製基板14側に隔壁96の一部が残存することがある。隔壁96は、除去してもよいし、除去せず、次の工程に進んでもよい。
[表示装置の構成例2]
図25(A)は、表示装置10Bの上面図である。図25(B)は、表示装置10Bの表示部381の断面図及びFPC372との接続部の断面図の一例である。
表示装置10Bは、上記の作製方法例2を用いて作製することができる。表示装置10Bは、曲がった状態に保持することや、繰り返し曲げることなどが可能である。
表示装置10Bは、基板22及び基板29を有する。基板22側が表示装置10Bの表示面側である。表示装置10Bは、表示部381及び駆動回路部382を有する。表示装置10BにはFPC372が貼り付けられている。
基板22及び基板29にはフィルムを用いることが好ましく、特に樹脂フィルムを用いることが好ましい。これにより表示装置の軽量化、薄型化が可能となる。また、フィルム基板を用いた表示装置は、ガラスや金属などを用いる場合に比べて、破損しにくい。また、表示装置の可撓性を高めることができる。
接続体76を介して、導電層86cとFPC372とが電気的に接続されている(図25(B))。導電層86cは、トランジスタのソース及びドレインと同一の材料及び同一の工程で形成することができる。
[積層体の作製装置の例]
次に、図26を用いて、積層体の作製装置の一例を説明する。図26に示す積層体の作製装置は、本実施の形態の剥離方法を用いて作製基板から被剥離層を剥離し、被剥離層を別の基板に転置することができる。図26に示す積層体の作製装置を用いて、半導体装置、表示装置等の積層体を作製することができる。
図26に示す積層体の作製装置は、レーザ照射ユニット610、基板反転ユニット630、複数の搬送ローラ(搬送ローラ643、644、645、646等)、テープリール602、巻き取りリール683、方向転換ローラ604、及び押圧ローラ606を有する。
図26に示す積層体の作製装置で処理できる積層体56は、例えば被剥離体56aと支持体56bが積層された構成を有する。積層体56は、被剥離体56aと支持体56bとの間で剥離が生じる。被剥離体56aは例えば樹脂層を有し、支持体56bは例えば作製基板を有する。
図26に示す積層体の作製装置は、積層体56に支持体601を貼り付け、支持体601を引っ張ることで被剥離体56aを積層体56から剥離する。支持体601を用いて、積層体56を自動的に分離することができ、作業時間の短縮及び製品の製造歩留まりを向上させることができる。
支持体56bと分離された被剥離体56aは、接着剤を用いて支持体671と貼り合わされる。これにより、支持体601、被剥離体56a、及び支持体671がこの順で積層された積層体59を作製することができる。
複数の搬送ローラは、積層体56を搬送することができる。積層体56を搬送する搬送機構は、搬送ローラに限られず、ベルトコンベア、または搬送ロボット等を用いてもよい。また、搬送機構上のステージに、積層体56を配置してもよい。
搬送ローラ643、搬送ローラ644、搬送ローラ645、搬送ローラ646は、複数に並べられた搬送ローラの1つであり、所定の間隔で設けられ、積層体56、被剥離体56a、または支持体56bの送出方向(実線矢印で示す右回転する方向)に回転駆動される。複数に並べられた搬送ローラは、それぞれ図示しない駆動部(モータ等)により回転駆動される。
レーザ照射ユニット610は、積層体56にレーザを照射するユニットである。レーザとしては、例えば波長308nmの紫外光を出力するエキシマレーザなどを用いることができる。また、高圧水銀ランプやUV−LEDなどを用いてもよい。
図26に示すように、積層体56は、上側に支持体56bが位置する状態で、レーザ照射ユニット610に搬送される。
エキシマレーザは高出力のパルスレーザであり、光学系にてビームを線状に整形することができる。線状ビームのレーザ光の照射位置において基板を移動させることで基板全体または必要箇所にレーザ光を照射することができる。なお、線状ビームは、用いる基板の一辺と同等以上の長さとすれば、基板を一方向に移動するのみで基板全体にレーザ光を照射することができる。パルスレーザの発振周波数は、1Hz以上300Hz以下が好ましく、60Hz近傍がより好ましい。
エキシマレーザ装置には、レーザ発振器を一つ搭載した装置の他、二つ以上のレーザ発振器を搭載する装置を用いることもできる。複数のレーザ発振器を搭載する装置においては、それぞれのレーザ発振器から同期されて出力されたレーザ光を光学系にて合成する(重ね合わす)ことで高エネルギー密度のレーザ光を得ることができる。したがって、本実施の形態の用途においては、第3.5世代(600mm×720mm)以上、第6世代(1500mm×1850mm)以上、第7世代(1870mm×2200mm)以上、または第8世代(2160mm×2460mm)以上のサイズのガラス基板の処理を行うこともできる。また、複数のレーザ発振器を搭載する装置では、それぞれのレーザ発振器から出力されるレーザ光が互いに出力ばらつきを補完するため、1パルス毎の強度ばらつきが少なくなり、歩留りの高い処理を行うことができる。なお、複数の発振器に替えて、複数のエキシマレーザ装置を用いてもよい。
図27(A)にエキシマレーザを用いたレーザ照射ユニット610の一例を示す。二つのレーザ発振器を有するエキシマレーザ装置660から出力されたレーザ光610a、610bは光学系635にて合成される。さらに光学系635にて横長に伸張されたレーザ光610cは、ミラー650を介してレンズ680に入射する。レンズ680を透過したレーザ光610dはレーザ光610cに比べて縮小される。このとき、レーザ光610dが、積層体56が有する加工領域640に支持体56b(例えばガラス基板)を介して照射されるようにする。以下では、レーザ光610dのうち、加工領域640に照射される部分を、線状ビーム610eと記す。
なお、ここでは二つのレーザ発振器を有する例を示したが、一つのレーザ発振器を有する構成としてもよく、これにより、装置を簡略化できる。また、三つ以上のレーザ発振器を有する構成としてもよく、これにより線状ビーム610eの強度を高めることができる。
そして、搬送ローラ644により図中の矢印方向に積層体56を移動させることで、加工領域640に線状ビーム610eを照射することができる。
図27(A)に示すように、積層体56を搬送ローラ644により一定の速度で搬送しながら線状ビーム610eを照射することにより、プロセス時間を短縮することが可能となる。なお、積層体56を少なくとも一方向に移動可能なステージに配置し、ステージを動かしながら線状ビーム610eを照射してもよい。なお、ステージを用いる場合には、進行方向に対して横方向、及び高さ方向に移動可能なステージを用い、線状ビーム610eの焦点の位置や深さを調整できる構成とすることが好ましい。なお、図27(A)では、積層体56を移動させることで、線状ビーム610eを照射する構成について例示したがこれに限定されない。例えば、積層体56を固定し、エキシマレーザ装置660などを移動させて、積層体56に線状ビーム610eを照射してもよい。
図27(A)では、線状ビーム610eが照射される加工領域640が、積層体56の端部よりも内側に位置する例を示している。これにより、加工領域640の外側の領域は密着性が高い状態を維持するため、搬送時に剥離が生じてしまうことを抑制できる。なお、線状ビーム610eの幅が積層体56の幅と等しい、または積層体56の幅よりも大きくてもよい。その場合、積層体56全体に線状ビーム610eが照射することができる。
図27(B)に、線状ビーム610eが積層体56の加工領域640に照射される様子を示す。積層体56は、作製基板58と、第1の層57aと、第2の層57bとを有する。ここで、作製基板58と第2の層57bを含む部分が支持体56bに相当し、第1の層57aを含む部分が被剥離体56aに相当する。
例えば、第1の層57aが上記樹脂層23に相当し、第2の層57bが上記金属酸化物層20に相当する。
レーザ光610dは、作製基板58を透過し、線状ビーム610eは、第1の層57aと第2の層57bの界面、またはその近傍に照射されることが好ましい。特に、線状ビーム610eは、第1の層57aと第2の層57bの界面、またはその近傍に焦点が位置することが好ましい。
また、第1の層57aと第2の層57bとの界面に線状ビーム610eの焦点が位置することで、第1の層57aと第2の層57bとの界面に存在しうる水が気化し、水の体積が急激に膨張する場合がある。この場合、水の体積の膨張に伴い、第1の層57aと第2の層57bとの界面、またはその近傍で剥離現象が生じると推定される。
なお、アモルファスシリコン膜にレーザ光を照射して、アモルファスシリコン膜を結晶化させる技術がある。当該技術の場合、アモルファスシリコン膜の内部にレーザ光の焦点を合わせる。しかしながら、本発明の一態様においては、図27(B)に示すように、レーザ光(ここでは、線状ビーム610e)の焦点は、第1の層57aと、第2の層57bとの界面またはその近傍である。このように本発明の一態様は、レーザ光の焦点位置がアモルファスシリコン膜を結晶化させる技術と相違している。
また、線状ビーム610eの焦点深度が十分に大きい(深い)場合、第1の層57aと第2の層57bの界面またはその近傍だけでなく、第1の層57aの厚さ方向全体、第2の層57bの厚さ方向全体、または第1の層57aと第2の層57bの両方の厚さ方向全体に亘って、線状ビーム610eの焦点が位置する場合がある。
なお、エキシマレーザとしては、波長308nmまたはそれよりも波長が長いものを用いることが好ましい。波長308nm以上であれば、支持体56bにガラス基板を用いた場合においても加工に必要なレーザ光を十分に透過させることができる。
図26に示す基板反転ユニット630は、積層体56の上下を入れ替えるユニットである。例えば積層体56の上下を挟む搬送ローラを有し、当該搬送ローラが回転する機構を有する構成とすることができる。なお、基板反転ユニット630の構成はこれに限られず、積層体56の上下を挟む搬送ローラが、らせん状に配置された構成としてもよいし、反転可能な搬送アームを有する構成としてもよい。
基板反転ユニット630を通過した積層体56は、図26に示すように、被剥離体56aが上側に位置する状態となる。
テープリール602は、ロールシート状の支持体601を繰り出すことができる。支持体601を繰り出す速度は可変であることが好ましい。例えば、該速度を比較的遅くすることで、積層体の剥離不良、または剥離した部材におけるクラックの発生を抑制できる。
巻き取りリール683は、積層体59を巻き取ることができる。
テープリール602及び巻き取りリール683を用いて、支持体601に張力を加えることができる。
支持体601は、連続的または間欠的に繰り出される。支持体601を連続的に繰り出すと、均一な速度、均一な力で剥離を行うことができるため、好ましい。剥離工程においては、剥離の進行が途中で停止することなく連続することが好ましく、等速で剥離を進行させることがより好ましい。剥離の進行を途中で停止し再び当該領域から剥離を始めると、剥離の進行が連続した場合とは異なり、当該領域に歪等がかかる。そのため、当該領域の微細構造の変化、または当該領域にある電子デバイス等の特性変化が起こり、例えば表示装置などでは、その影響が表示に現れることがある。
支持体601として、有機樹脂、金属、合金、またはガラス等を用いたロールシート状のフィルムを用いることができる。
図26では、支持体601に、可撓性基板など、作製する装置(例えばフレキシブルデバイス)を被剥離体56aとともに構成する部材を用いる。支持体601は、キャリアテープなど、作製する装置を構成しない部材であってもよい。
方向転換ローラ604によって、支持体601の送り方向を変えることができる。図26では、方向転換ローラ604は、テープリール602と押圧ローラ606の間に位置する例を示す。
支持体601は、押圧ローラ606及び搬送ローラ645によって、積層体56(被剥離体56a)に貼り付けられる。
図26の構成では、支持体601が、押圧ローラ606に届く手前で、積層体56と接触することを抑制できる。そのため、支持体601と積層体56の間に気泡が混入することを抑制できる。
押圧ローラ606は、図示しない駆動部(モータ等)により回転駆動される。押圧ローラ606が回転することで、積層体56に被剥離体56aを引き剥がす力がかかり、被剥離体56aが剥がれる。このとき、積層体56に剥離の起点が形成されていることが好ましい。被剥離体56aは、剥離の起点から剥がれ始める。そして、積層体56は、被剥離体56aと支持体56bに分離される。
積層体56から被剥離体56aを引き剥がす機構は、押圧ローラ606に限られず、凸面(凸曲面、凸状の曲面ともいえる)を有する構造体を適用することができる。例えば、円筒状(円柱状、直円柱状、楕円柱状、放物柱状、なども含む)、球状等の構造物を用いることができる。例えば、ドラム状のローラ等のローラを用いることができる。構造体の形状の一例として、底面が曲線で構成される柱体(底面が正円である円柱や、底面が楕円である楕円柱など)や、底面が直線及び曲線で構成される柱体(底面が半円、半楕円である柱体など)が挙げられる。構造体の形状がこれらの柱体のいずれかであるとき、凸面は、該柱体の曲面の部分にあたる。
構造体の材質としては、金属、合金、有機樹脂、ゴム等が挙げられる。構造体は内部に空間または空洞を有してもよい。ゴムとしては、天然ゴム、ウレタンゴム、ニトリルゴム、ネオプレンゴム等が挙げられる。ゴムを用いる場合には、摩擦または剥離による帯電が生じにくい材料を用いる、または静電気を防止する対策を行うことが好ましい。例えば、図26に示す押圧ローラ606は、ゴムまたは有機樹脂を用いた中空の円筒606aと、円筒606aの内側に位置する、金属または合金を用いた円柱606bと、を有する。
押圧ローラ606の回転速度は可変であることが好ましい。押圧ローラ606の回転速度を制御することで、剥離の歩留まりをより高めることができる。
押圧ローラ606や複数の搬送ローラは、少なくとも一方向(例えば、上下、左右、または前後等)に移動可能であってもよい。押圧ローラ606の凸面と搬送ローラの支持面の間の距離が可変であると、様々な厚みの積層体の剥離が行えるため好ましい。
押圧ローラ606が支持体601を折り返す角度に特に限定はない。図26では、押圧ローラ606が支持体601を折り返す角度が鈍角である例を示す。
図26に示す積層体の作製装置は、さらに、ローラ617を有する。ローラ617は、凸面に沿って、支持体601を押圧ローラ606から巻き取りリール683に送ることができる。
ローラ617は、一以上の方向に移動可能である。
ローラ617の軸が移動することで、ローラ617は、支持体601に張力を加えることができる。つまり、ローラ617は、テンションローラということができる。具体的には、支持体601を、押圧ローラ606によって変えられた送り方向に引っ張ることができる。
ローラ617の軸が移動することで、ローラ617は、押圧ローラ606が支持体601を折り返す角度を制御することができる。
ローラ617は、支持体601を折り返し、支持体601の送り方向を変えることができる。例えば、支持体601の送り方向を水平方向に変えてもよい。または、ローラ617が、支持体601を折り返し、支持体601の送り方向を変えた後、ローラ617と巻き取りリール683の間に位置する方向転換ローラ607によって、さらに支持体601の送り方向を変え、支持体601の送り方向を水平方向にしてもよい。
図26に示す積層体の作製装置は、さらに、ガイドローラ(ガイドローラ631、632、633等)、巻き取りリール613、液体供給機構659、乾燥機構614、及び、イオナイザ(イオナイザ639、620)を有する。
積層体の作製装置は、支持体601を巻き取りリール683まで案内するガイドローラを有していてもよい。ガイドローラは単数であっても複数であってもよい。ガイドローラ632のように、ガイドローラは、支持体601に張力を加えることができてもよい。
支持体601の少なくとも一方の面にテープ600(セパレートフィルムともよぶ)が貼り合わされていてもよい。このとき、積層体の作製装置は、支持体601の一方の面に貼り合わされたテープ600を巻き取ることができるリールを有していることが好ましい。図26では、巻き取りリール613が、テープリール602と押圧ローラ606の間に位置する例を示す。さらに、積層体の作製装置は、ガイドローラ634を有していてもよい。ガイドローラ634は、テープ600を巻き取りリール613まで案内することができる。
積層体の作製装置は、乾燥機構614を有していてもよい。被剥離体56aに含まれる機能素子(例えば、トランジスタや薄膜集積回路)は静電気に弱いため、剥離を行う前に被剥離体56aと支持体56bの界面に液体を供給するか、当該界面に液体を供給しながら剥離を行うことが好ましい。また、剥離の進行部に液体が存在することで剥離に要する力を低下させることができる。液体供給機構659を用いて、当該界面に液体を供給しながら剥離を行うことができる。被剥離体56aに付着したまま液体が揮発するとウォーターマークが形成されることがあるため、剥離直後に液体を除去することが好ましい。したがって、機能素子を含む被剥離体56aに対してブローを行い、被剥離体56a上に残った液滴を除去することが好ましい。これにより、ウォーターマークの発生を抑えることができる。また、支持体601の撓みを防止するためにキャリアプレート609を有していてもよい。
水平面に対して斜め方向に支持体601を搬送しながら、支持体601の傾きに沿って下方向に気流を流し、液滴を下に落とすことが好ましい。
支持体601の搬送方向は、水平面に対して垂直とすることもできるが、水平面に対して斜め方向である方が、搬送中の支持体601が安定となり、振動を抑制できる。
工程中、静電気が発生する恐れのある位置では、積層体の作製装置が有する静電気除去器を用いることが好ましい。静電気除去器としては、特に限定はないが、例えば、コロナ放電方式、軟X線方式、紫外線方式等のイオナイザを用いることができる。
例えば、積層体の作製装置にイオナイザを設け、イオナイザからエアまたは窒素ガス等を、被剥離体56aに吹き付けて除電処理を行い、静電気による機能素子への影響を低減することが好ましい。特に、2つの部材を貼り合わせる工程及び1つの部材を分離する工程では、それぞれ、イオナイザを用いることが好ましい。
例えば、イオナイザ639を用いて、被剥離体56aと支持体56bの界面近傍にイオンを照射し、静電気を取り除きながら、積層体56を被剥離体56aと支持体56bに分離することが好ましい。
積層体の作製装置は、基板ロードカセット641及び基板アンロードカセット642を有していてもよい。例えば、積層体56を基板ロードカセット641に供給することができる。基板ロードカセット641は、積層体56を搬送機構等に供給することができる。また、支持体56bを基板アンロードカセット642に供給することができる。
テープリール672は、ロールシート状の支持体671を繰り出すことができる。支持体671には、支持体601と同様の材料を用いることができる。
テープリール672及び巻き取りリール683を用いて、支持体671に張力を加えることができる。
積層体の作製装置は、支持体671を巻き取りリール683まで案内するガイドローラ677、678、679を有していてもよい。
方向転換ローラ676によって、支持体671の送り方向を変えることができる。
押圧ローラ675は、被剥離体56aと、テープリール672が繰り出す支持体671を加圧しながら貼り合わせることができる。これにより、支持体671と被剥離体56aの間に気泡が混入することを抑制できる。
支持体671の少なくとも一方の面に分離テープ670が貼り合わされていてもよい。リール673は、分離テープ670を巻き取ることができる。ガイドローラ674は、分離テープ670をリール673まで案内することができる。
作製された積層体59は、巻き取られてもよいし、分断されてもよい。図26では、巻き取りリール683が積層体59を巻き取る例を示す。ガイドローラ665、666のように、積層体59を巻き取りリール683に案内するガイドローラを有していてもよい。
図26に示す積層体の作製装置では、押圧ローラ606を用いて、積層体56から被剥離体56aを剥離し、押圧ローラ675を用いて被剥離体56aを支持体671に転置することができる。
以上のように、本実施の形態の剥離方法では、作製基板上に、金属酸化物層と樹脂層とを積層し、光を照射することによって樹脂層の金属酸化物層に対する剥離性を制御する。また、金属酸化物層上に樹脂層が接する部分と、絶縁層が接する部分とを設けることで、所望のタイミングで、作製基板から樹脂層を剥離することができる。したがって、本実施の形態の剥離方法を用いて、高い歩留まりで表示装置等を作製できる。
本実施の形態は、他の実施の形態と適宜組み合わせることができる。また、本明細書において、1つの実施の形態の中に、複数の構成例が示される場合は、構成例を適宜組み合わせることが可能である。
(実施の形態2)
本実施の形態では、本発明の一態様を適用して作製することができる表示装置について図28及び図29を用いて説明する。
本実施の形態の表示装置は、可視光を反射する第1の表示素子と、可視光を発する第2の表示素子とを有する。
本実施の形態の表示装置は、第1の表示素子が反射する光と、第2の表示素子が発する光のうち、いずれか一方、または両方により、画像を表示する機能を有する。
第1の表示素子には、外光を反射して表示する素子を用いることができる。このような素子は光源を持たない(人工光源を使用しない)ため、表示の際の消費電力を極めて小さくすることが可能となる。
第1の表示素子には、代表的には反射型の液晶素子を用いることができる。または、第1の表示素子として、シャッター方式のMEMS(Micro Electro Mechanical System)素子、光干渉方式のMEMS素子の他、マイクロカプセル方式、電気泳動方式、エレクトロウェッティング方式、電子粉流体(登録商標)方式等を適用した素子などを用いることができる。
第2の表示素子には、発光素子を用いることが好ましい。このような表示素子が射出する光は、その輝度や色度が外光に左右されることがないため、色再現性が高く(色域が広く)、コントラストの高い、鮮やかな表示を行うことができる。
第2の表示素子には、例えばOLED(Organic Light Emitting Diode)、LED(Light Emitting Diode)、QLED(Quantum−dot Light Emitting Diode)などの自発光性の発光素子を用いることができる。
本実施の形態の表示装置は、第1の表示素子のみを用いて画像を表示する第1のモード、第2の表示素子のみを用いて画像を表示する第2のモード、並びに、第1の表示素子及び第2の表示素子を用いて画像を表示する第3のモードを有し、これらのモードを自動または手動で切り替えて使用することができる。
第1のモードでは、第1の表示素子と外光を用いて画像を表示する。第1のモードは光源が不要であるため、極めて低消費電力なモードである。例えば、表示装置に外光が十分に入射されるとき(明るい環境下など)は、第1の表示素子が反射した光を用いて表示を行うことができる。例えば、外光が十分に強く、かつ外光が白色光またはその近傍の光である場合に有効である。第1のモードは、文字を表示することに適したモードである。また、第1のモードは、外光を反射した光を用いるため、目に優しい表示を行うことができ、目が疲れにくいという効果を奏する。
第2のモードでは、第2の表示素子による発光を利用して画像を表示する。そのため、照度や外光の色度によらず、極めて鮮やかな(コントラストが高く、且つ色再現性の高い)表示を行うことができる。例えば、夜間や暗い室内など、照度が極めて低い場合などに有効である。また周囲が暗い場合、明るい表示を行うと使用者が眩しく感じてしまう場合がある。これを防ぐために、第2のモードでは輝度を抑えた表示を行うことが好ましい。これにより、眩しさを抑えることに加え、消費電力も低減することができる。第2のモードは、鮮やかな画像(静止画及び動画)などを表示することに適したモードである。
第3のモードでは、第1の表示素子による反射光と、第2の表示素子による発光の両方を利用して表示を行う。第1のモードよりも鮮やかな表示をしつつ、第2のモードよりも消費電力を抑えることができる。例えば、室内照明下や、朝方や夕方の時間帯など、照度が比較的低い場合、外光の色度が白色ではない場合などに有効である。
このような構成とすることで、周囲の明るさによらず、視認性が高く利便性の高い表示装置を実現できる。具体的には、外光下でも、室内でも、視認性が高く利便性の高い表示装置を実現できる。
なお、第3のモードは、ハイブリッド表示方法を用いるモードということができる。
また、本実施の形態の表示装置及び入出力装置は、ハイブリッドディスプレイともいうことができる。
ハイブリッド表示とは、1つのパネルにおいて、反射光と自発光とを併用して、色調または光強度を互いに補完して、文字及び/または画像を表示する方法である。または、ハイブリッド表示とは、同一画素または同一副画素において、複数の表示素子からそれぞれの光を用いて、文字及び/または画像を表示する方法である。ただし、ハイブリッド表示を行っているハイブリッドディスプレイを局所的にみると、複数の表示素子のいずれか一を用いて表示される画素または副画素と、複数の表示素子の二以上を用いて表示される画素または副画素と、を有する場合がある。
なお、本明細書等において、上記構成のいずれか1つまたは複数の表現を満たすものを、ハイブリッド表示という。
また、ハイブリッドディスプレイは、同一画素または同一副画素に複数の表示素子を有する。なお、複数の表示素子としては、例えば、光を反射する反射型素子と、光を射出する自発光素子とが挙げられる。なお、反射型素子と、自発光素子とは、それぞれ独立に制御することができる。ハイブリッドディスプレイは、表示部において、反射光、及び自発光のいずれか一方または双方を用いて、文字及び/または画像を表示する機能を有する。
本実施の形態の表示装置は、第1の表示素子を有する第1の画素と、第2の表示素子を有する第2の画素とをそれぞれ複数有する。第1の画素と第2の画素は、それぞれ、マトリクス状に配置されることが好ましい。
第1の画素及び第2の画素は、それぞれ、1つ以上の副画素を有する構成とすることができる。例えば、画素には、副画素を1つ有する構成(白色(W)など)、副画素を3つ有する構成(赤色(R)、緑色(G)、及び青色(B)の3色、または、黄色(Y)、シアン(C)、及びマゼンタ(M)の3色など)、または、副画素を4つ有する構成(赤色(R)、緑色(G)、青色(B)、白色(W)の4色、または、赤色(R)、緑色(G)、青色(B)、黄色(Y)の4色など)を適用できる。
本実施の形態の表示装置は、第1の画素でフルカラー表示を行い、第2の画素でフルカラー表示を行う構成とすることができる。または、本実施の形態の表示装置は、第1の画素では白黒表示またはグレースケールでの表示を行い、第2の画素ではフルカラー表示を行う構成とすることができる。第1の画素を用いた白黒表示またはグレースケールでの表示は、文書情報など、カラー表示を必要としない情報を表示することに適している。
図28は、表示装置300Aの斜視概略図である。表示装置300Aは、基板351と基板361とが貼り合わされた構成を有する。図28では、基板361を破線で明示している。
表示装置300Aは、表示部362、回路364、配線365等を有する。図28では表示装置300AにIC(集積回路)373及びFPC372が実装されている例を示している。そのため、図28に示す構成は、表示装置300A、IC、及びFPCを有する表示モジュールということもできる。
回路364としては、例えば走査線駆動回路を用いることができる。
配線365は、表示部362及び回路364に信号及び電力を供給する機能を有する。当該信号及び電力は、FPC372を介して外部から、またはIC373から配線365に入力される。
図28では、COG(Chip On Glass)方式またはCOF(Chip on Film)方式等により、基板351にIC373が設けられている例を示す。IC373は、例えば走査線駆動回路または信号線駆動回路などを有するICを適用できる。なお、表示装置300A及び表示モジュールは、ICを設けない構成としてもよい。また、ICを、COF方式等により、FPCに実装してもよい。
図28には、表示部362の一部の拡大図を示している。表示部362には、複数の表示素子が有する電極311bがマトリクス状に配置されている。電極311bは、可視光を反射する機能を有し、液晶素子180の反射電極として機能する。
また、図28に示すように、電極311bは開口451を有する。さらに表示部362は、電極311bよりも基板351側に、発光素子170を有する。発光素子170からの光は、電極311bの開口451を介して基板361側に射出される。発光素子170の発光領域の面積と開口451の面積とは等しくてもよい。発光素子170の発光領域の面積と開口451の面積のうち一方が他方よりも大きいと、位置ずれに対するマージンが大きくなるため好ましい。特に、開口451の面積は、発光素子170の発光領域の面積に比べて大きいことが好ましい。開口451が小さいと、発光素子170からの光の一部が電極311bによって遮られ、外部に取り出せないことがある。開口451を十分に大きくすることで、発光素子170の発光が無駄になることを抑制できる。
図29に、図28で示した表示装置300Aの、FPC372を含む領域の一部、回路364を含む領域の一部、及び表示部362を含む領域の一部をそれぞれ切断したときの断面の一例を示す。
図29に示す表示装置300Aは、基板351と基板361の間に、トランジスタ201、トランジスタ203、トランジスタ205、トランジスタ206、液晶素子180、発光素子170、絶縁層220、着色層131、着色層134等を有する。基板361と絶縁層220は接着層141を介して接着されている。基板351と絶縁層220は接着層142を介して接着されている。
基板361には、着色層131、遮光層132、絶縁層121、及び液晶素子180の共通電極として機能する電極113、配向膜133b、絶縁層117等が設けられている。基板361の外側の面には、偏光板135を有する。絶縁層121は、平坦化層としての機能を有していてもよい。絶縁層121により、電極113の表面を概略平坦にできるため、液晶層112の配向状態を均一にできる。絶縁層117は、液晶素子180のセルギャップを保持するためのスペーサとして機能する。絶縁層117が可視光を透過する場合は、絶縁層117を液晶素子180の表示領域と重ねて配置してもよい。
液晶素子180は反射型の液晶素子である。液晶素子180は、画素電極として機能する電極311a、液晶層112、電極113が積層された積層構造を有する。電極311aの基板351側に接して、可視光を反射する電極311bが設けられている。電極311bは開口451を有する。電極311a及び電極113は可視光を透過する。液晶層112と電極311aの間に配向膜133aが設けられている。液晶層112と電極113の間に配向膜133bが設けられている。
液晶素子180において、電極311bは可視光を反射する機能を有し、電極113は可視光を透過する機能を有する。基板361側から入射した光は、偏光板135により偏光され、電極113、液晶層112を透過し、電極311bで反射する。そして液晶層112及び電極113を再度透過して、偏光板135に達する。このとき、電極311bと電極113の間に与える電圧によって液晶の配向を制御し、光の光学変調を制御することができる。すなわち、偏光板135を介して射出される光の強度を制御することができる。また光は着色層131によって特定の波長領域以外の光が吸収されることにより、取り出される光は、例えば赤色を呈する光となる。
図29に示すように、開口451には可視光を透過する電極311aが設けられていることが好ましい。これにより、開口451と重なる領域においてもそれ以外の領域と同様に液晶層112が配向するため、これらの領域の境界部で液晶の配向不良が生じ、意図しない光が漏れてしまうことを抑制できる。
接続部207において、電極311bは、導電層221bを介して、トランジスタ206が有する導電層222aと電気的に接続されている。トランジスタ206は、液晶素子180の駆動を制御する機能を有する。
接着層141が設けられる一部の領域には、接続部252が設けられている。接続部252において、電極311aと同一の導電膜を加工して得られた導電層と、電極113の一部が、接続体243により電気的に接続されている。したがって、基板361側に形成された電極113に、基板351側に接続されたFPC372から入力される信号または電位を、接続部252を介して供給することができる。
接続体243としては、例えば導電性の粒子を用いることができる。導電性の粒子としては、有機樹脂またはシリカなどの粒子の表面を金属材料で被覆したものを用いることができる。金属材料としてニッケルや金を用いると接触抵抗を低減できるため好ましい。またニッケルをさらに金で被覆するなど、2種類以上の金属材料を層状に被覆させた粒子を用いることが好ましい。また接続体243として、弾性変形、または塑性変形する材料を用いることが好ましい。このとき導電性の粒子である接続体243は、図29に示すように上下方向に潰れた形状となる場合がある。こうすることで、接続体243と、これと電気的に接続する導電層との接触面積が増大し、接触抵抗を低減できるほか、接続不良などの不具合の発生を抑制することができる。
接続体243は、接着層141に覆われるように配置することが好ましい。例えば硬化前の接着層141に、接続体243を分散させておけばよい。
発光素子170は、ボトムエミッション型の発光素子である。発光素子170は、絶縁層220側から画素電極として機能する電極191、EL層192、及び共通電極として機能する電極193の順に積層された積層構造を有する。電極191は、絶縁層214に設けられた開口を介して、トランジスタ205が有する導電層222bと接続されている。トランジスタ205は、発光素子170の駆動を制御する機能を有する。絶縁層216が電極191の端部を覆っている。電極193は可視光を反射する材料を含み、電極191は可視光を透過する材料を含む。電極193を覆って絶縁層194が設けられている。発光素子170が発する光は、着色層134、絶縁層220、開口451、電極311a等を介して、基板361側に射出される。
液晶素子180及び発光素子170は、画素によって着色層の色を変えることで、様々な色を呈することができる。表示装置300Aは、液晶素子180を用いて、カラー表示を行うことができる。表示装置300Aは、発光素子170を用いて、カラー表示を行うことができる。
トランジスタ201、トランジスタ203、トランジスタ205、及びトランジスタ206は、いずれも絶縁層220の基板351側の面上に形成されている。これらのトランジスタは、同一の工程を用いて作製することができる。
液晶素子180と電気的に接続される回路は、発光素子170と電気的に接続される回路と同一面上に形成されることが好ましい。これにより、2つの回路を別々の面上に形成する場合に比べて、表示装置の厚さを薄くすることができる。また、2つのトランジスタを同一の工程で作製できるため、2つのトランジスタを別々の面上に形成する場合に比べて、作製工程を簡略化することができる。
液晶素子180の画素電極は、トランジスタが有するゲート絶縁層を挟んで、発光素子170の画素電極とは反対に位置する。
ここで、チャネル形成領域に金属酸化物を有し、オフ電流が極めて低いトランジスタ206を適用した場合や、トランジスタ206と電気的に接続される記憶素子を適用した場合などでは、液晶素子180を用いて静止画を表示する際に画素への書き込み動作を停止しても、階調を維持させることが可能となる。すなわち、フレームレートを極めて小さくしても表示を保つことができる。本発明の一態様では、フレームレートを極めて小さくでき、消費電力の低い駆動を行うことができる。
トランジスタ203は、画素の選択、非選択状態を制御するトランジスタ(スイッチングトランジスタ、または選択トランジスタともいう)である。トランジスタ205は、発光素子170に流れる電流を制御するトランジスタ(駆動トランジスタともいう)である。
絶縁層220の基板351側には、絶縁層211、絶縁層212、絶縁層213、絶縁層214等の絶縁層が設けられている。絶縁層211は、その一部が各トランジスタのゲート絶縁層として機能する。絶縁層212は、トランジスタ206等を覆って設けられる。絶縁層213は、トランジスタ205等を覆って設けられている。絶縁層214は、平坦化層としての機能を有する。なお、トランジスタを覆う絶縁層の数は限定されず、単層であっても2層以上であってもよい。
各トランジスタを覆う絶縁層の少なくとも一層に、水や水素などの不純物が拡散しにくい材料を用いることが好ましい。これにより、絶縁層をバリア膜として機能させることができる。このような構成とすることで、トランジスタに対して外部から不純物が拡散することを効果的に抑制することが可能となり、信頼性の高い表示装置を実現できる。
トランジスタ201、トランジスタ203、トランジスタ205、及びトランジスタ206は、ゲートとして機能する導電層221a、ゲート絶縁層として機能する絶縁層211、ソース及びドレインとして機能する導電層222a及び導電層222b、並びに、半導体層231を有する。ここでは、同一の導電膜を加工して得られる複数の層に、同じハッチングパターンを付している。
トランジスタ201及びトランジスタ205は、トランジスタ203及びトランジスタ206の構成に加えて、ゲートとして機能する導電層223を有する。
トランジスタ201及びトランジスタ205には、チャネルが形成される半導体層を2つのゲートで挟持する構成が適用されている。このような構成とすることで、トランジスタの閾値電圧を制御することができる。2つのゲートを接続し、これらに同一の信号を供給することによりトランジスタを駆動してもよい。このようなトランジスタは他のトランジスタと比較して電界効果移動度を高めることが可能であり、オン電流を増大させることができる。その結果、高速駆動が可能な回路を作製することができる。さらには、回路部の占有面積を縮小することが可能となる。オン電流の大きなトランジスタを適用することで、表示装置を大型化、または高精細化したときに配線数が増大したとしても、各配線における信号遅延を低減することが可能であり、表示ムラを抑制することができる。
または、2つのゲートのうち、一方に閾値電圧を制御するための電位を与え、他方に駆動のための電位を与えることで、トランジスタの閾値電圧を制御することができる。
表示装置が有するトランジスタの構造に限定はない。回路364が有するトランジスタと、表示部362が有するトランジスタは、同じ構造であってもよく、異なる構造であってもよい。回路364が有する複数のトランジスタは、全て同じ構造であってもよく、2種類以上の構造が組み合わせて用いられていてもよい。同様に、表示部362が有する複数のトランジスタは、全て同じ構造であってもよく、2種類以上の構造が組み合わせて用いられていてもよい。
導電層223には、酸化物を含む導電性材料を用いることが好ましい。導電層223を構成する導電膜の成膜時に、酸素を含む雰囲気下で成膜することで、絶縁層212に酸素を供給することができる。成膜ガス中の酸素ガスの割合を90%以上100%以下の範囲とすることが好ましい。絶縁層212に供給された酸素は、後の熱処理により半導体層231に供給され、半導体層231中の酸素欠損の低減を図ることができる。
特に、導電層223には、低抵抗化された金属酸化物を用いることが好ましい。このとき、絶縁層213に水素を放出する絶縁膜、例えば窒化シリコン膜等を用いることが好ましい。絶縁層213の成膜中、またはその後の熱処理によって導電層223中に水素が供給され、導電層223の電気抵抗を効果的に低減することができる。
絶縁層213に接して着色層134が設けられている。着色層134は、絶縁層214に覆われている。
基板351と基板361とが重ならない領域には、接続部204が設けられている。接続部204では、配線365が接続層242を介してFPC372と電気的に接続されている。接続部204は接続部207と同様の構成を有している。接続部204の上面は、電極311aと同一の導電膜を加工して得られた導電層が露出している。これにより、接続部204とFPC372とを接続層242を介して電気的に接続することができる。
基板361の外側の面に配置する偏光板135として直線偏光板を用いてもよいが、円偏光板を用いることもできる。円偏光板としては、例えば直線偏光板と1/4波長位相差板を積層したものを用いることができる。これにより、外光反射を抑制することができる。また、偏光板の種類に応じて、液晶素子180に用いる液晶素子のセルギャップ、配向、駆動電圧等を調整することで、所望のコントラストが実現されるようにする。
なお、基板361の外側には各種光学部材を配置することができる。光学部材としては、偏光板、位相差板、光拡散層(拡散フィルムなど)、反射防止層、及び集光フィルム等が挙げられる。また、基板361の外側には、ゴミの付着を抑制する帯電防止膜、汚れを付着しにくくする撥水性の膜、使用に伴う傷の発生を抑制するハードコート膜等を配置してもよい。
基板351及び基板361には、それぞれ、ガラス、石英、セラミック、サファイヤ、有機樹脂などを用いることができる。基板351及び基板361に可撓性を有する材料を用いると、表示装置の可撓性を高めることができる。
液晶素子180としては、例えば垂直配向(VA:Vertical Alignment)モードが適用された液晶素子を用いることができる。垂直配向モードとしては、MVA(Multi−Domain Vertical Alignment)モード、PVA(Patterned Vertical Alignment)モード、ASV(Advanced Super View)モードなどを用いることができる。
液晶素子180には、様々なモードが適用された液晶素子を用いることができる。例えばVAモードのほかに、TN(Twisted Nematic)モード、IPS(In−Plane−Switching)モード、FFS(Fringe Field Switching)モード、ASM(Axially Symmetric aligned Micro−cell)モード、OCB(Optically Compensated Birefringence)モード、FLC(Ferroelectric Liquid Crystal)モード、AFLC(AntiFerroelectric Liquid Crystal)モード、STN(Super Twisted Nematic)モード、TBA(Transverse Bend Alignment)モード、ECB(Electrically Controlled Birefringence)モード、ゲストホストモード等が適用された液晶素子を用いることができる。
液晶素子は、液晶の光学的変調作用によって光の透過または非透過を制御する素子である。液晶の光学的変調作用は、液晶にかかる電界(横方向の電界、縦方向の電界または斜め方向の電界を含む)によって制御される。液晶素子に用いる液晶としては、サーモトロピック液晶、低分子液晶、高分子液晶、高分子分散型液晶(PDLC:Polymer Dispersed Liquid Crystal)、高分子ネットワーク型液晶(PNLC:Polymer Network Liquid Crystal)、強誘電性液晶、反強誘電性液晶等を用いることができる。これらの液晶材料は、条件により、コレステリック相、スメクチック相、キュービック相、カイラルネマチック相、等方相等を示す。
液晶材料としては、ポジ型の液晶、またはネガ型の液晶のいずれを用いてもよく、適用するモードや設計に応じて最適な液晶材料を用いることができる。
液晶の配向を制御するため、配向膜を設けることができる。なお、横電界方式を採用する場合、配向膜を用いないブルー相を示す液晶を用いてもよい。ブルー相は液晶相の一つであり、コレステリック液晶を昇温していくと、コレステリック相から等方相へ転移する直前に発現する相である。ブルー相は狭い温度範囲でしか発現しないため、温度範囲を改善するために数重量%以上のカイラル剤を混合させた液晶組成物を液晶に用いる。ブルー相を示す液晶とカイラル剤とを含む液晶組成物は、応答速度が短く、光学的等方性である。また、ブルー相を示す液晶とカイラル剤とを含む液晶組成物は、配向処理が不要であり、視野角依存性が小さい。また配向膜を設けなくてもよいのでラビング処理も不要となるため、ラビング処理によって引き起こされる静電破壊を防止することができ、作製工程中の液晶表示装置の不良や破損を軽減することができる。
反射型の液晶素子を用いる場合には、表示面側に偏光板135を設ける。またこれとは別に、表示面側に光拡散板を配置すると、視認性を向上させられるため好ましい。
偏光板135よりも外側に、フロントライトを設けてもよい。フロントライトとしては、エッジライト型のフロントライトを用いることが好ましい。LEDを備えるフロントライトを用いると、消費電力を低減できるため好ましい。
発光素子、トランジスタ、絶縁層、導電層、接着層、接続層等に用いることができる材料については、それぞれ、実施の形態1の説明を参照できる。
以上のように、本実施の形態の表示装置は、2種類の表示素子を有し、複数の表示モードを切り替えて使用することができるため、周囲の明るさによらず、視認性が高く利便性の高い。
本実施の形態は、他の実施の形態と適宜組み合わせることができる。
(実施の形態3)
本実施の形態では、本発明の一態様で開示されるトランジスタに用いることができる金属酸化物について説明する。以下では特に、金属酸化物とCAC(Cloud−Aligned Composite)−OSの詳細について説明する。
CAC−OSまたはCAC−metal oxideは、材料の一部では導電性の機能と、材料の一部では絶縁性の機能とを有し、材料の全体では半導体としての機能を有する。なお、CAC−OSまたはCAC−metal oxideを、トランジスタのチャネル形成領域に用いる場合、導電性の機能は、キャリアとなる電子(またはホール)を流す機能であり、絶縁性の機能は、キャリアとなる電子を流さない機能である。導電性の機能と、絶縁性の機能とを、それぞれ相補的に作用させることで、スイッチングさせる機能(On/Offさせる機能)をCAC−OSまたはCAC−metal oxideに付与することができる。CAC−OSまたはCAC−metal oxideにおいて、それぞれの機能を分離させることで、双方の機能を最大限に高めることができる。
また、CAC−OSまたはCAC−metal oxideは、導電性領域、及び絶縁性領域を有する。導電性領域は、上述の導電性の機能を有し、絶縁性領域は、上述の絶縁性の機能を有する。また、材料中において、導電性領域と、絶縁性領域とは、ナノ粒子レベルで分離している場合がある。また、導電性領域と、絶縁性領域とは、それぞれ材料中に偏在する場合がある。また、導電性領域は、周辺がぼけてクラウド状に連結して観察される場合がある。
また、CAC−OSまたはCAC−metal oxideにおいて、導電性領域と、絶縁性領域とは、それぞれ0.5nm以上10nm以下、好ましくは0.5nm以上3nm以下のサイズで材料中に分散している場合がある。
また、CAC−OSまたはCAC−metal oxideは、異なるバンドギャップを有する成分により構成される。例えば、CAC−OSまたはCAC−metal oxideは、絶縁性領域に起因するワイドギャップを有する成分と、導電性領域に起因するナローギャップを有する成分と、により構成される。当該構成の場合、キャリアを流す際に、ナローギャップを有する成分において、主にキャリアが流れる。また、ナローギャップを有する成分が、ワイドギャップを有する成分に相補的に作用し、ナローギャップを有する成分に連動してワイドギャップを有する成分にもキャリアが流れる。このため、上記CAC−OSまたはCAC−metal oxideをトランジスタのチャネル形成領域に用いる場合、トランジスタのオン状態において高い電流駆動力、つまり大きなオン電流、及び高い電界効果移動度を得ることができる。
すなわち、CAC−OSまたはCAC−metal oxideは、マトリックス複合材(matrix composite)、または金属マトリックス複合材(metal matrix composite)と呼称することもできる。
CAC−OSは、例えば、金属酸化物を構成する元素が、0.5nm以上10nm以下、好ましくは、1nm以上2nm以下、またはその近傍のサイズで偏在した材料の一構成である。なお、以下では、金属酸化物において、一つあるいはそれ以上の金属元素が偏在し、該金属元素を有する領域が、0.5nm以上10nm以下、好ましくは、1nm以上2nm以下、またはその近傍のサイズで混合した状態をモザイク状、またはパッチ状ともいう。
なお、金属酸化物は、少なくともインジウムを含むことが好ましい。特にインジウム及び亜鉛を含むことが好ましい。また、それらに加えて、アルミニウム、ガリウム、イットリウム、銅、バナジウム、ベリリウム、ホウ素、シリコン、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、またはマグネシウムなどから選ばれた一種、または複数種が含まれていてもよい。
例えば、In−Ga−Zn酸化物におけるCAC−OS(CAC−OSの中でもIn−Ga−Zn酸化物を、特にCAC−IGZOと呼称してもよい。)とは、インジウム酸化物(以下、InOX1(X1は0よりも大きい実数)とする。)、またはインジウム亜鉛酸化物(以下、InX2ZnY2Z2(X2、Y2、及びZ2は0よりも大きい実数)とする。)と、ガリウム酸化物(以下、GaOX3(X3は0よりも大きい実数)とする。)、またはガリウム亜鉛酸化物(以下、GaX4ZnY4Z4(X4、Y4、及びZ4は0よりも大きい実数)とする。)などと、に材料が分離することでモザイク状となり、モザイク状のInOX1、またはInX2ZnY2Z2が、膜中に均一に分布した構成(以下、クラウド状ともいう。)である。
つまり、CAC−OSは、GaOX3が主成分である領域と、InX2ZnY2Z2、またはInOX1が主成分である領域とが、混合している構成を有する複合金属酸化物である。なお、本明細書において、例えば、第1の領域の元素Mに対するInの原子数比が、第2の領域の元素Mに対するInの原子数比よりも大きいことを、第1の領域は、第2の領域と比較して、Inの濃度が高いとする。
なお、IGZOは通称であり、In、Ga、Zn、及びOによる1つの化合物をいう場合がある。代表例として、InGaO(ZnO)m1(m1は自然数)、またはIn(1+x0)Ga(1−x0)(ZnO)m0(−1≦x0≦1、m0は任意数)で表される結晶性の化合物が挙げられる。
上記結晶性の化合物は、単結晶構造、多結晶構造、またはCAAC(c−axis alignedcrystal)構造を有する。なお、CAAC構造とは、複数のIGZOのナノ結晶がc軸配向を有し、かつa−b面においては配向せずに連結した結晶構造である。
一方、CAC−OSは、金属酸化物の材料構成に関する。CAC−OSとは、In、Ga、Zn、及びOを含む材料構成において、一部にGaを主成分とするナノ粒子状に観察される領域と、一部にInを主成分とするナノ粒子状に観察される領域とが、それぞれモザイク状にランダムに分散している構成をいう。従って、CAC−OSにおいて、結晶構造は副次的な要素である。
なお、CAC−OSは、組成の異なる二種類以上の膜の積層構造は含まないものとする。例えば、Inを主成分とする膜と、Gaを主成分とする膜との2層からなる構造は、含まない。
なお、GaOX3が主成分である領域と、InX2ZnY2Z2、またはInOX1が主成分である領域とは、明確な境界が観察できない場合がある。
なお、ガリウムの代わりに、アルミニウム、イットリウム、銅、バナジウム、ベリリウム、ホウ素、シリコン、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、またはマグネシウムなどから選ばれた一種、または複数種が含まれている場合、CAC−OSは、一部に該金属元素を主成分とするナノ粒子状に観察される領域と、一部にInを主成分とするナノ粒子状に観察される領域とが、それぞれモザイク状にランダムに分散している構成をいう。
CAC−OSは、例えば基板を意図的に加熱しない条件で、スパッタリング法により形成することができる。また、CAC−OSをスパッタリング法で形成する場合、成膜ガスとして、不活性ガス(代表的にはアルゴン)、酸素ガス、及び窒素ガスの中から選ばれたいずれか一つまたは複数を用いればよい。また、成膜時の成膜ガスの総流量に対する酸素ガスの流量比は低いほど好ましく、例えば酸素ガスの流量比を0%以上30%未満、好ましくは0%以上10%以下とすることが好ましい。
CAC−OSは、X線回折(XRD:X−ray diffraction)測定法のひとつであるOut−of−plane法によるθ/2θスキャンを用いて測定したときに、明確なピークが観察されないという特徴を有する。すなわち、X線回折から、測定領域のa−b面方向、及びc軸方向の配向は見られないことが分かる。
またCAC−OSは、プローブ径が1nmの電子線(ナノビーム電子線ともいう。)を照射することで得られる電子線回折パターンにおいて、リング状に輝度の高い領域と、該リング領域に複数の輝点が観測される。従って、電子線回折パターンから、CAC−OSの結晶構造が、平面方向、及び断面方向において、配向性を有さないnc(nano−crystal)構造を有することがわかる。
また例えば、In−Ga−Zn酸化物におけるCAC−OSでは、エネルギー分散型X線分光法(EDX:Energy Dispersive X−ray spectroscopy)を用いて取得したEDXマッピングにより、GaOX3が主成分である領域と、InX2ZnY2Z2、またはInOX1が主成分である領域とが、偏在し、混合している構造を有することが確認できる。
CAC−OSは、金属元素が均一に分布したIGZO化合物とは異なる構造であり、IGZO化合物と異なる性質を有する。つまり、CAC−OSは、GaOX3などが主成分である領域と、InX2ZnY2Z2、またはInOX1が主成分である領域と、に互いに相分離し、各元素を主成分とする領域がモザイク状である構造を有する。
ここで、InX2ZnY2Z2、またはInOX1が主成分である領域は、GaOX3などが主成分である領域と比較して、導電性が高い領域である。つまり、InX2ZnY2Z2、またはInOX1が主成分である領域を、キャリアが流れることにより、酸化物半導体としての導電性が発現する。従って、InX2ZnY2Z2、またはInOX1が主成分である領域が、酸化物半導体中にクラウド状に分布することで、高い電界効果移動度(μ)が実現できる。
一方、GaOX3などが主成分である領域は、InX2ZnY2Z2、またはInOX1が主成分である領域と比較して、絶縁性が高い領域である。つまり、GaOX3などが主成分である領域が、酸化物半導体中に分布することで、リーク電流を抑制し、良好なスイッチング動作を実現できる。
従って、CAC−OSを半導体素子に用いた場合、GaOX3などに起因する絶縁性と、InX2ZnY2Z2、またはInOX1に起因する導電性とが、相補的に作用することにより、高いオン電流(Ion)、及び高い電界効果移動度(μ)を実現することができる。
また、CAC−OSを用いた半導体素子は、信頼性が高い。従って、CAC−OSは、ディスプレイをはじめとするさまざまな半導体装置に最適である。
本実施の形態は、他の実施の形態と適宜組み合わせることができる。
(実施の形態4)
本実施の形態では、本発明の一態様の表示モジュール及び電子機器について説明する。
図30(A)に示す表示モジュール8000は、上部カバー8001と下部カバー8002との間に、FPC8005に接続された表示パネル8006、フレーム8009、プリント基板8010、及びバッテリ8011を有する。
例えば、本発明の一態様を用いて作製された表示装置を、表示パネル8006に用いることができる。これにより、高い歩留まりで表示モジュールを作製することができる。
上部カバー8001及び下部カバー8002は、表示パネル8006のサイズに合わせて、形状や寸法を適宜変更することができる。
また、表示パネル8006に重ねてタッチパネルを設けてもよい。タッチパネルとしては、抵抗膜方式または静電容量方式のタッチパネルを表示パネル8006に重畳して用いることができる。また、タッチパネルを設けず、表示パネル8006に、タッチパネル機能を持たせるようにすることも可能である。
フレーム8009は、表示パネル8006の保護機能の他、プリント基板8010の動作により発生する電磁波を遮断するための電磁シールドとしての機能を有する。またフレーム8009は、放熱板としての機能を有していてもよい。
プリント基板8010は、電源回路、ビデオ信号及びクロック信号を出力するための信号処理回路を有する。電源回路に電力を供給する電源としては、外部の商用電源であっても良いし、別途設けたバッテリ8011による電源であってもよい。バッテリ8011は、商用電源を用いる場合には、省略可能である。
また、表示モジュール8000は、偏光板、位相差板、プリズムシートなどの部材を追加して設けてもよい。
図30(B)は、光学式のタッチセンサを備える表示モジュール8000の断面概略図である。
表示モジュール8000は、プリント基板8010に設けられた発光部8015及び受光部8016を有する。また、上部カバー8001と下部カバー8002により囲まれた領域に一対の導光部(導光部8017a、導光部8017b)を有する。
上部カバー8001と下部カバー8002には、例えばプラスチック等を用いることができる。また、上部カバー8001と下部カバー8002とは、それぞれ薄くすることができる。例えば各カバーの厚さを0.5mm以上5mm以下とすることができる。そのため、表示モジュール8000を極めて軽量にすることができる。少ない材料で上部カバー8001と下部カバー8002を作製できるため、作製コストを低減できる。
表示パネル8006は、フレーム8009を間に介してプリント基板8010やバッテリ8011と重ねて設けられている。表示パネル8006とフレーム8009は、導光部8017a、導光部8017bに固定されている。
発光部8015から発せられた光8018は、導光部8017aにより表示パネル8006の上部を経由し、導光部8017bを通って受光部8016に達する。例えば指やスタイラスなどの被検知体により、光8018が遮られることにより、タッチ操作を検出することができる。
発光部8015は、例えば表示パネル8006の隣接する2辺に沿って複数設けられる。受光部8016は、発光部8015と対向する位置に複数設けられる。これにより、タッチ操作がなされた位置の情報を取得することができる。
発光部8015は、例えばLED素子などの光源を用いることができる。特に、発光部8015として、使用者に視認されず、且つ使用者にとって無害である赤外線を発する光源を用いることが好ましい。
受光部8016は、発光部8015が発する光を受光し、電気信号に変換する光電素子を用いることができる。好適には、赤外線を受光可能なフォトダイオードを用いることができる。
導光部8017a、導光部8017bとしては、少なくとも光8018を透過する部材を用いることができる。導光部8017a及び導光部8017bを用いることで、発光部8015と受光部8016とを表示パネル8006の下側に配置することができ、外光が受光部8016に到達してタッチセンサが誤動作することを抑制できる。特に、可視光を吸収し、赤外線を透過する樹脂を用いることが好ましい。これにより、タッチセンサの誤動作をより効果的に抑制できる。
本発明の一態様により、曲面を有し、信頼性の高い電子機器を作製できる。また、本発明の一態様により、可撓性を有し、信頼性の高い電子機器を作製できる。
電子機器としては、例えば、テレビジョン装置、デスクトップ型もしくはノート型のパーソナルコンピュータ、コンピュータ用などのモニタ、デジタルカメラ、デジタルビデオカメラ、デジタルフォトフレーム、携帯電話機、携帯型ゲーム機、携帯情報端末、音響再生装置、パチンコ機などの大型ゲーム機などが挙げられる。
また、本発明の一態様の表示装置は、外光の強さによらず、高い視認性を実現することができる。そのため、携帯型の電子機器、装着型の電子機器(ウェアラブル機器)、及び電子書籍端末などに好適に用いることができる。
図31(A)、(B)に示す携帯情報端末800は、筐体801、筐体802、表示部803、及びヒンジ部805等を有する。
筐体801と筐体802は、ヒンジ部805で連結されている。携帯情報端末800は、折り畳んだ状態(図31(A))から、図31(B)に示すように展開させることができる。これにより、持ち運ぶ際には可搬性に優れ、使用するときには大きな表示領域により、視認性に優れる。
携帯情報端末800には、ヒンジ部805により連結された筐体801と筐体802に亘って、フレキシブルな表示部803が設けられている。
本発明の一態様を用いて作製された表示装置を、表示部803に用いることができる。これにより、高い歩留まりで携帯情報端末を作製することができる。
表示部803は、文書情報、静止画像、及び動画像等のうち少なくとも一つを表示することができる。表示部に文書情報を表示させる場合、携帯情報端末800を電子書籍端末として用いることができる。
携帯情報端末800を展開すると、表示部803が大きく湾曲した形態で保持される。例えば、曲率半径1mm以上50mm以下、好ましくは5mm以上30mm以下に湾曲した部分を含んで、表示部803が保持される。表示部803の一部は、筐体801から筐体802にかけて、連続的に画素が配置され、曲面状の表示を行うことができる。
表示部803は、タッチパネルとして機能し、指やスタイラスなどにより操作することができる。
表示部803は、一つのフレキシブルディスプレイで構成されていることが好ましい。これにより、筐体801と筐体802の間で途切れることのない連続した表示を行うことができる。なお、筐体801と筐体802のそれぞれに、ディスプレイが設けられる構成としてもよい。
ヒンジ部805は、携帯情報端末800を展開したときに、筐体801と筐体802との角度が所定の角度よりも大きい角度にならないように、ロック機構を有することが好ましい。例えば、ロックがかかる(それ以上に開かない)角度は、90度以上180度未満であることが好ましく、代表的には、90度、120度、135度、150度、または175度などとすることができる。これにより、携帯情報端末800の利便性、安全性、及び信頼性を高めることができる。
ヒンジ部805がロック機構を有すると、表示部803に無理な力がかかることなく、表示部803が破損することを防ぐことができる。そのため、信頼性の高い携帯情報端末を実現できる。
筐体801及び筐体802は、電源ボタン、操作ボタン、外部接続ポート、スピーカ、マイク等を有していてもよい。
筐体801または筐体802のいずれか一方には、無線通信モジュールが設けられ、インターネットやLAN(Local Area Network)、Wi−Fi(登録商標)などのコンピュータネットワークを介して、データを送受信することが可能である。
図31(C)に示す携帯情報端末810は、筐体811、表示部812、操作ボタン813、外部接続ポート814、スピーカ815、マイク816、カメラ817等を有する。
本発明の一態様を用いて作製された表示装置を、表示部812に用いることができる。これにより、高い歩留まりで携帯情報端末を作製することができる。
携帯情報端末810は、表示部812にタッチセンサを備える。電話を掛ける、或いは文字を入力するなどのあらゆる操作は、指やスタイラスなどで表示部812に触れることで行うことができる。
また、操作ボタン813の操作により、電源のON、OFF動作や、表示部812に表示される画像の種類の切り替えを行うことができる。例えば、メール作成画面から、メインメニュー画面に切り替えることができる。
また、携帯情報端末810の内部に、ジャイロセンサまたは加速度センサ等の検出装置を設けることで、携帯情報端末810の向き(縦か横か)を判断して、表示部812の画面表示の向きを自動的に切り替えることができる。また、画面表示の向きの切り替えは、表示部812に触れること、操作ボタン813の操作、またはマイク816を用いた音声入力等により行うこともできる。
携帯情報端末810は、例えば、電話機、手帳または情報閲覧装置等から選ばれた一つまたは複数の機能を有する。具体的には、スマートフォンとして用いることができる。携帯情報端末810は、例えば、移動電話、電子メール、文章閲覧及び作成、音楽再生、動画再生、インターネット通信、ゲームなどの種々のアプリケーションを実行することができる。
図31(D)に示すカメラ820は、筐体821、表示部822、操作ボタン823、シャッターボタン824等を有する。またカメラ820には、着脱可能なレンズ826が取り付けられている。
本発明の一態様を用いて作製された表示装置を、表示部822に用いることができる。これにより、高い歩留まりでカメラを作製することができる。
ここではカメラ820を、レンズ826を筐体821から取り外して交換することが可能な構成としたが、レンズ826と筐体821とが一体となっていてもよい。
カメラ820は、シャッターボタン824を押すことにより、静止画、または動画を撮像することができる。また、表示部822はタッチパネルとしての機能を有し、表示部822をタッチすることにより撮像することも可能である。
なお、カメラ820は、ストロボ装置や、ビューファインダーなどを別途装着することができる。または、これらが筐体821に組み込まれていてもよい。
図32(A)~(E)は、電子機器を示す図である。これらの電子機器は、筐体9000、表示部9001、スピーカ9003、操作キー9005(電源スイッチ、または操作スイッチを含む)、接続端子9006、センサ9007(力、変位、位置、速度、加速度、角速度、回転数、距離、光、液、磁気、温度、化学物質、音声、時間、硬度、電場、電流、電圧、電力、放射線、流量、湿度、傾度、振動、においまたは赤外線を測定する機能を含むもの)、マイクロフォン9008等を有する。
本発明の一態様を用いて作製された表示装置を、表示部9001に好適に用いることができる。これにより、高い歩留まりで電子機器を作製することができる。
図32(A)~(E)に示す電子機器は、様々な機能を有することができる。例えば、様々な情報(静止画、動画、テキスト画像など)を表示部に表示する機能、タッチパネル機能、カレンダー、日付または時刻などを表示する機能、様々なソフトウェア(プログラム)によって処理を制御する機能、無線通信機能、無線通信機能を用いて様々なコンピュータネットワークに接続する機能、無線通信機能を用いて様々なデータの送信または受信を行う機能、記録媒体に記録されているプログラムまたはデータを読み出して表示部に表示する機能、等を有することができる。なお、図32(A)~(E)に示す電子機器が有する機能はこれらに限定されず、その他の機能を有していてもよい。
図32(A)は腕時計型の携帯情報端末9200を、図32(B)は腕時計型の携帯情報端末9201を、それぞれ示す斜視図である。
図32(A)に示す携帯情報端末9200は、移動電話、電子メール、文章閲覧及び作成、音楽再生、インターネット通信、コンピュータゲームなどの種々のアプリケーションを実行することができる。また、表示部9001はその表示面が湾曲して設けられ、湾曲した表示面に沿って表示を行うことができる。また、携帯情報端末9200は、通信規格された近距離無線通信を実行することが可能である。例えば無線通信可能なヘッドセットと相互通信することによって、ハンズフリーで通話することもできる。また、携帯情報端末9200は、接続端子9006を有し、他の情報端末とコネクターを介して直接データのやりとりを行うことができる。また接続端子9006を介して充電を行うこともできる。なお、充電動作は接続端子9006を介さずに無線給電により行ってもよい。
図32(B)に示す携帯情報端末9201は、図32(A)に示す携帯情報端末と異なり、表示部9001の表示面が湾曲していない。また、携帯情報端末9201の表示部の外形が非矩形状(図32(B)においては円形状)である。
図32(C)~(E)は、折り畳み可能な携帯情報端末9202を示す斜視図である。なお、図32(C)が携帯情報端末9202を展開した状態の斜視図であり、図32(D)が携帯情報端末9202を展開した状態または折り畳んだ状態の一方から他方に変化する途中の状態の斜視図であり、図32(E)が携帯情報端末9202を折り畳んだ状態の斜視図である。
携帯情報端末9202は、折り畳んだ状態では可搬性に優れ、展開した状態では、継ぎ目のない広い表示領域により表示の一覧性に優れる。携帯情報端末9202が有する表示部9001は、ヒンジ9055によって連結された3つの筐体9000に支持されている。ヒンジ9055を介して2つの筐体9000間を屈曲させることにより、携帯情報端末9202を展開した状態から折りたたんだ状態に可逆的に変形させることができる。例えば、携帯情報端末9202は、曲率半径1mm以上150mm以下で曲げることができる。
本実施の形態は、他の実施の形態と適宜組み合わせることができる。
本実施例では、作製基板から樹脂層を剥離した結果について説明する。
図5及び図6を用いて、本実施例の試料の作製方法について説明する。
まず、作製基板14上に、金属酸化物層20を形成した(図5(A1))。
作製基板14には、厚さ約0.7mmのガラス基板を用いた。金属酸化物層20として、酸化チタン膜を形成した。具体的には、まず、スパッタリング法を用いて、厚さ約5nmのチタン膜を成膜した。その後、窒素ガスと酸素ガスの混合ガス(580NL/min、酸素濃度20%)を流しながら、450℃で1時間のベークを行うことで、酸化チタン膜を形成した。
次に、金属酸化物層20上に、第1の層24を形成した(図5(B))。第1の層24は、非感光性の可溶性ポリイミド樹脂を含む材料を用いて形成した。当該材料を塗布した際の膜厚は約2.0μmであった。
次に、第1の層24に加熱処理を行うことで、樹脂層23を形成した(図5(C))。加熱処理としては、N雰囲気下、350℃で1時間のベークを行った。
そして、樹脂層23にUV剥離テープを貼り付けた(図5(D)の接着層75b及び基板75aに相当)。
本実施例の試料について、作製基板14側からレーザ光を照射した(図6(A))。レーザ光は上面視において試料の全面に照射した。なお、照射時には試料の外周部に遮光用のマスク(図示しない)を設けた。
レーザ光のレーザ発振器として、波長308nmのXeClエキシマレーザを用いた。ビーム短軸集光幅は625μm、エネルギー密度は約440mJ/cmとした。なお、試料を、レーザ光の照射条件が異なる4つの領域に分けた。4つの領域のショット数は、それぞれ10ショット、20ショット、30ショット、40ショットとした。繰り返し周波数は60Hzとした。スキャン速度はショット数によって異なる。10ショットの領域は3.75mm/秒、20ショットの領域は1.90mm/秒、30ショットの領域は1.25mm/秒、40ショットの領域は0.93mm/秒とした。
作製基板14と金属酸化物層20の積層構造における、波長308nmの光の吸収率は、約75%であり、透過率は約13%であった。このことから、金属酸化物層20と樹脂層23の界面、金属酸化物層20中、及び樹脂層23中のいずれにも、レーザ光が照射されたと考えられる。
レーザ光の照射後、試料の基板75a側から、上記外周部より内側にカッターで切れ目を入れることで、試料から作製基板14を剥離した(図6(B1))。
図33に示すように、ショット数が10ショットから40ショットのいずれの領域においても、作製基板14から基板75aを剥離することができた。
図34に、ショット数が10ショットの条件の試料の断面STEM(Scanning Transmission Electron Microscopy)観察を行った結果を示す。
図34(A)に、剥離前の試料の断面STEM写真を示す。金属酸化物層20の厚さは、約14nmであった。図34(B)に剥離した基板75a側の断面STEM写真を示す。樹脂層23と観察用に形成したコート層との間に金属酸化物層20は観察されなかった。また、エネルギー分散型X線分光法(EDX:Energy Dispersive X−ray spectroscopy)を用いた分析では、樹脂層23側にチタンが検出されなかった。図34(C)に剥離した作製基板14側の断面STEM写真を示す。金属酸化物層20の厚さは、約11nmであった。以上の結果から、金属酸化物層20と樹脂層23との界面で分離させることができたと考えられる。
本実施例の結果より、本発明の一態様の剥離方法によって、金属酸化物層20と樹脂層23との間を界面として作製基板14を剥離できることが確認できた。作製基板14と金属酸化物層20の積層体を、本発明の一態様の基板の洗浄方法を用いて洗浄することができる。これにより、作製基板14単体または作製基板14と金属酸化物層20の積層体の再利用を図ることができる。
さらに、エネルギー密度が約306mJ/cmの条件、約324mJ/cmの条件、約342mJ/cmの条件、及び約360mJ/cmの条件であっても、金属酸化物層20と樹脂層23との間を界面として作製基板14を剥離できることが確認できた(ショット数はそれぞれ10ショット)。このことから、レーザ結晶化の工程で用いる条件よりも低いエネルギー密度で処理が可能であることがわかった。このことから、レーザ装置での処理可能な基板枚数を増やすことができる。また、レーザ装置の長期使用が可能になり、レーザ装置のランニングコストが低減できる。
14  作製基板
16  領域
17  領域
18  異物
19  金属層
20  金属酸化物層
21  液体供給機構
23  樹脂層
24  第1の層
25  被剥離層
26  線状ビーム
27  加工領域
50  酸素プラズマ
55  レーザ光
75a  基板
75b  接着層
150  マルチチャンバー設備
151  アッシング装置
152  搬送室
153  ロードロック室
154  カセットポート
155  基板供給室
160  インライン設備
161  前処理部
161a  ローダ部
161b  前処理室
162  処理室
163  処理室
164  処理室
165  後処理部
165a  後処理室
165b  アンローダ部
170  発光素子
171  真空チャンバー
172  ICPコイル
173  ガス流路
174  高周波電源
175  基板ステージ
176  被処理基板
177  高周波電源
178  自動圧力制御弁
179a  ターボ分子ポンプ
179b  ドライポンプ

Claims (16)

  1.  ガラス基板上に第1の材料層を形成する工程、
     前記第1の材料層上に第2の材料層を形成する工程、
     前記第2の材料層上に第1の被剥離層を形成する工程、
     前記第1の材料層と前記第2の材料層を用いて、前記ガラス基板と前記第1の被剥離層とを分離する工程、及び、
     前記ガラス基板に残存した前記第1の材料層の少なくとも一部を除去する工程を有し、
     前記第1の材料層は、金属及び金属酸化物のうち一方または双方を有し、
     前記第2の材料層は、樹脂及び樹脂の分解物のうち一方または双方を有する、半導体装置の作製方法。
  2.  請求項1において、
     前記第1の材料層の少なくとも一部を除去する工程の後に、
     前記ガラス基板上に、第3の材料層を形成する工程、
     前記第3の材料層上に、第4の材料層を形成する工程、
     前記第4の材料層上に、第2の被剥離層を形成する工程、及び、
     前記第3の材料層と前記第4の材料層を用いて、前記ガラス基板と前記第2の被剥離層とを分離する工程を有し、
     前記第3の材料層は、金属及び金属酸化物のうち一方または双方を有し、
     前記第4の材料層は、樹脂及び樹脂の分解物のうち一方または双方を有する、半導体装置の作製方法。
  3.  請求項1において、
     前記第1の材料層の少なくとも一部を除去する工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われる、半導体装置の作製方法。
  4.  ガラス基板上に第1の材料層を形成する工程、
     前記第1の材料層上に第2の材料層を形成する工程、
     前記第2の材料層上に第1の被剥離層を形成する工程、
     前記第1の材料層と前記第2の材料層を用いて、前記ガラス基板と前記第1の被剥離層とを分離する工程、及び、
     前記ガラス基板に残存した前記第2の材料層の少なくとも一部を除去し、前記第1の材料層を露出させる工程を有し、
     前記第1の材料層は、金属及び金属酸化物のうち一方または双方を有し、
     前記第2の材料層は、樹脂を有する、半導体装置の作製方法。
  5.  請求項4において、
     前記第1の材料層を露出させる工程の後に、
     前記第1の材料層上に、第3の材料層を形成する工程、
     前記第3の材料層上に、第2の被剥離層を形成する工程、及び、
     前記第1の材料層と前記第3の材料層を用いて、前記ガラス基板と前記第2の被剥離層とを分離する工程を有し、
     前記第3の材料層は、樹脂を有する、半導体装置の作製方法。
  6.  請求項4または5において、
     前記第1の材料層を露出させる工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われる、半導体装置の作製方法。
  7.  請求項4または5において、
     前記第1の材料層と前記ガラス基板とは、共通の金属を有する、半導体装置の作製方法。
  8.  一方の面に第1の材料及び第2の材料を有するガラス基板を準備する工程、
     前記第2の材料の少なくとも一部を除去し、前記第1の材料を露出させる工程、
     露出した前記第1の材料上に第3の材料を形成する工程、
     前記第1の材料と前記第3の材料とが積層された状態で加熱される工程、及び、
     前記第1の材料と前記第3の材料とを分離する工程を有し、
     前記第1の材料は、金属及び金属酸化物のうち一方または双方を有し、
     前記第1の材料は、水素と酸素のうち一方または双方を含むガスを有し、
     前記第2の材料及び前記第3の材料は、それぞれ、樹脂を有し、
     前記加熱される工程では、前記第1の材料と前記第3の材料との界面または界面近傍に水が析出し、
     前記分離する工程では、前記界面または前記界面近傍に存在する水に光が照射されることにより、前記第1の材料と前記第3の材料とが分離する、半導体装置の作製方法。
  9.  請求項8において、
     前記第1の材料を露出させる工程の後に、露出した前記第1の材料上に第4の材料を形成する工程を有し、
     前記第3の材料を形成する工程では、前記第4の材料上に、前記第3の材料を形成し、
     前記第4の材料は、前記第1の材料と共通の金属を有する、半導体装置の作製方法。
  10.  請求項8において、
     前記第1の材料を露出させる工程では、ウエットエッチング、ドライエッチング、アッシング、洗浄、及び研磨のうち一つ以上が行われる、半導体装置の作製方法。
  11.  請求項8において、
     前記光は、波長領域が180nm以上450nm以下を有するように照射される、半導体装置の作製方法。
  12.  請求項8において、
     前記光は、レーザ装置を用いて照射される、半導体装置の作製方法。
  13.  請求項8において、
     前記光は、250mJ/cm以上360mJ/cm以下のエネルギー密度で照射される、半導体装置の作製方法。
  14.  請求項8において、
     前記第1の材料は、チタン及び酸化チタンのうち一方または双方を有する、半導体装置の作製方法。
  15.  一方の面に、第1の材料及びと、前記第1の材料上の第2の材料とを有するガラス基板であり、
     前記第1の材料は、金属及び金属酸化物のうち一方または双方を有し、
     前記第2の材料は、樹脂を有する、ガラス基板。
  16.  請求項15において、
     前記第1の材料は、チタン及び酸化チタンのうち一方または双方を有し、
     前記第2の材料は、構造式(100)で表される化合物の残基を有する、ガラス基板。
    Figure JPOXMLDOC01-appb-C000001
PCT/IB2017/055991 2016-10-07 2017-09-29 ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板 WO2018065861A1 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
CN202311265408.7A CN117279467A (zh) 2016-10-07 2017-09-29 玻璃衬底的清洗方法、半导体装置的制造方法及玻璃衬底
CN201780056499.XA CN109690734B (zh) 2016-10-07 2017-09-29 玻璃衬底的清洗方法、半导体装置的制造方法及玻璃衬底
KR1020237022854A KR20230107411A (ko) 2016-10-07 2017-09-29 유리 기판의 세정 방법, 반도체 장치의 제작 방법,및 유리 기판
KR1020237010273A KR102554691B1 (ko) 2016-10-07 2017-09-29 유리 기판의 세정 방법, 반도체 장치의 제작 방법, 및 유리 기판
US16/332,546 US11637009B2 (en) 2016-10-07 2017-09-29 Cleaning method of glass substrate, manufacturing method of semiconductor device, and glass substrate
KR1020197008873A KR102515871B1 (ko) 2016-10-07 2017-09-29 유리 기판의 세정 방법, 반도체 장치의 제작 방법, 및 유리 기판
JP2018503550A JP7143210B2 (ja) 2016-10-07 2017-09-29 半導体装置の作製方法
JP2022146032A JP7438300B2 (ja) 2016-10-07 2022-09-14 積層体
US18/137,553 US20230260778A1 (en) 2016-10-07 2023-04-21 Cleaning method of glass substrate, manufacturing method of semiconductor device, and glass substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-198925 2016-10-07
JP2016198925 2016-10-07

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/332,546 A-371-Of-International US11637009B2 (en) 2016-10-07 2017-09-29 Cleaning method of glass substrate, manufacturing method of semiconductor device, and glass substrate
US18/137,553 Continuation US20230260778A1 (en) 2016-10-07 2023-04-21 Cleaning method of glass substrate, manufacturing method of semiconductor device, and glass substrate

Publications (1)

Publication Number Publication Date
WO2018065861A1 true WO2018065861A1 (ja) 2018-04-12

Family

ID=61831332

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2017/055991 WO2018065861A1 (ja) 2016-10-07 2017-09-29 ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板

Country Status (5)

Country Link
US (2) US11637009B2 (ja)
JP (6) JP7143210B2 (ja)
KR (3) KR20230107411A (ja)
CN (2) CN117279467A (ja)
WO (1) WO2018065861A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020017314A1 (ja) * 2018-07-19 2020-01-23 ボンドテック株式会社 基板接合装置
JP2021034693A (ja) * 2019-08-29 2021-03-01 株式会社ブイ・テクノロジー レーザアニール装置および結晶化膜の形成方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018065861A1 (ja) * 2016-10-07 2018-04-12 株式会社半導体エネルギー研究所 ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板
US11587474B2 (en) * 2019-07-24 2023-02-21 Au Optronics Corporation Flexible device array substrate and manufacturing method of flexible device array substrate
JP6915191B1 (ja) * 2021-01-21 2021-08-04 信越エンジニアリング株式会社 ワーク分離装置及びワーク分離方法
CN113458609A (zh) * 2021-05-27 2021-10-01 上海传芯半导体有限公司 再生掩模透光基板的处理方法及掩模基版的制造方法
US11908723B2 (en) * 2021-12-03 2024-02-20 International Business Machines Corporation Silicon handler with laser-release layers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016086158A (ja) * 2014-10-22 2016-05-19 セントラル硝子株式会社 ウエハ加工用積層体、ウエハ加工用仮接着材および薄型ウエハの製造方法
JP2016115930A (ja) * 2014-12-11 2016-06-23 パナソニックIpマネジメント株式会社 電子素子の製造方法、可撓性基板の製造方法、積層基板および電子素子

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100514417B1 (ko) * 1995-12-26 2005-12-20 세이코 엡슨 가부시키가이샤 액티브매트릭스기판,액티브매트릭스기판제조방법,액정표시장치및전자기기
EP1758169A3 (en) 1996-08-27 2007-05-23 Seiko Epson Corporation Exfoliating method, transferring method of thin film device, and thin film device, thin film integrated circuit device, and liquid crystal display device produced by the same
US6127199A (en) 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
USRE38466E1 (en) 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
JP3738799B2 (ja) 1996-11-22 2006-01-25 セイコーエプソン株式会社 アクティブマトリクス基板の製造方法,アクティブマトリクス基板および液晶表示装置
JP4954359B2 (ja) * 1999-02-12 2012-06-13 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2001230419A (ja) 2000-02-15 2001-08-24 Hitachi Ltd 液晶表示装置の製造方法及び製造装置及び液晶表示装置
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6734463B2 (en) 2001-05-23 2004-05-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a window
JP4027740B2 (ja) 2001-07-16 2007-12-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW554398B (en) 2001-08-10 2003-09-21 Semiconductor Energy Lab Method of peeling off and method of manufacturing semiconductor device
JP4472238B2 (ja) 2001-08-10 2010-06-02 株式会社半導体エネルギー研究所 剥離方法および半導体装置の作製方法
JP2003098977A (ja) 2001-09-19 2003-04-04 Sony Corp 素子の転写方法、素子の配列方法、及び画像表示装置の製造方法
JP4332310B2 (ja) 2001-09-21 2009-09-16 大日本印刷株式会社 酸化チタン層の製造方法、この方法により製造された酸化チタン層、及び酸化チタンを用いた反射防止フィルム
JP4704633B2 (ja) * 2001-09-27 2011-06-15 株式会社デンソー パターン形成方法および金属膜パターニング用粘着シート
JP2004349543A (ja) * 2003-05-23 2004-12-09 Seiko Epson Corp 積層体の剥離方法、薄膜装置の製造法、薄膜装置、電子機器
JP3751972B2 (ja) 2003-12-02 2006-03-08 有限会社ボンドテック 接合方法及びこの方法により作成されるデバイス並びに表面活性化装置及びこの装置を備えた接合装置
JP2005171373A (ja) 2003-12-15 2005-06-30 Sekisui Chem Co Ltd 金属酸化薄膜の形成方法及び反射防止フィルム
US7315047B2 (en) 2004-01-26 2008-01-01 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device
US20060012742A1 (en) 2004-07-16 2006-01-19 Yaw-Ming Tsai Driving device for active matrix organic light emitting diode display and manufacturing method thereof
US7364954B2 (en) 2005-04-28 2008-04-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP5052033B2 (ja) 2005-04-28 2012-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7485511B2 (en) 2005-06-01 2009-02-03 Semiconductor Energy Laboratory Co., Ltd. Integrated circuit device and method for manufacturing integrated circuit device
JP4916680B2 (ja) 2005-06-30 2012-04-18 株式会社半導体エネルギー研究所 半導体装置の作製方法、剥離方法
JP2007098677A (ja) 2005-09-30 2007-04-19 Dainippon Printing Co Ltd ガスバリアフィルム
US8173519B2 (en) 2006-03-03 2012-05-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP5288581B2 (ja) 2006-03-03 2013-09-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI611565B (zh) * 2006-09-29 2018-01-11 半導體能源研究所股份有限公司 半導體裝置的製造方法
WO2008044473A1 (fr) 2006-10-12 2008-04-17 Konica Minolta Holdings, Inc. Procédé de formation de film transparent électroconducteur et substrat de film transparent électroconducteur
US7635617B2 (en) * 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
JP5150138B2 (ja) 2007-05-23 2013-02-20 株式会社ジャパンディスプレイイースト 表示装置の製造方法
JP5309672B2 (ja) 2008-04-21 2013-10-09 カシオ計算機株式会社 薄膜素子およびその製造方法
KR101667909B1 (ko) 2008-10-24 2016-10-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법
KR101702329B1 (ko) 2008-12-17 2017-02-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광 장치 및 전자 기기
TWI439976B (zh) 2009-04-17 2014-06-01 Ind Tech Res Inst 可撓曲膜自載板上脫離的方法及可撓式電子裝置的製造方法
WO2011007675A1 (en) 2009-07-17 2011-01-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
TWI634642B (zh) 2009-08-07 2018-09-01 半導體能源研究所股份有限公司 半導體裝置和其製造方法
TWI700810B (zh) 2009-08-07 2020-08-01 日商半導體能源研究所股份有限公司 半導體裝置和其製造方法
KR101149433B1 (ko) * 2009-08-28 2012-05-22 삼성모바일디스플레이주식회사 플렉서블 표시 장치 및 그 제조 방법
JP5673546B2 (ja) 2009-09-30 2015-02-18 大日本印刷株式会社 熱伝導性封止部材およびエレクトロルミネッセンス素子
JP2011248072A (ja) 2010-05-26 2011-12-08 Hitachi Displays Ltd 画像表示装置の製造方法
JP5434800B2 (ja) 2010-06-01 2014-03-05 東京エレクトロン株式会社 疎水化処理方法及び疎水化処理装置
US8507322B2 (en) 2010-06-24 2013-08-13 Akihiro Chida Semiconductor substrate and method for manufacturing semiconductor device
JP5636867B2 (ja) 2010-10-19 2014-12-10 富士通株式会社 半導体装置及び半導体装置の製造方法
WO2012060199A1 (ja) 2010-11-05 2012-05-10 旭硝子株式会社 積層体、支持板付き表示装置用パネル、表示装置用パネル、および表示装置
JP2012104569A (ja) 2010-11-08 2012-05-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
WO2013005254A1 (ja) 2011-07-06 2013-01-10 パナソニック株式会社 フレキシブルデバイスの製造方法及びフレキシブルデバイス
US8579126B1 (en) * 2011-08-31 2013-11-12 Lars I. Cole Fruit display stand
JP2013069769A (ja) * 2011-09-21 2013-04-18 Ulvac Japan Ltd Tft基板の製造方法およびレーザーアニール装置
JP5957994B2 (ja) 2012-03-16 2016-07-27 富士通株式会社 半導体装置の製造方法
KR102034762B1 (ko) 2012-06-20 2019-10-21 도요보 가부시키가이샤 적층체의 제조방법, 적층체, 이 적층체를 이용한 디바이스 부가 적층체의 제조방법, 및 디바이스 부가 적층체
WO2014073591A1 (ja) 2012-11-08 2014-05-15 旭化成イーマテリアルズ株式会社 フレキシブルデバイス用基板、フレキシブルデバイス及びその製造方法、積層体及びその製造方法、並びに、樹脂組成物
KR102047922B1 (ko) 2013-02-07 2019-11-25 삼성디스플레이 주식회사 플렉서블 기판, 플렉서블 기판의 제조 방법, 플렉서블 표시 장치, 및 플렉서블 표시 장치 제조 방법
WO2014129519A1 (en) 2013-02-20 2014-08-28 Semiconductor Energy Laboratory Co., Ltd. Peeling method, semiconductor device, and peeling apparatus
JP2015052101A (ja) 2013-08-06 2015-03-19 東京応化工業株式会社 膜形成用材料
TWI777433B (zh) * 2013-09-06 2022-09-11 日商半導體能源研究所股份有限公司 發光裝置以及發光裝置的製造方法
JP6320713B2 (ja) 2013-10-03 2018-05-09 株式会社ジャパンディスプレイ 表示装置及びその製造方法
CN110676395A (zh) * 2013-12-02 2020-01-10 株式会社半导体能源研究所 显示装置及其制造方法
WO2015087192A1 (en) 2013-12-12 2015-06-18 Semiconductor Energy Laboratory Co., Ltd. Peeling method and peeling apparatus
JP6468686B2 (ja) 2014-04-25 2019-02-13 株式会社半導体エネルギー研究所 入出力装置
JP6354338B2 (ja) 2014-05-30 2018-07-11 東レ株式会社 積層体、積層体の製造方法、及びこれを用いたフレキシブルデバイスの製造方法
JP6446248B2 (ja) * 2014-12-03 2018-12-26 東京応化工業株式会社 積層体の製造方法、基板の処理方法及び積層体
DE112016001023T5 (de) 2015-03-04 2017-11-30 Mtec Corporation Verfahren zur Herstellung eines Halbleitersubstrats und Halbleitersubstrat
WO2016151429A1 (en) 2015-03-23 2016-09-29 Semiconductor Energy Laboratory Co., Ltd. Display panel and information processing device
KR102494418B1 (ko) 2015-04-13 2023-01-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 패널, 데이터 처리 장치, 및 표시 패널의 제조방법
KR102340066B1 (ko) 2016-04-07 2021-12-15 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박리 방법 및 플렉시블 디바이스의 제작 방법
KR102378976B1 (ko) 2016-05-18 2022-03-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 박리 방법, 표시 장치, 모듈, 및 전자 기기
CN109564851A (zh) * 2016-08-31 2019-04-02 株式会社半导体能源研究所 半导体装置的制造方法
WO2018065861A1 (ja) * 2016-10-07 2018-04-12 株式会社半導体エネルギー研究所 ガラス基板の洗浄方法、半導体装置の作製方法、及びガラス基板
US11177373B2 (en) 2016-11-03 2021-11-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016086158A (ja) * 2014-10-22 2016-05-19 セントラル硝子株式会社 ウエハ加工用積層体、ウエハ加工用仮接着材および薄型ウエハの製造方法
JP2016115930A (ja) * 2014-12-11 2016-06-23 パナソニックIpマネジメント株式会社 電子素子の製造方法、可撓性基板の製造方法、積層基板および電子素子

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020017314A1 (ja) * 2018-07-19 2020-01-23 ボンドテック株式会社 基板接合装置
JPWO2020017314A1 (ja) * 2018-07-19 2020-12-17 ボンドテック株式会社 基板接合装置
JP2021034693A (ja) * 2019-08-29 2021-03-01 株式会社ブイ・テクノロジー レーザアニール装置および結晶化膜の形成方法
WO2021039365A1 (ja) * 2019-08-29 2021-03-04 株式会社ブイ・テクノロジー レーザアニール装置および結晶化膜の形成方法

Also Published As

Publication number Publication date
KR20230107411A (ko) 2023-07-14
CN109690734B (zh) 2023-10-24
JP6626142B2 (ja) 2019-12-25
KR20230044561A (ko) 2023-04-04
JP6853401B2 (ja) 2021-03-31
US20230260778A1 (en) 2023-08-17
JP2022174228A (ja) 2022-11-22
JP2020145449A (ja) 2020-09-10
US11637009B2 (en) 2023-04-25
US20210090879A1 (en) 2021-03-25
JP7143210B2 (ja) 2022-09-28
KR102554691B1 (ko) 2023-07-11
JP2019117918A (ja) 2019-07-18
KR20190057067A (ko) 2019-05-27
JP6626143B2 (ja) 2019-12-25
CN109690734A (zh) 2019-04-26
JP2020145448A (ja) 2020-09-10
KR102515871B1 (ko) 2023-03-29
JPWO2018065861A1 (ja) 2019-07-18
CN117279467A (zh) 2023-12-22
JP2019117917A (ja) 2019-07-18
JP7438300B2 (ja) 2024-02-26
JP6823745B2 (ja) 2021-02-03

Similar Documents

Publication Publication Date Title
JP6945392B2 (ja) 半導体装置の作製方法
JP7438300B2 (ja) 積層体
JP7181370B2 (ja) 半導体装置の作製方法
JP7030475B2 (ja) 半導体装置の作製方法
JP7005246B2 (ja) 半導体装置の作製方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 2018503550

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17857922

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20197008873

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17857922

Country of ref document: EP

Kind code of ref document: A1