WO2013166632A1 - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
WO2013166632A1
WO2013166632A1 PCT/CN2012/000913 CN2012000913W WO2013166632A1 WO 2013166632 A1 WO2013166632 A1 WO 2013166632A1 CN 2012000913 W CN2012000913 W CN 2012000913W WO 2013166632 A1 WO2013166632 A1 WO 2013166632A1
Authority
WO
WIPO (PCT)
Prior art keywords
gate
gate spacer
source
stack structure
spacer
Prior art date
Application number
PCT/CN2012/000913
Other languages
English (en)
French (fr)
Inventor
尹海洲
张珂珂
Original Assignee
中国科学院微电子研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 中国科学院微电子研究所 filed Critical 中国科学院微电子研究所
Priority to US13/698,284 priority Critical patent/US20130299920A1/en
Publication of WO2013166632A1 publication Critical patent/WO2013166632A1/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention relates to a semiconductor device and a method of fabricating the same, and, in particular, to a semiconductor device capable of effectively reducing parasitic capacitance of a gate spacer and a method of fabricating the same.
  • the pn junction capacitance and the capping capacitance there are at least two parasitic capacitances in the MOSFET - the pn junction capacitance and the capping capacitance, the former being the parasitic pn junction capacitance formed between the source and drain regions and the substrate, the latter being due to local coverage between the gate and the source and drain.
  • the parasitic capacitance formed which are distributed along the vertical substrate surface, seriously affects the electrical performance of the device.
  • the overlay capacitance is increasingly reduced due to control over the area of the footprint.
  • the pn junction capacitance of the substrate is effectively controlled using substrate isolation techniques such as SOI.
  • the gate spacers are made of silicon nitride with a large dielectric constant, providing good isolation, but this results in a large sidewall capacitance.
  • the present invention provides a semiconductor device including a substrate, a gate stack structure on the substrate, a gate spacer structure on both sides of the gate stack structure, a gate stack structure, and both sides of the gate sidewall structure
  • the source and drain regions in the substrate are characterized in that: the gate spacer structure includes at least one gate spacer void filled by air.
  • the gate spacer structure includes first and third gate spacers made of silicon nitride and silicon oxynitride, and at least one filled between the first and third gate spacers is filled with air.
  • the gate side wall gap is not limited to.
  • the source and drain regions include lightly doped source and drain extension regions and heavily doped source and drain regions.
  • the source and drain regions have a metal silicide.
  • the gate stack structure includes a gate insulating layer, a work function adjusting metal layer, and a resistance adjusting metal layer.
  • the present invention also provides a method of fabricating a semiconductor device, comprising the steps of: forming a dummy gate stack structure on a substrate; forming a gate sidewall structure on a substrate on both sides of the dummy gate stack structure, in the dummy gate stack Source and drain regions are formed in the substrate on both sides of the structure, wherein the gate sidewall structure includes a first gate spacer, a second gate spacer, and a third gate spacer; etching removes the dummy gate stack structure, leaving a lower gate trench; a gate stack structure formed in the gate trench; an etch removal of the second gate spacer in the gate spacer structure, and at least one air-filled gate formed in the gate spacer structure Extreme wall spacers.
  • the second gate spacer comprises a carbon-based material.
  • the carbon-based material includes an amorphous carbon film and a hydrogenated amorphous carbon film.
  • the step of forming the gate spacer structure and the source/drain regions further includes: forming a first gate spacer on the substrate on both sides of the dummy gate stack; using the first gate spacer as a mask, performing the first a source-drain ion implantation, forming a lightly doped source-drain extension region in the substrate on both sides of the dummy gate stack structure; forming a second gate spacer on the first gate side wall; on the second gate side Forming a third gate spacer on the wall; and performing a second source-drain ion implantation using the third gate spacer as a mask to form a heavily doped source and drain region.
  • the method further comprises the steps of: forming a metal silicide on the source and drain regions.
  • the second gate spacer is removed by oxygen plasma etching.
  • the step of forming a gate stack structure further includes: depositing a work function adjusting metal layer on the gate insulating layer in the gate trench; and depositing a resistance adjusting metal layer on the work function adjusting metal layer.
  • a sacrificial sidewall is formed by using a carbon-based material, and an air gap is formed after etching and removing the sacrificial sidewall, thereby effectively reducing the overall dielectric constant of the sidewall spacer, thereby reducing the gate spacer Parasitic capacitance improves device performance.
  • 1 to 15 are schematic cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with the present invention. detailed description
  • 1 to 15 are schematic cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with the present invention.
  • a dummy gate stack structure 2 is formed on the substrate 1.
  • a substrate 1 is provided, such as a silicon-based material, including bulk silicon (Si), silicon-on-insulator (SOI), SiGe, SiC, strained silicon, silicon nanotubes, and the like.
  • bulk silicon or SOI is selected as the substrate 1 for compatibility with a CMOS process.
  • a gate insulating layer 2A, a dummy gate layer 2B, and a dummy gate cap layer 2C are sequentially deposited on a substrate 1 by a conventional method such as LPCVD, PECVD, HDPCVD, ALD, MBE, or sputtering.
  • the gate insulating layer 2A may be a conventional silicon oxide, that is, as a pad oxide layer, in the back gate process for protecting the substrate channel region from being overetched, removing the dummy gate and the gate insulating layer 2A forming a gate.
  • the pole trench is then refilled with a high-k material to form the final gate insulating layer.
  • the gate insulating layer 2A may also be a high-k material, which is not removed after formation, but is directly retained as the final gate insulating layer 2A.
  • High-k materials include, but are not limited to, nitrides (eg, SiN, A1N, TiN), metal oxides (mainly subgroups and lanthanide metal element oxides such as A1 2 0 3 , Ta 2 0 5 , Ti0 2 , ZnO, Zr0 2 , Hf0 2 , Ce0 2 , Y 2 0 3 , La 2 0 3 :), perovskite phase oxides (eg PbZr x Ti 1-x 0 3 (PZT), Ba x Sr 1-x Ti0 3 ( BST)).
  • the dummy gate layer 2B is a silicon-based material including polysilicon, amorphous silicon, and microcrystalline silicon.
  • Pseudo gate cap 2C is a material with high hardness for protecting and controlling the shape of the dummy gate layer 2B, such as silicon nitride, silicon oxynitride, DLC, etc., but if the subsequent lithography/etching control is precise, the pseudo The gate cap layer 2C may also be omitted, and thus the dummy gate stack structure 2 may substantially include only the gate insulating layer (pad oxide layer) 2A and the dummy gate layer 2B. As shown in FIG. 2, the gate insulating layer 2A, the dummy gate layer 2B, and the dummy gate cap layer 2C are photolithographically etched to form a dummy gate stack structure 2.
  • a plurality of gate spacers 3 are formed on the substrates on both sides of the dummy gate stack structure 2, and source-drain doping ion implantation is performed, in the substrate 1 on both sides of the gate spacer 3
  • the source and drain regions 4 are formed, wherein the plurality of gate spacers 3 include at least a sacrificial spacer 3B of a carbon-based material.
  • a first gate spacer 3A is formed on the substrate 1 on both sides of the dummy gate stack structure 2.
  • the material is, for example, a silicon-based material such as silicon nitride or silicon oxynitride; and the first source-drain ion implantation is performed by using the dummy gate stacked structure 2 and the first dummy gate spacer 3 A as a mask.
  • a lightly doped source/drain extension region 4A and a halo source/drain doping region are formed in the substrate 1 on both sides of a dummy gate spacer 3A.
  • the type, dose, and energy of the doping ions depend on the type of MOSFET and the junction depth, and will not be described here.
  • the second gate spacer is formed on the first gate spacer 3A by first depositing and then etching by cathode ray deposition, radio frequency sputtering, ion beam deposition, MV PECVD, RFPECVD, HDPCVD, or the like.
  • 3B is made of carbon-based material, including amorphous carbon film (aC) and hydrogenated amorphous carbon film (aC:H).
  • aC amorphous carbon film
  • aC:H hydrogenated amorphous carbon film
  • an amorphous carbon or a hydrogenated amorphous carbon film having a good conformal effect is obtained by HDPCVD.
  • the second gate spacer 3B is used for sacrificial removal during a later etching process to form a gate spacer void, thereby effectively reducing the parasitic capacitance of the gate spacer by air having a relative dielectric constant of 1, thus
  • the gate spacer 3B may also be referred to as a sacrificial sidewall.
  • a third gate spacer 3C is formed on the second gate spacer 3B, and the material thereof is A silicon-based material such as silicon nitride or silicon oxynitride.
  • the second source-drain ion implantation is performed using the third gate spacer 3C as a mask, and heavily doped source and drain regions 4B are formed in the substrate 1 on both sides of the third gate spacer 3C.
  • the second type of doping ions is the same as the first time, and the dose and energy are larger to form a heavily doped region.
  • a metal silicide 5 is conventionally formed on the source/drain region 4 by sputtering, MOCVD, or the like.
  • a metal layer (not shown) is deposited over the entire device, such as a nickel base W metal, including Ni, NiPt, NiCo, NiPtCo, having a thickness of, for example, 1 to lOnm, followed by annealing at, for example, 450 to 550 ° C, causing the metal layer to react with Si in the source and drain regions 4 to form a metal silicide 5 for lowering The source-drain resistance of the device.
  • the metal silicide 5 is, for example, NiSi, NiPtSi, NiCoSi, NiPtCoSi or the like, and has a thickness of, for example, 1 to 30 nm.
  • a gate trench is formed, and a gate stack structure 7 is formed by filling.
  • an interlayer dielectric layer (ILD) 6 is deposited on the entire device by conventional methods such as LPCVD, PECVD, HDPCVD, and spin coating.
  • the ILD6 material is, for example, a silicon oxide or a low-k material, and the low-k material includes, but is not limited to, an organic low-k material (for example, an organic polymer containing an aryl group or a polycyclic ring), an inorganic low-k material (for example, an amorphous carbon-nitrogen thin film, polycrystalline).
  • porous low-k material for example, a silicosane (SSQ)-based porous low-k material, porous silica, porous SiOCH, C-doped silica, F-doped amorphous carbon, Porous diamond, porous organic polymer.
  • SSQ silicosane
  • the ILD 6 and the dummy gate cap layer 2C are planarized until the dummy gate layer 2B is exposed.
  • the first CMP is performed to planarize the ILD6 of the low-k material until the dummy gate cap layer 2C of the nitride material is exposed.
  • the CMP slurry, the polishing pad, and the termination conditions are replaced, and the second CMP is performed to planarize the dummy gate cap layer 2C until the dummy gate layer 2B of the silicon-based material is exposed.
  • the dummy gate layer 2B is etched away to form a gate trench 2D.
  • the dry gate etching is performed by plasma etching such as fluorine-based, chlorine-based, or bromine-based, or the wet etching solution of KOH or TMAH is used to remove the dummy gate layer 2B of the silicon material. Until the exposed pad oxide/gate insulating layer 2A finally forms the gate trench 2D.
  • a work function adjusting metal layer 7A is deposited on the gate insulating layers 2A and ILD6 in the gate trench 2D.
  • the material of the layer 7A is, for example, TiN or TaN.
  • a resistance adjusting metal layer 7B is deposited on the work function adjusting metal layer 7A.
  • the material of 7B is, for example, Ti, Ta, W, Al, Cu, Mo, or the like.
  • planarization layers 7B, 7A are exposed until ILD6, and the layers 7A, 7B filled with the gate trenches 2D together constitute the final gate stack structure 7 of the MOS FET.
  • the second gate spacer 3B is etched away to form a gate spacer void 3D.
  • the second gate spacer 3B of the carbon-based material is removed by dry etching, such as oxygen plasma etching, until the substrate 1 is exposed. Since the second gate spacer 3B is the above carbon-based material, amorphous carbon reacts with oxygen to form carbon dioxide gas during hydrogen plasma etching, and hydrogenation The amorphous carbon reacts with oxygen to form carbon dioxide and water vapor, thereby being etched and removed, and the silicon-based substrate 1 initially reacts to form silicon oxide and then covers the surface of the substrate 1 to block further reactive etching, so it can be said that The substrate 1 does not substantially participate in the reaction or is substantially not etched.
  • the primary oxide during the etching of the second gate spacer 3 B has little effect on the dielectric constant of 3 B and can be removed without wet removal or by HF-based etching.
  • the HF-based etching liquid is, for example, diluted HF (DHF), a sustained-release etching liquid (BOE, a mixed solution of HF and NH4F), and a strong oxidizing agent such as sulfuric acid or hydrogen peroxide may be added to increase the corrosion rate.
  • DHF diluted HF
  • BOE sustained-release etching liquid
  • a strong oxidizing agent such as sulfuric acid or hydrogen peroxide
  • void 3D is formed in the embodiment of the present invention, those skilled in the art should know that more layers such as 3 A/3 B/3 A/3 B/3 C and the like can be formed.
  • a contact etch stop layer (CESL) 8 of, for example, SiN, SiON material is deposited over the entire device, and bonded to the first and third gate sidewalls 3A/3C of the same material, thereby closing the gate spacer void 3D.
  • CSL contact etch stop layer
  • the final device structure includes: the village bottom 1, the gate stack structure 2 A/7A/7B on the village bottom 1, and the gate side wall structure 3A/3D/3C on both sides of the gate stack structure, The source and drain regions 4A/4B in the substrate 1 on both sides of the gate spacer structure, wherein the gate spacer structure includes at least one air-filled gate spacer spacer 3D.
  • the present invention employs a dummy gate 2B of a silicon-based material
  • a dummy gate 2B of a silicon-based material it is also possible to use the same carbon-based material as the second gate layer or the sacrificial gate layer 3B, using oxygen plasma dry etching.
  • the dummy gate 2B is removed, so that the pad channel region can be effectively protected without the pad oxide layer 2A, which further simplifies the process and improves device reliability.
  • a sacrificial sidewall is formed by using a carbon-based material, and an air gap is formed after etching and removing the sacrificial sidewall, thereby effectively reducing the overall dielectric constant of the sidewall spacer, thereby reducing the gate spacer Parasitic capacitance improves device performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明公开了一种半导体器件,包括衬底、衬底上的栅极堆叠结构、栅极堆叠结构两侧的栅极侧墙结构、栅极堆叠结构和栅极侧墙结构两侧的衬底中的源漏区,其特征在于:栅极侧墙结构中包括至少一个由空气填充的栅极侧墙空隙。依照本发明的半导体器件及其制造方法,采用碳基材料形成牺牲侧墙,刻蚀去除牺牲侧墙之后形成了空气隙,有效降低了侧墙的整体介电常数,因而降低了栅极侧墙寄生电容,提高了器件性能。

Description

半导体器件及其制造方法 优先权要求
本申请要求了 2012年 5月 8日提交的、 申请号为 201210139862.3、 发 明名称为 "半导体器件及其制造方法" 的中国专利申请的优先权, 其 全部内容通过引用结合在本申请中。 技术领域
本发明涉及一种半导体器件及其制造方法, 特别是涉及一种能有 效降低栅极侧墙寄生电容的半导体器件及其制造方法。 背景技术
通常认为 MOSFET中至少存在两种寄生电容- - pn结电容和覆盖 电容, 前者是源漏区与衬底之间形成的寄生 pn结电容, 后者是栅极与 源漏之间因为局部覆盖而形成的寄生电容, 这两种电容均是沿垂直衬 底表面分布, 并严重影响了器件的电学性能。 随着器件尺寸持续缩减 以及精细加工能力提高, 覆盖电容逐渐因为对于覆盖区域面积的控制 而有效缩减。 衬底的 pn结电容则采用例如 SOI等衬底隔离技术而有效 控制。
但是, 栅极与源漏区特别是源漏区上金属硅化物接触之间还存在 着平行于衬底表面分布的寄生电容- - 栅极侧墙电容。 随着器件尺寸 缩减带来的侧墙厚度减薄, 该侧墙电容逐渐增大, 直至超越前两种寄 生电容而成为制约器件性能的重要参数。 侧墙电容取决于工艺条件实 现的侧墙几何形状以及形成侧墙的材料。 传统上, 栅极侧墙由介电常 数较大的氮化硅制成, 提供了良好的绝缘隔离, 但是由此带来了较大 的侧墙电容。
为此, 亟需改进上述栅极侧墙从而降低栅极側墙寄生电容, 有效 提高器件性能。 发明内容
由上所述, 本发明的目的在于提供一种能降低 4册极側墙寄生电容、 有效提高器件性能的半导体器件及其制造方法。 为此, 本发明提供了一种半导体器件, 包括衬底、 衬底上的栅极 堆叠结构、 栅极堆叠结构两侧的栅极侧墙结构、 栅极堆叠结构和栅极 侧墙结构两侧的衬底中的源漏区, 其特征在于: 栅极侧墙结构中包括 至少一个由空气填充的栅极侧墙空隙。
其中, 栅极侧墙结构包括由氮化硅、 氮氧化硅制成的第一和第三 栅极侧墙, 以及夹设在第一和第三栅极侧墙之间的至少一个由空气填 充的栅极侧墙空隙。
其中, 源漏区包括轻掺杂的源漏延伸区以及重掺杂源漏区。
其中, 源漏区上具有金属硅化物。
其中, 栅极堆叠结构包括栅极绝缘层、 功函数调节金属层以及电 阻调节金属层。
本发明还提供了一种半导体器件制造方法, 包括步骤: 在村底上 形成伪栅极堆叠结构; 在伪栅极堆叠结构两侧的衬底上形成栅极侧墙 结构, 在伪栅极堆叠结构两侧的衬底中形成源漏区, 其中栅极侧墙结 构包括第一栅极侧墙、 第二栅极侧墙、 第三栅极侧墙; 刻蚀去除伪栅 极堆叠结构, 留下栅极沟槽; 在栅极沟槽中形成栅极堆叠结构; 刻蚀 去除栅极侧墙结构中的第二栅极側墙, 在栅极侧墙结构中形成至少一 个由空气填充的栅极侧墙空隙。
其中, 第二栅极侧墙包括碳基材料。
其中, 碳基材料包括非晶碳薄膜、 氢化非晶碳薄膜。
其中, 形成栅极侧墙结构和源漏区的步骤进一步包括: 在伪栅极 堆叠结构两侧的衬底上形成第一栅极侧墙; 以第一栅极侧墙为掩膜, 执行第一源漏离子注入, 在伪栅极堆叠结构两侧的衬底中形成轻掺杂 的源漏延伸区; 在第一栅极侧墙上形成第二栅极側墙; 在第二栅极侧 墙上形成第三栅极侧墙; 以第三栅极侧墙为掩膜, 执行第二源漏离子 注入, 形成重掺杂源漏区。
其中, 在形成源漏区之后、 刻蚀去除伪栅极堆叠结构之前, 进一 步包括步骤: 在源漏区上形成金属硅化物。
其中, 采用氧等离子体刻蚀去除第二栅极侧墙。
其中, 形成栅极堆叠结构的步骤进一步包括: 在栅极沟槽中的栅 极绝缘层上沉积功函数调节金属层; 在功函数调节金属层上沉积电阻 调节金属层。 W
依照本发明的半导体器件及其制造方法, 采用碳基材料形成牺牲 侧墙, 刻蚀去除牺牲侧墙之后形成了空气隙, 有效降低了侧墙的整体 介电常数, 因而降低了栅极侧墙寄生电容, 提高了器件性能。 附图说明
以下参照附图来详细说明本发明的技术方案, 其中:
图 1至图 15为依照本发明的半导体器件制造方法的各个步骤的剖 面示意图。 具体实施方式
以下参照附图并结合示意性的实施例来详细说明本发明技术方案 的特征及其技术效果, 公开了可有效降低側墙寄生电容的半导体器件 及其制造方法。 需要指出的是, 类似的附图标记表示类似的结构, 本 申请中所用的术语 "第一" 、 "第二,, 、 "上" 、 "下" 等等可用于 修饰各种器件结构或制造工序。 这些修饰除非特别说明并非暗示所修 饰器件结构或制造工序的空间、 次序或层级关系。
图 1至图 15为依照本发明的半导体器件制造方法的各个步骤的剖 面示意图。
参照图 1、 图 2 , 在衬底 1上形成伪栅极堆叠结构 2。 提供衬底 1 , 例 如为硅基材料, 包括体硅 (Si ) 、 绝缘体上硅 (SOI ) 、 SiGe、 SiC、 应变硅、 硅纳米管等等。 优选地, 选用体硅或 SOI作为衬底 1 , 以便与 CMOS工艺兼容。 如图 1所示, 在衬底 1上采用 LPCVD、 PECVD、 HDPCVD、 ALD、 MBE、 溅射等常规方法依次沉积栅极绝缘层 2A、 伪 栅极层 2B、 伪栅极盖层 2C。 栅极绝缘层 2A可以是常规的氧化硅, 也即 作为垫氧化层, 在后栅工艺中用于保护衬底沟道区不被过刻蚀, 去除 伪栅极以及栅极绝缘层 2A形成栅极沟槽之后再重新填充高 k材料形成 最终的栅极绝缘层。 栅极绝缘层 2A也可以是高 k材料, 形成之后不再去 除, 而是直接保留作为最终的栅极绝缘层 2A。 高 k材料包括但不限于氮 化物(例如 SiN、 A1N、 TiN ) 、 金属氧化物(主要为副族和镧系金属元 素氧化物, 例如 A1203、 Ta205、 Ti02、 ZnO、 Zr02、 Hf02、 Ce02、 Y203、 La203:)、钙钛矿相氧化物(例如 PbZrxTi1-x03( PZT )、BaxSr1-xTi03( BST ) )。 伪栅极层 2B为硅基材料, 包括多晶硅、 非晶硅、 微晶硅。 伪栅极盖层 2C为硬度较高的材料, 用于保护、 控制伪栅极层 2B的形状, 其材质例 如为氮化硅、 氮氧化硅、 DLC等等, 但是如果后续光刻 /刻蚀控制精准 的话, 伪栅极盖层 2C也可以省略, 因此伪栅极堆叠结构 2实质上可以仅 包括栅极绝缘层 (垫氧化层) 2A、 伪栅极层 2B。 如图 2所示, 光刻 /刻 蚀栅极绝缘层 2A、 伪栅极层 2B和伪栅极盖层 2C, 形成了伪栅极堆叠结 构 2。
参照图 3至图 5 , 在伪栅极堆叠结构 2两侧衬底上形成多层的栅极侧 墙 3, 执行源漏掺杂离子注入, 在栅极侧墙 3两侧的衬底 1中形成源漏区 4, 其中多层的栅极侧墙 3中至少包括碳基材料的牺牲側墙 3B。
如图 3所示, 先采用 LPCVD、 PECVD、 HDPCVD、 ALD、 MBE、 溅射等常规方法沉积然后再刻蚀, 在伪栅极堆叠结构 2两侧衬底 1上形 成第一栅极侧墙 3A, 其材质例如为氮化硅、 氮氧化硅等等硅基材料; 以伪栅极堆叠结构 2以及第一伪栅极侧墙 3 A为掩膜,执行第一次源漏离 子注入, 在第一伪栅极侧墙 3A两侧衬底 1中形成轻掺杂的源漏延伸区 4A以及暈状源漏掺杂区 (未示出) 。 掺杂离子的种类、 剂量、 能量依 照 MOSFET类型以及结深而定, 在此不再赘述。
如图 4所示, 先采用阴极射线沉积、 射频溅射、 离子束沉积、 MV PECVD、 RFPECVD, HDPCVD等方法沉积然后再刻蚀, 在第一栅极侧 墙 3A上形成第二栅极侧墙 3B , 其材质为碳基材料, 包括非晶碳薄膜 ( a-C ) 、 氢化非晶碳薄膜 (a-C:H ) 。 优选地, 采用 HDPCVD获得共 形性效果较好的非晶碳或氢化非晶碳薄膜。 第二栅极侧墙 3B用于在稍 后刻蚀过程中牺牲去除以形成栅极側墙空隙, 从而以相对介电常数为 1 的空气来有效降低栅极側墙的寄生电容, 因此第二栅极側墙 3B也可以 称作牺牲侧墙。
如图 5所示, 先采用 LPCVD、 PECVD、 HDPCVD、 ALD、 MBE、 溅射等常规方法沉积然后再刻蚀, 在第二栅极侧墙 3B上形成第三栅极 侧墙 3C, 其材质为氮化硅、 氮氧化硅等硅基材料。 以第三栅极側墙 3C 为掩膜, 执行第二次源漏离子注入, 在第三栅极侧墙 3C两侧的衬底 1中 形成重掺杂的源漏区 4B。 第二次掺杂离子的种类与第一次相同, 剂量、 能量更大从而形成重掺杂区。
优选地, 参照图 6, 在源漏区 4上采用溅射、 MOCVD等常规形成金 属硅化物 5。 在整个器件上沉积金属层 (未示出) , 其材质例如是镍基 W 金属, 包括 Ni、 NiPt、 NiCo、 NiPtCo, 厚度例如 1 ~ lOnm, 随后在例如 450 ~ 550°C下退火, 使得金属层与源漏区 4中的 Si反应形成金属硅化物 5 , 用于降低器件的源漏电阻。 金属硅化物 5例如 NiSi、 NiPtSi、 NiCoSi、 NiPtCoSi等等, 其厚度例如 l ~ 30nm。
之后, 参照图 7至图 13, 去除伪栅极堆叠结构 2, 形成栅极沟槽, 填充形成栅极堆叠结构 7。
参照图 7, 在整个器件上采用 LPCVD、 PECVD、 HDPCVD、 旋涂 等常规方法沉积层间介盾层 (ILD ) 6。 ILD6材质例如为氧化硅或低 k 材料, 低 k材料包括但不限于有机低 k材料 (例如含芳基或者多元环 的有机聚合物) 、 无机低 k 材料 (例如无定形碳氮薄膜、 多晶硼氮薄 膜、 氟硅玻璃 ) 、 多孔低 k材料(例如二硅三氧烷( SSQ )基多孔低 k 材料、 多孔二氧化硅、 多孔 SiOCH、 掺 C二氧化硅、 掺 F多孔无定形 碳、 多孔金刚石、 多孔有机聚合物) 。
参照图 8、 图 9, 平坦化 ILD6以及伪栅极盖层 2C, 直至暴露伪栅 极层 2B。 如图 8所示, 执行第一 CMP, 平坦化处理低 k材料的 ILD6, 直至暴露氮化物材质的伪栅极盖层 2C。 随后如图 9 所示, 更换 CMP 研磨液、 研磨垫以及终止条件, 执行第二 CMP, 平坦化处理伪栅极盖 层 2C, 直至暴露硅基材料的伪柵极层 2B。
参照图 10, 刻蚀去除伪栅极层 2B, 形成栅极沟槽 2D。 如图 10所 示, 采用例如氟基、 氯基、 溴基等等离子体刻蚀的干法刻蚀, 或者采 用 KOH、 TMAH 的湿法刻蚀液腐蚀, 去除硅材料的伪栅极层 2B, 直 至暴露垫氧化层 /栅极绝缘层 2A最终形成了栅极沟槽 2D。
参照图 1 1 , 在栅极沟槽 2D中的栅极绝缘层 2A以及 ILD6上沉积功函 数调节金属层 7A。 层 7A的材质例如为 TiN、 TaN。
参照图 12 , 在功函数调节金属层 7A上沉积电阻调节金属层 7B。 层
7B的材质例如为 Ti、 Ta、 W、 Al、 Cu、 Mo等等。
参照图 13 , 平坦化层 7B、 7A直至暴露 ILD6, 填充了栅极沟槽 2D的 层 7 A、 7B共同构成了 MOS FET的最终的栅极堆叠结构 7。
之后, 参照图 14, 刻蚀去除第二栅极侧墙 3B , 形成了栅极側墙空 隙 3D。 采用干法刻蚀, 例如氧等离子体刻蚀, 去除碳基材料的第二栅 极侧墙 3B, 直至暴露衬底 1。 由于第二栅极侧墙 3B为上述碳基材料, 在 氧等离子体刻蚀过程中, 非晶碳会与氧反应形成二氧化碳气体, 氢化 非晶碳会与氧气反应形成二氧化碳和水蒸气, 从而刻蚀去除, 而硅基 材质的村底 1初步反应形成氧化硅之后就覆盖在衬底 1表面从而阻挡了 进一步反应刻蚀, 因此可以说衬底 1基本不参与反应或者基本不被刻 蚀。 在刻蚀第二栅极侧墙 3 B过程中原生的少许氧化物对 3 B的介电常数 影响很小, 可以不去除或采用 HF基刻蚀液湿法去除。 优选地, HF基 刻蚀液例如稀释 HF ( DHF ) 、 緩释刻蚀液 (BOE, HF与 NH4F的混合 溶液) , 此外还可以添加硫酸、 双氧水等强氧化剂以提高腐蚀速度。 去除了第二栅极侧墙 3B之后, 形成空气填充的栅极侧墙空隙 3D, 其具 有较低的 (为 1 ) 的相对介电常数, 因而可以有效降低栅极侧墙寄生电 容。 值得注意的是, 虽然本发明实施例中仅列举了形成一个空隙 3D, 但是本领域技术人员应当知晓, 可以形成例如 3 A/3 B/3 A/3 B/3 C等等的 更多层的层叠结构, 刻蚀之后形成更多层的空隙 3D。
之后,参照图 15 ,完成后续工序。在整个器件上沉积例如 SiN、 SiON 材质的接触刻蚀停止层 (CESL ) 8 , 与相同材质的第一和第三栅极侧 墙 3A/3C相接合, 从而封闭了栅极侧墙空隙 3D。 沉积第二 ILD9, 刻蚀 第二 ILD9、 CESL8以及 ILD6形成源漏接触孔, 在源漏接触孔中填充金 属和 /或金属氮化物形成源漏接触塞 10, 沉积第三 ILD1 1并刻蚀形成引 线孔, 在引线孔中填充金属形成引线 12 , 构成器件的字线或位线, 完 成最终的器件结构。 如图 15所示, 最终的器件结构包括: 村底 1 , 村底 1上的栅极堆叠结构 2 A/7A/7B, 栅极堆叠结构两侧的栅极侧墙结构 3A/3D/3C, 栅极侧墙结构两侧衬底 1中的源漏区 4A/4B, 其中, 栅极侧 墙结构至少包括一个空气填充的栅极侧墙空隙 3 D。
值得注意的是, 虽然本发明采用了硅基材料的伪柵极 2B , 但是也 可以采用与第二栅极层或牺牲栅极层 3B相同的碳基材料, 采用氧等离 子体干法刻蚀来去除伪栅极 2B, 从而无需垫氧化层 2A而可以有效保护 衬底沟道区, 进一步简化工艺、 提高器件可靠性。
依照本发明的半导体器件及其制造方法, 采用碳基材料形成牺牲 侧墙, 刻蚀去除牺牲側墙之后形成了空气隙, 有效降低了侧墙的整体 介电常数, 因而降低了栅极侧墙寄生电容, 提高了器件性能。
尽管已参照一个或多个示例性实施例说明本发明, 本领域技术人 员可以知晓无需脱离本发明范围而对器件结构做出各种合适的改变和 等价方式。 此外, 由所公开的教导可做出许多可能适于特定情形或材 料的修改而不脱离本发明范围。 因此, 本发明的目的不在于限定在作 为用于实现本发明的最佳实施方式而公开的特定实施例, 而所公开的 器件结构及其制造方法将包括落入本发明范围内的所有实施例。

Claims

权 利 要 求
1 . 一种半导体器件, 包括衬底、 衬底上的栅极堆叠结构、 栅极堆 叠结构两侧的栅极侧墙结构、 栅极堆叠结构和栅极側墙结构两侧的衬 底中的源漏区, 其特征在于: 栅极侧墙结构中包括至少一个由空气填 充的栅极侧墙空隙。
2. 如权利要求 1的半导体器件,其中,栅极侧墙结构包括由氮化硅、 氮氧化硅制成的第一和第三栅极側墙, 以及夹设在第一和第三栅极侧 墙之间的至少一个由空气填充的栅极侧墙空隙。
3. 如权利要求 1的半导体器件, 其中, 源漏区包括轻掺杂的源漏延 伸区以及重掺杂源漏区。
4. 如权利要求 1的半导体器件, 其中, 源漏区上具有金属硅化物。
5. 如权利要求 1的半导体器件, 其中, 栅极堆叠结构包括栅极绝缘 层、 功函数调节金属层以及电阻调节金属层。
6. 一种半导体器件制造方法, 包括步骤:
在衬底上形成伪栅极堆叠结构;
在伪栅极堆叠结构两侧的衬底上形成栅极側墙结构, 在伪栅极堆 叠结构两侧的衬底中形成源漏区, 其中栅极侧墙结构包括第一栅极侧 墙、 第二栅极侧墙、 第三栅极侧墙;
刻蚀去除伪栅极堆叠结构, 留下栅极沟槽;
在栅极沟槽中形成栅极堆叠结构;
刻蚀去除栅极侧墙结构中的第二栅极侧墙, 在栅极侧墙结构中形 成至少一个由空气填充的栅极侧墙空隙。
7. 如权利要求 6的半导体器件制造方法, 其中, 第二栅极侧墙包括 碳基材料。
8. 如权利要求 7的半导体器件制造方法, 其中, 碳基材料包括非晶 碳薄膜、 氢化非晶碳薄膜。
9. 如权利要求 6的半导体器件制造方法, 其中, 形成栅极侧墙结构 和源漏区的步骤进一步包括:
在伪栅极堆叠结构两侧的村底上形成第一栅极侧墙;
以第一栅极侧墙为掩膜, 执行第一源漏离子注入, 在伪栅极堆叠 结构两侧的衬底中形成轻掺杂的源漏延伸区; 在第一栅极侧墙上形成第二栅极侧墙;
在第二栅极侧墙上形成第三栅极侧墙;
以第三栅极侧墙为掩膜, 执行第二源漏离子注入, 形成重掺杂源 漏区。
10. 如权利要求 6的半导体器件制造方法, 其中, 在形成源漏区之 后、 刻蚀去除伪栅极堆叠结构之前, 进一步包括步骤: 在源漏区上形 成金属硅化物。
1 1. 如权利要求 6的半导体器件制造方法, 其中, 采用氧等离子体 刻蚀去除第二栅极侧墙。
12. 如权利要求 6的半导体器件制造方法, 其中, 形成栅极堆叠结 构的步骤进一步包括: 在栅极沟槽中的栅极绝缘层上沉积功函数调节 金属层; 在功函数调节金属层上沉积电阻调节金属层。
PCT/CN2012/000913 2012-05-08 2012-07-03 半导体器件及其制造方法 WO2013166632A1 (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/698,284 US20130299920A1 (en) 2012-05-08 2012-07-03 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201210139862.3 2012-05-08
CN201210139862.3A CN103390644B (zh) 2012-05-08 2012-05-08 半导体器件及其制造方法

Publications (1)

Publication Number Publication Date
WO2013166632A1 true WO2013166632A1 (zh) 2013-11-14

Family

ID=49534864

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2012/000913 WO2013166632A1 (zh) 2012-05-08 2012-07-03 半导体器件及其制造方法

Country Status (2)

Country Link
CN (1) CN103390644B (zh)
WO (1) WO2013166632A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023236243A1 (zh) * 2022-06-07 2023-12-14 长鑫存储技术有限公司 半导体结构及其制备方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104733318B (zh) * 2013-12-20 2018-03-16 中国科学院微电子研究所 一种mos晶体管的制造方法
CN104733319A (zh) * 2013-12-20 2015-06-24 中国科学院微电子研究所 一种mos晶体管结构及其制造方法
CN105097528A (zh) * 2014-05-04 2015-11-25 中国科学院微电子研究所 一种finfet制造方法
CN105206667B (zh) * 2014-06-13 2018-08-10 中芯国际集成电路制造(上海)有限公司 接触插塞、mos、鳍式场效应晶体管,及其形成方法
CN105590842B (zh) * 2014-11-17 2019-11-01 上海华力微电子有限公司 降低源极和漏极电阻的结构和方法
US10141417B2 (en) * 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
CN106876274A (zh) * 2015-12-11 2017-06-20 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US10522642B2 (en) * 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
DE102017113681A1 (de) 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
CN109904120B (zh) 2017-12-11 2021-12-14 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN109962014B (zh) * 2017-12-26 2022-10-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN112951760B (zh) * 2019-11-26 2022-06-24 长鑫存储技术有限公司 存储器及其形成方法
CN113053739A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113192828B (zh) * 2021-04-29 2023-04-11 长鑫存储技术有限公司 半导体结构的制备方法和半导体结构
CN113394105A (zh) * 2021-05-31 2021-09-14 上海华力集成电路制造有限公司 一种用于减少寄生电容的FinFET的空气侧墙制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010011638A (ko) * 1999-07-29 2001-02-15 김영환 반도체장치의 구조 및 그 제조방법
US6190981B1 (en) * 1999-02-03 2001-02-20 United Microelectronics Corp. Method for fabricating metal oxide semiconductor
CN102148162A (zh) * 2010-02-08 2011-08-10 台湾积体电路制造股份有限公司 横向扩散金属氧化物半导体晶体管及其制造方法
CN102420186A (zh) * 2011-05-26 2012-04-18 上海华力微电子有限公司 一种无侧墙cmos器件的制备方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180988B1 (en) * 1997-12-04 2001-01-30 Texas Instruments-Acer Incorporated Self-aligned silicided MOSFETS with a graded S/D junction and gate-side air-gap structure
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2004119549A (ja) * 2002-09-25 2004-04-15 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2007067048A (ja) * 2005-08-30 2007-03-15 Elpida Memory Inc 半導体装置の製造方法
US20090075470A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
CN101599419B (zh) * 2008-06-03 2011-10-05 中芯国际集成电路制造(北京)有限公司 沟槽的形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6190981B1 (en) * 1999-02-03 2001-02-20 United Microelectronics Corp. Method for fabricating metal oxide semiconductor
KR20010011638A (ko) * 1999-07-29 2001-02-15 김영환 반도체장치의 구조 및 그 제조방법
CN102148162A (zh) * 2010-02-08 2011-08-10 台湾积体电路制造股份有限公司 横向扩散金属氧化物半导体晶体管及其制造方法
CN102420186A (zh) * 2011-05-26 2012-04-18 上海华力微电子有限公司 一种无侧墙cmos器件的制备方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023236243A1 (zh) * 2022-06-07 2023-12-14 长鑫存储技术有限公司 半导体结构及其制备方法

Also Published As

Publication number Publication date
CN103390644A (zh) 2013-11-13
CN103390644B (zh) 2017-07-11

Similar Documents

Publication Publication Date Title
WO2013166632A1 (zh) 半导体器件及其制造方法
US9659776B2 (en) Doping for FinFET
CN105428304B (zh) 半导体结构与在鳍状装置之鳍状结构之间形成隔离的方法
US20130299920A1 (en) Semiconductor device and method for manufacturing the same
US8791502B2 (en) Semiconductor device and method of manufacturing the same
WO2014005359A1 (zh) 半导体器件及其制造方法
US9614050B2 (en) Method for manufacturing semiconductor devices
CN103035712B (zh) 半导体器件及其制造方法
WO2011124088A1 (zh) 一种栅堆叠结构、半导体器件及二者的制造方法
US9530861B2 (en) Method for manufacturing semiconductor device
WO2014008696A1 (zh) 半导体器件制造方法
WO2014015536A1 (zh) 半导体器件制造方法
US9576802B2 (en) Semiconductor device and method for manufacturing the same
TW201832318A (zh) 形成具有淺溝槽隔離(sti)區之積體電路的方法及所產生的ic結構
WO2012065378A1 (zh) 一种半导体器件及其形成方法
CN104167359A (zh) 半导体器件制造方法
CN104167357B (zh) 半导体器件及其制造方法
CN104167393A (zh) 半导体器件制造方法
CN107799470B (zh) 一种半导体器件及其制造方法、电子装置
CN103839818A (zh) 半导体器件制造方法
WO2014008691A1 (zh) 半导体器件制造方法
CN104143534B (zh) 半导体器件制造方法
US10170330B2 (en) Method for recessing a carbon-doped layer of a semiconductor structure
WO2013143034A1 (zh) 半导体器件制造方法
WO2013143031A1 (zh) 半导体器件制造方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 13698284

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12876112

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12876112

Country of ref document: EP

Kind code of ref document: A1