WO2013100409A1 - 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법 - Google Patents

하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법 Download PDF

Info

Publication number
WO2013100409A1
WO2013100409A1 PCT/KR2012/010203 KR2012010203W WO2013100409A1 WO 2013100409 A1 WO2013100409 A1 WO 2013100409A1 KR 2012010203 W KR2012010203 W KR 2012010203W WO 2013100409 A1 WO2013100409 A1 WO 2013100409A1
Authority
WO
WIPO (PCT)
Prior art keywords
hard mask
monomer
formula
group
layer
Prior art date
Application number
PCT/KR2012/010203
Other languages
English (en)
French (fr)
Inventor
김윤준
전환승
조연진
윤용운
이충헌
권효영
최유정
Original Assignee
제일모직 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020110147860A external-priority patent/KR101497132B1/ko
Priority claimed from KR1020110147384A external-priority patent/KR101413071B1/ko
Application filed by 제일모직 주식회사 filed Critical 제일모직 주식회사
Priority to US14/364,829 priority Critical patent/US9725389B2/en
Priority to CN201280065648.6A priority patent/CN104024940B/zh
Priority to JP2014549963A priority patent/JP6084986B2/ja
Publication of WO2013100409A1 publication Critical patent/WO2013100409A1/ko
Priority to US15/668,159 priority patent/US20170327640A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C39/00Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a six-membered aromatic ring
    • C07C39/205Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a six-membered aromatic ring polycyclic, containing only six-membered aromatic rings as cyclic parts with unsaturation outside the rings
    • C07C39/21Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a six-membered aromatic ring polycyclic, containing only six-membered aromatic rings as cyclic parts with unsaturation outside the rings with at least one hydroxy group on a non-condensed ring
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C39/00Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a six-membered aromatic ring
    • C07C39/205Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a six-membered aromatic ring polycyclic, containing only six-membered aromatic rings as cyclic parts with unsaturation outside the rings
    • C07C39/225Compounds having at least one hydroxy or O-metal group bound to a carbon atom of a six-membered aromatic ring polycyclic, containing only six-membered aromatic rings as cyclic parts with unsaturation outside the rings with at least one hydroxy group on a condensed ring system
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/02Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring
    • C08G65/26Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds
    • C08G65/2603Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds the other compounds containing oxygen
    • C08G65/2606Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds the other compounds containing oxygen containing hydroxyl groups
    • C08G65/2612Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds the other compounds containing oxygen containing hydroxyl groups containing aromatic or arylaliphatic hydroxyl groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/34Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives
    • C08G65/38Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from hydroxy compounds or their metallic derivatives derived from phenols
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • It relates to a monomer for a hard mask composition, a hard mask composition comprising the monomer, and a pattern forming method using the hard mask composition.
  • a typical lithographic technique involves forming a material layer on a semiconductor substrate, coating a photoresist layer thereon, exposing and developing a photoresist pattern, and then etching the material layer using the photoresist pattern as a mask. do.
  • a fine pattern may be formed by forming a layer called a hardmask layer between the material layer to be etched and the photoresist layer.
  • the hard mask layer serves as an interlayer that transfers the fine pattern of the photoresist to the material layer through a selective etching process. Therefore, the hard mask layer requires properties such as chemical resistance, heat resistance, and etching resistance to withstand multiple etching processes.
  • the hard mask layer has been proposed to be formed by spin-on coating (spin-on coating) instead of chemical vapor deposition.
  • the spin-on coating method may use a hard mask composition having solubility in a solvent.
  • the above-described properties and solubility required for the hard mask layer need a hard mask composition that can satisfy all of them in a mutually conflicting relationship.
  • a hard mask layer may be formed on a predetermined pattern by a spin-on coating method. In this case, the gap between the patterns may be filled with the hard mask composition. Gap-fill and planarization properties are also required.
  • One embodiment provides a monomer for a hard mask composition capable of satisfying chemical resistance, heat resistance, and etching resistance while securing solubility, gap-fill characteristics, and planarization characteristics in a solvent.
  • Another embodiment provides a hardmask composition comprising the monomer.
  • Another embodiment provides a method of forming a pattern using the hard mask composition.
  • a monomer for a hard mask composition represented by Chemical Formula 1 is provided.
  • a and A ' are the same or different substituted or unsubstituted aromatic groups
  • L is a single bond or a substituted or unsubstituted C1 to C6 alkylene group
  • n is an integer of 1-5.
  • the aromatic group may comprise at least one selected from the groups listed in Group 1 below.
  • At least one of A and A ' may include a substituted or unsubstituted polycyclic aromatic group.
  • the monomer for the hard mask composition may be represented by the following Formula 1a, 1b or 1c.
  • a 1 to A 4 may be a substituted or unsubstituted benzene group, naphthalene group, pyrene group, perylene group, benzoperylene group, coronene group or a combination thereof.
  • L 1 to L 3 are each independently a single bond or a substituted or unsubstituted C1 to C6 alkylene group.
  • the monomer for the hard mask composition may be represented by the following Formula 1aa, 1bb, 1cc, 1dd 'or 1ee.
  • the monomer may have a molecular weight of about 200 kPa to 3,000 kPa.
  • a hard mask composition including the monomer and a solvent is provided.
  • the monomer may be included in about 0.1 to 30% by weight relative to the total content of the hard mask composition.
  • a material layer on a substrate applying the hardmask composition on the material layer, heat treating the hardmask composition to form a hardmask layer, and silicon on the hardmask layer.
  • Forming a containing thin film layer forming a photoresist layer on the silicon containing thin film layer, exposing and developing the photoresist layer to form a photoresist pattern, using the photoresist pattern and the silicon containing thin film layer and the Selectively removing the hardmask layer and exposing a portion of the material layer; and etching the exposed portion of the material layer.
  • Applying the hard mask composition may be performed by a spin-on-coating method.
  • Forming the hard mask layer may be a heat treatment at about 100 °C to 500 °C.
  • the present invention provides a hard mask composition that satisfies solubility in solvents, gap-fill characteristics, and planarization characteristics, while also having chemical resistance, heat resistance, and etching resistance.
  • substituted means that the hydrogen atom in the compound is a halogen atom (F, Br, Cl or I), hydroxy group, alkoxy group, nitro group, cyano group, amino group, azido group, amidino Group, hydrazino group, hydrazono group, carbonyl group, carbamyl group, thiol group, ester group, carboxyl group or salt thereof, sulfonic acid group or salt thereof, phosphoric acid or salt thereof, C1 to C20 alkyl group, C2 to C20 alkenyl group, C2 to C2 C20 alkynyl group, C6 to C30 aryl group, C7 to C30 arylalkyl group, C1 to C4 alkoxy group, C1 to C20 heteroalkyl group, C3 to C20 heteroarylalkyl group, C3 to C30 cycloalkyl group, C3 to C15 cycloalkenyl group, C6 to
  • hetero means containing one to three hetero atoms selected from N, O, S and P.
  • Monomers for the hard mask composition according to one embodiment may be represented by the formula (1).
  • a and A ' may be the same or different from each other, and each independently represent a substituted or unsubstituted aromatic group.
  • a and A ′ may comprise at least one selected from the groups listed in Group 1, for example.
  • L is a single bond or a substituted or unsubstituted C1 to C6 alkylene group
  • n is an integer of 1-5.
  • At least one of A and A ' may include a substituted or unsubstituted polycyclic aromatic group.
  • the monomer may be represented by, for example, the following Formula 1a, 1b or 1c.
  • a 1 to A 4 may be a substituted or unsubstituted benzene group, naphthalene group, pyrene group, perylene group, benzoperylene group, coronene group or a combination thereof.
  • L 1 to L 3 are each independently a single bond or a substituted or unsubstituted C1 to C6 alkylene group.
  • the monomer may be represented by, for example, the formula 1aa, 1bb, 1cc, 1dd or 1ee.
  • the monomer may have rigid properties by having a polycyclic aromatic group.
  • the monomer has a structure having a hydroxy group and a hydroxyalkylene group and can exhibit excellent crosslinking properties since amplification crosslinking is possible based on the condensation reaction of two functional groups.
  • the monomers according to the above examples may crosslink in a high molecular weight polymer form within a short time during heat treatment, thereby exhibiting the properties required in the hardmask layer such as excellent mechanical properties, heat resistance, chemical resistance and etching resistance.
  • the monomers according to the above examples may be prepared in a solution form by having a high solubility in a solvent by including a plurality of hydroxy groups in the substituent to form a thin film by a spin-on coating method.
  • the monomer according to the above-described examples is also excellent in the gap-fill characteristics and planarization characteristics that can fill the gap between the patterns when formed by the spin-on coating method on the lower layer having a predetermined pattern.
  • the monomer may have a molecular weight of about 200 kPa to about 3,000 kPa. By having a molecular weight in the above range, the monomer having a high carbon content has excellent solubility in a solvent and a good thin film can be obtained by spin-on coating.
  • the hardmask composition according to one embodiment includes the monomer and the solvent described above.
  • the monomer is as described above, one monomer may be included alone or two or more monomers may be mixed and included.
  • the solvent is not particularly limited as long as it has sufficient solubility or dispersibility in the monomer, for example, propylene glycol, propylene glycol diacetate, methoxy propanediol, diethylene glycol, diethylene glycol butyl ether, tri (ethylene glycol) mono It may include at least one selected from methyl ether, propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate, cyclohexanone, ethyl lactate, gamma-butyrolactone, methylpyrrolidone IX, and acetylacetone.
  • the monomer may be included in about 0.1 to 30% by weight relative to the total content of the hard mask composition. By including the monomer in the above range it can be coated with a thin film of the intended thickness.
  • the hardmask composition may further include a surfactant.
  • the surfactant may be, for example, alkylbenzenesulfonic acid salt, alkylpyridinium salt, polyethylene glycol, quaternary ammonium salt and the like, but is not limited thereto.
  • the surfactant may be included in an amount of about 0.001 to 3 parts by weight based on 100 parts by weight of the hard mask composition. By including in the said range, solubility can be improved without changing the optical characteristic of a hard mask composition.
  • a method of forming a pattern includes: providing a material layer on a substrate, applying a hardmask composition including the monomer and a solvent on the material layer, and heat treating the hardmask composition to form a hardmask layer. Forming a photoresist layer on the hard mask layer; forming a photoresist layer on the silicon-containing thin film layer; exposing and developing the photoresist layer to form a photoresist pattern; Selectively removing the silicon-containing thin film layer and the hardmask layer and exposing a portion of the material layer; and etching the exposed portion of the material layer.
  • the substrate may be, for example, a silicon wafer, a glass substrate or a polymer substrate.
  • the material layer is a material to be finally patterned, and may be, for example, a metal layer such as aluminum or copper, a semiconductor layer such as silicon, or an insulating layer such as silicon oxide, silicon nitride, or the like.
  • the material layer can be formed, for example, by chemical vapor deposition.
  • the hard mask composition may be prepared in a solution form and applied by spin-on coating.
  • the coating thickness of the hard mask composition is not particularly limited, but may be applied, for example, to a thickness of about 100 to 10,000 kPa.
  • the heat treatment of the hard mask composition may be performed at, for example, about 10 seconds to 10 minutes at about 100 ° C. to 500 ° C.
  • the monomer may cause self-crosslinking and / or mutual crosslinking reaction.
  • the silicon-containing thin film layer may be made of silicon nitride or silicon oxide, for example.
  • a bottom anti-reflective coating may be further formed on the silicon-containing thin film layer.
  • Exposing the photoresist layer may be performed using, for example, ArF, KrF or EUV.
  • heat treatment may be performed at about # 100 ° C to 500 ° C after exposure.
  • Etching the exposed portion of the material layer may be performed by dry etching using an etching gas, which may use, for example, CHF 3 , CF 4 , Cl 2 , BCl 3 and mixtures thereof.
  • an etching gas which may use, for example, CHF 3 , CF 4 , Cl 2 , BCl 3 and mixtures thereof.
  • the etched material layer may be formed in a plurality of patterns, and the plurality of patterns may be a metal pattern, a semiconductor pattern, an insulation pattern, or the like, and may be applied in various patterns in a semiconductor integrated circuit device.
  • a solution was prepared by adding 50.0 g (0.166 mol) of coronene, 28.4 g (0.1666 mol) of 4-methoxybenzoyl chloride and 235 g of 1,2-dichloroethane to the flask. Subsequently, 22.2 g (0.166 mol) of aluminum chloride was slowly added to the solution at room temperature, and then heated to 60 ° C. and stirred for 8 hours. When the reaction was completed, the precipitate formed by adding methanol to the solution was filtered to obtain 4-methoxybenzoyl coronene.
  • a solution was prepared by adding 25.0 g (0.0595 mol) of 4-hydroxybenzoyl group coronene obtained in the second step and 145 g of tetrahydrofuran to the flask. 11.3 g (0.297 mol) of an aqueous sodium borohydride solution was slowly added to the solution, followed by stirring at room temperature for 24 hours. Upon completion of the reaction, the mixture was neutralized with a 10% hydrogen chloride solution to pH 7 and extracted with ethyl acetate to obtain a monomer represented by the following Chemical Formula 1aa.
  • a solution was prepared by adding 50.0 g (0.166 mol) of coronene, 56.8 g (0.333 mol) of 4-methoxybenzoyl chloride and 353 g of 1,2-dichloroethane to the flask. Subsequently, 44.4 g (0.333 mol) of aluminum chloride was slowly added to the solution at room temperature, and then heated to 60 ° C. and stirred for 8 hours. Upon completion of the reaction, the precipitate formed by adding methanol to the solution was filtered to obtain a double substituted 4-methoxybenzoyl coronene.
  • a solution was prepared by adding 25.0 g (0.0463 mol) of double-substituted 4-hydroxybenzoyl group coronene obtained in the second step and 170 g of tetrahydrofuran to the flask. 17.5 g (0.463 mol) of an aqueous sodium borohydride solution was slowly added to the solution, followed by stirring at room temperature for 24 hours. Upon completion of the reaction, the mixture was neutralized with a 10% hydrogen chloride solution to pH 7 and extracted with ethyl acetate to obtain a monomer represented by the following Chemical Formula 1bb.
  • a solution was prepared by adding 50.0 g (0.166 mol) of coronene, 85.2 g (0.499 mol) of 4-methoxybenzoyl chloride and 471 g of 1,2-dichloroethane to the flask. Subsequently, 66.6 g (0.499 mol) of aluminum chloride was slowly added to the solution at room temperature, and then heated to 60 ° C. and stirred for 8 hours. When the reaction was completed, the precipitate formed by adding methanol to the solution was filtered to obtain triple substituted 4-methoxybenzoyl coronene.
  • a solution was prepared by adding 25.0 g (0.0378 mol) of the triple-substituted 4-hydroxybenzoyl group coronene obtained in the second step and 186 g of tetrahydrofuran to the flask. To the solution was slowly added 21.5 g (0.567 mol) of aqueous sodium borohydride solution and stirred at room temperature for 24 hours. When the reaction was completed, neutralized with a 10% hydrogen chloride solution to pH 7 and extracted with ethyl acetate to obtain a monomer represented by the formula 1cc.
  • a solution was prepared by adding 20.6 g (0.101 mol) of terephthaloyl chloride, 47.0 g (0.203 mol) of 4-methoxypyrene and 221 g of 1,2-dichloroethane to the flask. Subsequently, 27 g (0.203 mol) of aluminum chloride was slowly added to the solution at room temperature, and then heated to 60 ° C. and stirred for 8 hours. When the reaction was completed, the precipitate formed by adding methanol to the solution was filtered to obtain bis (methoxypyrenylcarbonyl) benzene.
  • a solution was prepared by adding 24.0 g (0.0424 mol) of bis (hydroxypyrenylcarbonyl) benzene obtained in the second step and 160 g of tetrahydrofuran to the flask. 16.0 g (0.424 mol) of aqueous sodium borohydride solution was slowly added to the solution, followed by stirring at room temperature for 24 hours. Upon completion of the reaction, the mixture was neutralized with a 5% hydrogen chloride solution to pH 7 and extracted with ethyl acetate to obtain a monomer represented by the following Chemical Formula 1dd.
  • a solution was prepared by adding 20.0 g (0.0985 mol) of isophthaloyl chloride, 45.8 g (0.197 mol) of 4-methoxypyrene and 215 g of 1,2-dichloroethane to the flask. Subsequently, 26.3 g (0.197 mol) of aluminum chloride was slowly added to the solution at room temperature, and then heated to 60 ° C. and stirred for 8 hours. When the reaction was completed, the precipitate formed by adding methanol to the solution was filtered to obtain bis (methoxypyrenylcarbonyl) benzene.
  • a solution was prepared by adding 24.0 g (0.0424 mol) of bis (hydroxypyrenylcarbonyl) benzene obtained in the second step and 160 g of tetrahydrofuran to the flask. 16.0 g (0.424 mol) of aqueous sodium borohydride solution was slowly added to the solution, followed by stirring at room temperature for 24 hours. Upon completion of the reaction, neutralized with a 5% hydrogen chloride solution to pH 7 and extracted with ethyl acetate to obtain a monomer represented by the following Chemical Formula 1ee.
  • a solution was prepared by adding 50.0 g (0.166 mol) of coronene, 46.8 g (0.333 mol) of benzoyl chloride and 330 g of 1,2-dichloroethane to the flask. Subsequently, 44.4 g (0.333 mol) of aluminum chloride was slowly added to the solution at room temperature, and then heated to 60 ° C. and stirred for 8 hours. When the reaction was completed, the precipitate formed by adding methanol to the solution was filtered to obtain a double substituted benzoyl coronene.
  • a solution was prepared by adding 25.0 g (0.0492 mol) of double-substituted benzoyl coronene obtained in the first step and 174 g of tetrahydrofuran to the flask. 18.6 g (0.492 mol) of aqueous sodium borohydride solution was slowly added to the solution, followed by stirring at room temperature for 24 hours. When the reaction was completed, neutralized with a 10% hydrogen chloride solution to pH 7 and extracted with ethyl acetate to obtain a monomer represented by the formula (2).
  • the solution was placed in a separatory funnel, and n-heptane was added to remove the monomer and the low molecular weight to obtain a polymer represented by the following formula (4).
  • the weight average molecular weight of the polymer was 12,000, and the degree of dispersion was 2.04.
  • the monomer obtained in Synthesis Example 1 was dissolved in a mixed solvent of propylene glycol monomethyl ether acetate (PGMEA) and cyclohexanone (7: 3 (v / v)), followed by filtration and hard mask composition.
  • PGMEA propylene glycol monomethyl ether acetate
  • cyclohexanone 7: 3 (v / v)
  • the weight of the monomer was adjusted to 10.0 wt% or 13.0 wt% based on the total weight of the hard mask composition.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the monomer obtained in Synthesis Example 2 was used instead of the monomer obtained in Synthesis Example 1.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the monomer obtained in Synthesis Example 3 was used instead of the monomer obtained in Synthesis Example 1.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the monomer obtained in Synthesis Example 4 was used instead of the monomer obtained in Synthesis Example 1.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the monomer obtained in Synthesis Example 5 was used instead of the monomer obtained in Synthesis Example 1.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the monomer obtained in Comparative Synthesis Example 1 was used instead of the monomer obtained in Synthesis Example 1.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the monomer obtained in Comparative Synthesis Example 2 was used instead of the monomer obtained in Synthesis Example 1.
  • a hardmask composition was prepared in the same manner as in Example 1, except that the polymer obtained in Comparative Synthesis Example 3 was used instead of the monomer obtained in Synthesis Example 1.
  • the thin film was immersed in a mixed solvent of ethyl 3-ethoxypropionate (EEP) and ethyl lactate (EL) (7: 3 7 (v / v)) for 1 minute. After immersion and take out, the thickness of the thin film was measured.
  • EEP ethyl 3-ethoxypropionate
  • EL ethyl lactate
  • the thin film formed from the hard mask compositions according to Examples 1 to 5 has a smaller thickness reduction rate after immersion compared to the thin film formed from the hard mask compositions according to Comparative Examples 1 and 2.
  • the hard mask compositions according to Examples 1 to 5 were sufficiently crosslinked even at the heat treatment at a relatively low temperature of 240 ° C. as compared with the hard mask compositions according to Comparative Examples 1 and 2, thereby forming a thin film having high chemical resistance. Able to know.
  • the film was heat-treated at 240 ° C. for 1 minute on a hot plate to form a thin film.
  • the thickness of the thin film was measured with a thin film thickness meter of K-MAC.
  • the thin film was heat-treated again at 400 ° C. for 2 minutes, and the thickness of the thin film was measured.
  • the thin film formed from the hard mask compositions according to Examples 1 to 5 has a lower rate of decrease in thickness at 400 ° C. heat treatment as compared with the thin film formed from the hard mask compositions according to Comparative Examples 1 and 2.
  • the hard mask composition according to Examples 1 to 5 has a high crosslinking degree of the thin film as compared with the hard mask compositions according to Comparative Examples 1 and 2, and has high heat resistance even at a high temperature of 400 ° C.
  • the thin film was dry-etched using N 2 / O 2 mixed gas for 60 seconds, and then the thickness of the thin film was measured, and the etch rate was calculated therefrom .
  • the hard mask composition according to Examples 1 to 3 has a high cross-linking degree of the thin film as compared with the hard mask composition according to Comparative Example 1, and has high etching resistance.
  • the thin film was heat-treated at 240 ° C. for 1 minute on a hot plate. Formed. The thickness of the thin film was measured by a thin film thickness meter of K-MAC.
  • the thickness of the thin film was measured.
  • the thickness of the thin film was measured.
  • the thin film formed from the hard mask compositions according to Examples 4 and 5 has a lower etching rate than the thin film formed from the hard mask compositions according to Comparative Examples 2 and 3.
  • the hard mask compositions according to Examples 4 and 5 have high etching resistance of the thin film as compared with the hard mask compositions according to Comparative Examples 2 and 3.
  • a silicon oxide (SiO 2 ) layer having a thickness of 3,000 Pa was formed on the silicon wafer by chemical vapor deposition.
  • a hard mask composition having a monomer or polymer content of 13.0 wt% according to Examples 1 to 5 and Comparative Examples 1 to 3 was applied on the silicon oxide layer by spin-on coating, and then heat-treated at 240 ° C. on a hot plate for 1 minute.
  • a silicon nitride (SiN) layer was formed on the hard mask layer by chemical vapor deposition.
  • a photoresist for KrF was coated and heat-treated at 110 ° C.
  • the silicon nitride layer was dry-etched using CHF 3 / CF 4 mixed gas as a mask using the photoresist patterned by the above procedure.
  • the hard mask layer was dry-etched using N 2 / O 2 mixed gas as a mask using the silicon nitride layer patterned by the above procedure.
  • the silicon oxide layer was dry-etched using CHF 3 / CF 4 mixed gas as a mask using the hard mask layer patterned by the above process, and then all remaining organic substances were removed using O 2 gas.
  • the hard mask layer formed of the hard mask composition according to Examples 1 to 5 and the silicon oxide layer below it are all patterned in a vertical shape, whereas the hard mask layer formed of the hard mask composition according to Comparative Examples 1 to 3 It can be seen that the mask layer was not patterned in a vertical shape and thus patterned in a tapered shape.
  • the material layer is formed in a good pattern with better etching resistance than the hard mask composition according to Comparative Examples 1 to 3, and is located below the hard mask layer. It can also be seen that it is formed in a good pattern.
  • the gap-fill characteristics were determined by the presence of voids by observing the pattern cross section with an electron scanning microscope (SEM), and the planarization characteristics were determined by measuring the thickness of the hard mask layer from the image of the pattern cross section observed by SEM.
  • SEM electron scanning microscope
  • the flattening characteristics are excellent as the difference between h 1 and h 2 is not large, and the smaller the number, the better the flattening characteristics.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Polyethers (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

화학식 1로 표현되는 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 이를 사용한 패턴 형성 방법에 관한 것이다.

Description

하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법에 관한 것이다. 
최근 반도체 산업은 수백 나노미터 크기의 패턴에서 수 내지 수십 나노미터 크기의 패턴을 가지는 초미세 기술로 발전하고 있다.  이러한 초미세 기술을 실현하기 위해서는 효과적인 리쏘그래픽 기법이 필수적이다.
전형적인 리쏘그래픽 기법은 반도체 기판 위에 재료층을 형성하고 그 위에 포토레지스트 층을 코팅하고 노광 및 현상을 하여 포토레지스트 패턴을 형성한 후, 상기 포토레지스트 패턴을 마스크로 하여 재료층을 식각하는 과정을 포함한다.
근래, 형성하고자 하는 패턴의 크기가 감소함에 따라 상술한 전형적인 리쏘그래픽 기법만으로는 양호한 프로파일을 가진 미세 패턴을 형성하기 어렵다.  이에 따라 식각하고자 하는 재료층과 포토레지스트 층 사이에 일명 하드마스크 층(hardmask layer)이라고 불리는 층을 형성하여 미세 패턴을 형성할 수 있다.
하드마스크 층은 선택적 식각 과정을 통하여 포토레지스트의 미세 패턴을 재료 층으로 전사해주는 중간막으로서 역할을 한다.  따라서 하드마스크 층은 다중 식각 과정 동안 견딜 수 있도록 내화학성, 내열성 및 식각 저항성 등의 특성이 요구된다.
한편, 근래 하드마스크 층은 화학기상증착 방법 대신 스핀-온 코팅(spin-on coating) 방법으로 형성하는 것이 제안되었다.  스핀-온 코팅 방법은 용매에 대한 용해성을 가지는 하드마스크 조성물을 사용할 수 있다.
그러나 하드마스크 층에 요구되는 상술한 특성과 용해성은 서로 상충 관계에 있어서 이들을 모두 만족할 수 있는 하드마스크 조성물이 필요하다. 또한 하드마스크 층의 적용 범위가 넓어짐에 따라 소정의 패턴 위에 스핀-온 코팅 방법으로 하드마스크 층을 형성할 수 있는데, 이 경우 하드마스크 조성물로 패턴들 사이의 갭(gap)을 채울 수 있는 갭-필(gap-fill) 특성 및 평탄화 특성 또한 필요하다.
일 구현예는 용매에 대한 용해성, 갭-필 특성 및 평탄화 특성을 확보하면서, 내화학성, 내열성 및 식각 저항성을 만족할 수 있는 하드마스크 조성물용 모노머를 제공한다.
다른 구현예는 상기 모노머를 포함하는 하드마스크 조성물을 제공한다.
또 다른 구현예는 상기 하드마스크 조성물을 사용한 패턴 형성 방법을 제공한다.
일 구현예에 따르면, 하기 화학식 1로 표현되는 하드마스크 조성물용 모노머를 제공한다.
[화학식 1]
Figure PCTKR2012010203-appb-I000001
상기 화학식 1에서,
A, A'는 서로 같거나 다른 치환 또는 비치환된 방향족 기(aromatic group)이고,
L은 단일 결합 또는 치환 또는 비치환된 C1 내지 C6 알킬렌기이고,
n은 1 내지 5의 정수이다.
상기 방향족 기는 하기 그룹 1에 나열된 기 중에서 선택된 적어도 하나를 포함할 수 있다.
[그룹 1]
Figure PCTKR2012010203-appb-I000002
상기 A 및 A' 중 적어도 하나는 치환 또는 비치환된 다환 방향족 기(polycyclic aromatic group)를 포함할 수 있다.
상기 하드마스크 조성물용 모노머는 하기 화학식 1a, 1b 또는 1c로 표현될 수 있다.
[화학식 1a]
Figure PCTKR2012010203-appb-I000003
[화학식 1b]
Figure PCTKR2012010203-appb-I000004
[화학식 1c]
Figure PCTKR2012010203-appb-I000005
상기 화학식 1a, 1b 또는 1c에서,
A1 내지 A4는 치환 또는 비치환된 벤젠 기, 나프탈렌 기, 파이렌 기, 퍼릴렌 기, 벤조퍼릴렌 기, 코로넨 기 또는 이들의 조합일 수 있다.
L1 내지 L3는 각각 독립적으로 단일 결합 또는 치환 또는 비치환된 C1 내지 C6 알킬렌기이다.
상기 하드마스크 조성물용 모노머는 하기 화학식 1aa, 1bb, 1cc, 1dd 또는 1ee로 표현될 수 있다.
[화학식 1aa]
Figure PCTKR2012010203-appb-I000006
[화학식 1bb]
Figure PCTKR2012010203-appb-I000007
[화학식 1cc]
Figure PCTKR2012010203-appb-I000008
[화학식 1dd]
Figure PCTKR2012010203-appb-I000009
[화학식 1ee]
Figure PCTKR2012010203-appb-I000010
상기 모노머는 약 200 내지 3,000 의 분자량을 가질 수 있다.
다른 구현예에 따르면, 상술한 모노머, 그리고 용매를 포함하는 하드마스크 조성물을 제공한다.
상기 모노머는 상기 하드마스크 조성물 총 함량에 대하여 약 0.1 내지 30 중량%로 포함될 수 있다.
또 다른 구현예에 따르면, 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 상기 하드마스크 조성물을 적용하는 단계, 상기 하드마스크 조성물을 열처리하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함하는 패턴 형성 방법을 제공한다.
상기 하드마스크 조성물을 적용하는 단계는 스핀-온 코팅 방법으로 수행할 수 있다.
상기 하드마스크 층을 형성하는 단계는 약 100 내지 500℃에서 열처리할 수 있다.
용매에 대한 용해성, 갭-필 특성 및 평탄화 특성을 만족하면서, 내화학성, 내열성 및 식각 저항성 또한 확보할 수 있는 하드마스크 조성물을 제공한다.
이하, 본 발명의 구현예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다.  그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예에 한정되지 않는다.
본 명세서에서 별도의 정의가 없는 한, '치환된'이란, 화합물 중의 수소 원자가 할로겐 원자(F, Br, Cl 또는 I), 히드록시기, 알콕시기, 니트로기, 시아노기, 아미노기, 아지도기, 아미디노기, 히드라지노기, 히드라조노기, 카르보닐기, 카르바밀기, 티올기, 에스테르기, 카르복실기나 그의 염, 술폰산기나 그의 염, 인산이나 그의 염, C1 내지 C20 알킬기, C2 내지 C20 알케닐기, C2 내지 C20 알키닐기, C6 내지 C30 아릴기, C7 내지 C30 아릴알킬기, C1 내지 C4 알콕시기, C1 내지 C20 헤테로알킬기, C3 내지 C20 헤테로아릴알킬기, C3 내지 C30 사이클로알킬기, C3 내지 C15 사이클로알케닐기, C6 내지C15 사이클로알키닐기, C2 내지 C20 헤테로사이클로알킬기 및 이들의 조합에서 선택된 치환기로 치환된 것을 의미한다.
또한, 본 명세서에서 별도의 정의가 없는 한, '헤테로'란, N, O, S 및 P에서 선택된 헤테로 원자를 1개 내지 3개 함유한 것을 의미한다.
이하 일 구현예에 따른 하드마스크 조성물용 모노머를 설명한다.
일 구현예에 따른 하드마스크 조성물용 모노머는 하기 화학식 1로 표현될 수 있다.
[화학식 1]
Figure PCTKR2012010203-appb-I000011
상기 화학식 1에서,
A 및 A'는 서로 같거나 다를 수 있으며, 각각 독립적으로 치환 또는 비치환된 방향족 기(aromatic group)이다.
상기 A 및 A'는 예컨대 하기 그룹 1에 나열된 기 중에서 선택된 적어도 하나를 포함할 수 있다.
[그룹 1]
Figure PCTKR2012010203-appb-I000012
L은 연결기로 단일 결합 또는 치환 또는 비치환된 C1 내지 C6 알킬렌기이고,
n은 1 내지 5의 정수이다.
상기 A 및 A' 중 적어도 하나는 치환 또는 비치환된 다환 방향족 기(polycyclic aromatic group)를 포함할 수 있다.
상기 모노머는 예컨대 하기 화학식 1a, 1b 또는 1c로 표현될 수 있다.
[화학식 1a]
Figure PCTKR2012010203-appb-I000013
[화학식 1b]
Figure PCTKR2012010203-appb-I000014
[화학식 1c]
Figure PCTKR2012010203-appb-I000015
상기 화학식 1a, 1b 또는 1c에서,
A1 내지 A4는 치환 또는 비치환된 벤젠 기, 나프탈렌 기, 파이렌 기, 퍼릴렌 기, 벤조퍼릴렌 기, 코로넨 기 또는 이들의 조합일 수 있다.
L1 내지 L3는 각각 독립적으로 단일 결합 또는 치환 또는 비치환된 C1 내지 C6 알킬렌기이다.
상기 모노머는 예컨대 하기 화학식 1aa, 1bb, 1cc, 1dd 또는 1ee로 표현될 수 있다.
[화학식 1aa]
Figure PCTKR2012010203-appb-I000016
[화학식 1bb]
[화학식 1cc]
Figure PCTKR2012010203-appb-I000018
[화학식 1dd]
Figure PCTKR2012010203-appb-I000019
[화학식 1ee]
Figure PCTKR2012010203-appb-I000020
상기 모노머는 다환 방향족 기를 가짐으로써 단단한(rigid) 특성을 가질 수 있다.
특히, 상기 모노머는 히드록시기와 히드록시알킬렌기를 가진 구조이며 두 작용기의 축합 반응을 바탕으로 증폭 가교가 가능하여 우수한 가교 특성을 나타낼 수 있다.
전술한 예들에 따른 모노머는 열처리시 단시간 내에 높은 분자량의 고분자 형태로 가교됨으로써 우수한 기계적 특성, 내열 특성, 내화학성 및 식각 저항성과 같은 하드마스크 층에서 요구되는 특성을 나타낼 수 있다.
또한 전술한 예들에 따른 모노머는 치환기에 복수의 히드록시기를 포함함으로써 용매에 대한 용해성 또한 높아서 용액 형태로 제조되어 스핀-온 코팅 방법으로 박막을 형성할 수 있다.
또한 전술한 예들에 따른 모노머는 소정의 패턴을 가지는 하부막 위에 스핀-온 코팅 방법으로 형성될 때 패턴들 사이의 갭을 채울 수 있는 갭-필 특성 및 평탄화 특성 또한 우수하다.  
상기 모노머는 약 200 내지 3,000의 분자량을 가질 수 있다.  상기 범위의 분자량을 가짐으로써 고탄소 함량의 상기 모노머가 용매에 대한 우수한 용해도를 가지게 되며 스핀-온 코팅에 의해 양호한 박막을 얻을 수 있다.
이하 일 구현예에 따른 하드마스크 조성물에 대하여 설명한다.
일 구현예에 따른 하드마스크 조성물은 상술한 모노머 및 용매를 포함한다.
상기 모노머는 전술한 바와 같으며, 1종의 모노머가 단독으로 포함될 수도 있고 2종 이상의 모노머가 혼합되어 포함될 수도 있다.
상기 용매는 상기 모노머에 대한 충분한 용해성 또는 분산성을 가지는 것이면 특별히 한정되지 않으나, 예컨대 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤, 메틸피롤리돈 및 아세틸아세톤에서 선택되는 적어도 하나를 포함할 수 있다.
상기 모노머는 상기 하드마스크 조성물 총 함량에 대하여 약 0.1 내지 30중량%로 포함될 수 있다.  상기 모노머가 상기 범위로 포함됨으로써 목적하고자 하는 두께의 박막으로 코팅할 수 있다.
상기 하드마스크 조성물은 추가적으로 계면 활성제를 더 포함할 수 있다.
상기 계면 활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 계면 활성제는 상기 하드마스크 조성물 100 중량부에 대하여 약 0.001 내지 3 중량부로 포함될 수 있다.  상기 범위로 포함함으로써 하드마스크 조성물의 광학적 특성을 변경시키지 않으면서 용해도를 향상시킬 수 있다.
이하 상술한 하드마스크 조성물을 사용하여 패턴을 형성하는 방법에 대하여 설명한다.
일 구현예에 따른 패턴 형성 방법은 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 상술한 모노머 및 용매를 포함하는 하드마스크 조성물을 적용하는 단계, 상기 하드마스크 조성물을 열처리하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함한다.
상기 기판은 예컨대 실리콘웨이퍼, 유리 기판 또는 고분자 기판일 수 있다.
상기 재료 층은 최종적으로 패턴하고자 하는 재료이며, 예컨대 알루미늄, 구리 등과 같은 금속층, 실리콘과 같은 반도체 층 또는 산화규소, 질화규소 등과 같은 절연층일 수 있다. 상기 재료 층은 예컨대 화학기상증착 방법으로 형성될 수 있다.
상기 하드마스크 조성물은 용액 형태로 제조되어 스핀-온 코팅(spin-on coating) 방법으로 도포될 수 있다.  이 때 상기 하드마스크 조성물의 도포 두께는 특별히 한정되지 않으나, 예컨대 약 100 내지 10,000Å 두께로 도포될 수 있다.
상기 하드마스크 조성물을 열처리하는 단계는 예컨대 약 100 내지 500℃에서 약 10초 내지 10분 동안 수행할 수 있다.  상기 열처리 단계에서, 상기 모노머는 자기 가교 및/또는 상호 가교 반응을 일으킬 수 있다.
상기 실리콘 함유 박막층은 예컨대 질화규소 또는 산화규소로 만들어질 수 있다. 
또한 상기 실리콘 함유 박막층 상부에 바닥 반사방지 층(bottom anti-reflective coating, BARC)을 더 형성할 수도 있다.
상기 포토레지스트 층을 노광하는 단계는 예컨대 ArF, KrF 또는 EUV 등을 사용하여 수행할 수 있다.  또한 노광 후 약 100 내지 500℃에서 열처리 공정을 수행할 수 있다.
상기 재료 층의 노출된 부분을 식각하는 단계는 식각 가스를 사용한 건식 식각으로 수행할 수 있으며, 식각 가스는 예컨대 CHF3, CF4, Cl2, BCl3 및 이들의 혼합 가스를 사용할 수 있다.
상기 식각된 재료 층은 복수의 패턴으로 형성될 수 있으며, 상기 복수의 패턴은 금속 패턴, 반도체 패턴, 절연 패턴 등 다양할 수 있으며, 예컨대 반도체 집적 회로 디바이스 내의 다양한 패턴으로 적용될 수 있다. 
이하 실시예를 통하여 상술한 본 발명의 구현예를 보다 상세하게 설명한다.  다만 하기의 실시예는 단지 설명의 목적을 위한 것이며 본 발명의 범위를 제한하는 것은 아니다.
모노머의 합성
합성예 1
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 코로넨 50.0g(0.166 mol), 4-메톡시벤조일클로라이드 28.4g(0.1666 mol) 및 1,2-다이클로로에탄 235g을 첨가하여 용액을 준비하였다.  이어서 상기 용액에 알루미늄 클로라이드 22.2g(0.166 mol)를 상온에서 천천히 첨가한 후 60℃로 승온하여 8 시간 동안 교반하였다.  반응이 완결되면 상기 용액에 메탄올을 첨가하여 형성된 침전을 여과하여 4-메톡시벤조일 코로넨을 얻었다.
제2 단계: 메틸기 제거(demethylation) 반응
플라스크에 상기 제1 단계에서 얻은 4-메톡시벤조일 코로넨 50.0g(0.115 mol), 1-도데칸사이올 58.2g(0.288 mol), 수산화칼륨 19.4 g(0.345 mol) 및 N,N-다이메틸포름아마이드 191g을 첨가한 후, 120℃에서 8 시간 동안 교반하였다.  이어서 상기 혼합물을 냉각하고 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 4-하이드록시벤조일 코로넨을 얻었다.
제3 단계: 환원(reduction) 반응
플라스크에 상기 제2 단계에서 얻은 4-하이드록시벤조일기 코로넨 25.0g(0.0595 mol)과 테트라하이드로퓨란 145g을 첨가하여 용액을 준비하였다.  상기 용액에 수소화 붕소 나트륨 수용액 11.3g(0.297 mol)을 천천히 첨가하여 24시간 동안 상온에서 교반하였다.  반응이 완결되면 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 하기 화학식 1aa로 표현되는 모노머를 얻었다.
[화학식 1aa]
Figure PCTKR2012010203-appb-I000021
 
합성예 2
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 코로넨 50.0g(0.166 mol), 4-메톡시벤조일클로라이드 56.8g(0.333 mol) 및 1,2-다이클로로에탄 353g을 첨가하여 용액을 준비하였다.  이어서 상기 용액에 알루미늄 클로라이드 44.4g(0.333 mol)를 상온에서 천천히 첨가한 후 60℃로 승온하여 8 시간 동안 교반하였다.  반응이 완결되면 상기 용액에 메탄올을 첨가하여 형성된 침전을 여과하여 이중 치환된 4-메톡시벤조일 코로넨을 얻었다.
제2 단계: 메틸기 제거(demethylation) 반응
플라스크에 상기 제1 단계에서 얻은 이중 치환된 4-메톡시벤조일 코로넨 50.0g(0.880 mol), 1-도데칸사이올 89.0g(0.440 mol), 수산화칼륨 29.6g(0.528 mol) 및 N,N-다이메틸포름아마이드 253g을 첨가한 후, 120℃에서 8 시간 동안 교반하였다. 이어서 상기 혼합물을 냉각하고 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 이중 치환된 4-하이드록시벤조일 코로넨을 얻었다.
제3 단계: 환원(reduction) 반응
플라스크에 상기 제2 단계에서 얻은 이중 치환된 4-하이드록시벤조일기 코로넨 25.0g(0.0463 mol)과 테트라하이드로퓨란 170g을 첨가하여 용액을 준비하였다.  상기 용액에 수소화 붕소 나트륨 수용액 17.5g(0.463 mol)을 천천히 첨가하여 24시간 동안 상온에서 교반하였다.  반응이 완결되면 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 하기 화학식 1bb로 표현되는 모노머를 얻었다.
[화학식 1bb]
Figure PCTKR2012010203-appb-I000022
 
합성예 3
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 코로넨 50.0g(0.166 mol), 4-메톡시벤조일클로라이드 85.2g(0.499 mol) 및 1,2-다이클로로에탄 471g을 첨가하여 용액을 준비하였다.  이어서 상기 용액에 알루미늄 클로라이드 66.6g(0.499 mol)를 상온에서 천천히 첨가한 후 60℃로 승온하여 8 시간 동안 교반하였다.  반응이 완결되면 상기 용액에 메탄올을 첨가하여 형성된 침전을 여과하여 삼중 치환된 4-메톡시벤조일 코로넨을 얻었다.
제2 단계: 메틸기 제거(demethylation) 반응
플라스크에 상기 제1 단계에서 얻은 삼중 치환된 4-메톡시벤조일 코로넨 50.0g(0.0712 mol), 1-도데칸사이올 108.0g(0.534 mol), 수산화칼륨 35.9g(0.640 mol) 및 N,N-다이메틸포름아마이드 291g을 첨가한 후, 120℃에서 8 시간 동안 교반하였다.  이어서 상기 혼합물을 냉각하고 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 삼중 치환된 4-하이드록시벤조일 코로넨을 얻었다.
제3 단계: 환원(reduction) 반응
플라스크에 상기 제2 단계에서 얻은 삼중 치환된 4-하이드록시벤조일기 코로넨 25.0g(0.0378 mol)과 테트라하이드로퓨란 186g을 첨가하여 용액을 준비하였다.  상기 용액에 수소화 붕소 나트륨 수용액 21.5g(0.567 mol)을 천천히 첨가하여 24시간 동안 상온에서 교반하였다.  반응이 완결되면 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 하기 화학식 1cc로 표현되는 모노머를 얻었다.
[화학식 1cc]
Figure PCTKR2012010203-appb-I000023
 
합성예 4
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 테레프탈로일 클로라이드 20.6g(0.101 mol), 4-메톡시파이렌 47.0g(0.203 mol) 및 1,2-다이클로로에탄 221g을 첨가하여 용액을 준비하였다.  이어서 상기 용액에 알루미늄 클로라이드 27g(0.203 mol)를 상온에서 천천히 첨가한 후 60℃로 승온하여 8 시간 동안 교반하였다.  반응이 완결되면 상기 용액에 메탄올을 첨가하여 형성된 침전을 여과하여 비스(메톡시파이레닐카르보닐)벤젠을 얻었다.
제2 단계: 메틸기 제거(demethylation) 반응
플라스크에 상기 제1 단계에서 얻은 비스(메톡시파이레닐카르보닐)벤젠53.5g(0.0900 mol), 1-도데칸사이올 91.1g(0.450 mol), 수산화칼륨 30.3 g(0.540 mol) 및 N,N-다이메틸포름아마이드 262g을 첨가한 후, 120℃에서 8 시간 동안 교반하였다.  이어서 상기 혼합물을 냉각하고 5% 염화수소 용액으로 pH 7 정도로 중화한 후 형성된 침전물을 여과하여 비스(히드록시파이레닐카르보닐)벤젠을 얻었다.
제3 단계: 환원(reduction) 반응
플라스크에 상기 제2 단계에서 얻은 비스(히드록시파이레닐카르보닐)벤젠 24.0g(0.0424 mol)과 테트라하이드로퓨란 160g을 첨가하여 용액을 준비하였다.  상기 용액에 수소화 붕소 나트륨 수용액 16.0g(0.424 mol)을 천천히 첨가하여 24시간 동안 상온에서 교반하였다.  반응이 완결되면 5% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 하기 화학식 1dd로 표현되는 모노머를 얻었다.
[화학식 1dd]
Figure PCTKR2012010203-appb-I000024
 
합성예 5
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 이소프탈로일 클로라이드 20.0g(0.0985 mol), 4-메톡시파이렌 45.8g(0.197 mol) 및 1,2-다이클로로에탄 215g을 첨가하여 용액을 준비하였다.  이어서 상기 용액에 알루미늄 클로라이드 26.3g(0.197 mol)를 상온에서 천천히 첨가한 후 60℃로 승온하여 8 시간 동안 교반하였다.  반응이 완결되면 상기 용액에 메탄올을 첨가하여 형성된 침전을 여과하여 비스(메톡시파이레닐카르보닐)벤젠을 얻었다.
제2 단계: 메틸기 제거(demethylation) 반응
플라스크에 상기 제1 단계에서 얻은 비스(메톡시파이레닐카르보닐)벤젠50.0g(0.0840 mol), 1-도데칸사이올 85.1g(0.420 mol), 수산화칼륨 28.3g(0.504 mol) 및 N,N-다이메틸포름아마이드 245g을 첨가한 후, 120℃에서 8 시간 동안 교반하였다.  이어서 상기 혼합물을 냉각하고 5% 염화수소 용액으로 pH 7 정도로 중화한 후 형성된 침전물을 여과하여 비스(히드록시파이레닐카르보닐)벤젠을 얻었다.
제3 단계: 환원(reduction) 반응
플라스크에 상기 제2 단계에서 얻은 비스(히드록시파이레닐카르보닐)벤젠 24.0g(0.0424 mol)과 테트라하이드로퓨란 160g을 첨가하여 용액을 준비하였다.  상기 용액에 수소화 붕소 나트륨 수용액 16.0g(0.424 mol)을 천천히 첨가하여 24시간 동안 상온에서 교반하였다.  반응이 완결되면 5% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 하기 화학식 1ee로 표현되는 모노머를 얻었다.
[화학식 1ee]
Figure PCTKR2012010203-appb-I000025
비교합성예 1
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 코로넨 50.0g(0.166 mol), 벤조일클로라이드 46.8g(0.333 mol) 및1,2-다이클로로에탄 330g을 첨가하여 용액을 준비하였다.  이어서 상기 용액에 알루미늄 클로라이드 44.4g(0.333 mol)를 상온에서 천천히 첨가한 후 60℃로 승온하여 8 시간 동안 교반하였다.  반응이 완결되면 상기 용액에 메탄올을 첨가하여 형성된 침전을 여과하여 이중 치환된 벤조일 코로넨을 얻었다.
제2 단계: 환원(reduction) 반응
플라스크에 상기 제1 단계에서 얻은 이중 치환된 벤조일 코로넨 25.0g(0.0492 mol)과 테트라하이드로퓨란 174g을 첨가하여 용액을 준비하였다.  상기 용액에 수소화 붕소나트륨 수용액 18.6g(0.492 mol)을 천천히 첨가하여 24시간 동안 상온에서 교반하였다.  반응이 완결되면 10% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸 아세테이트로 추출하여 하기 화학식 2로 표현되는 모노머를 얻었다.
[화학식 2]
Figure PCTKR2012010203-appb-I000026
 
비교합성예 2
제1 단계: 프리델-크래프트 아실레이션(Friedel-Craft Acylation) 반응
플라스크에 벤조일 클로라이드 13.9g(0.0989 mol), 파이렌 10.0g(0.0495 mol) 및 1,2-다이클로로에탄 87g을 첨가하였다. 이 용액에 알루미늄 클로라이드 13.2g(0.0989 mol)를 상온에서 천천히 첨가한 후 60℃로 올려 8 시간 동안 교반하였다. 반응이 완결되면 메탄올을 첨가한 후 형성된 침전을 여과하여 다이벤조일파이렌을 얻었다.
제2 단계: 환원(reduction) 반응
플라스크에 다이벤조일파이렌 5.00g(0.0122 mol)과 테트라하이드로퓨란 57g을 첨가하였다. 이 용액에 수소화 붕소 나트륨 4.60g(0.122 mol) 수용액을 천천히 첨가하여 24시간 동안 상온에서 교반하였다. 반응이 완결되면 5% 염화수소 용액으로 pH 7 정도로 중화한 후 에틸아세테이트로 추출하여 하기 화학식 3으로 표현되는 모노머를 얻었다.
[화학식 3]
Figure PCTKR2012010203-appb-I000027
비교합성예 3
플라스크에 α,α'-디클로로-p-크실렌 8.75g(0.05 mol), 알루미늄 클로라이드 26.66g 및 γ-부티로락톤 200g을 첨가하였다. 상기 용액에 4,4'-(9-플루오레닐리덴)디페놀 35.03g(0.10 mol)을 γ-부티로락톤 200g에 녹인 용액을 천천히 첨가한 후 120℃에서 12 시간 동안 교반하였다.  중합 후, 물을 사용하여 산을 제거한 후에 농축하였다. 이어서 메틸아밀케톤과 메탄올을 사용하여 중합 생성물을 희석하고 다시 15 중량% 농도의 메틸아밀케톤/메탄올 = 4/1 (중량비)의 용액을 첨가하여 농도를 조절하였다. 이 용액을 분액깔대기에 넣고 n-헵탄을 첨가하여 모노머 및 저분자량체를 제거하여 하기 화학식 4로 표현되는 중합체를 얻었다.
[화학식 4]
Figure PCTKR2012010203-appb-I000028
상기 중합체의 중량평균분자량은 12,000 이었고, 분산도는 2.04 였다.
하드마스크 조성물의 제조
실시예 1
합성예 1에서 얻은 모노머를 프로필렌글리콜 모노메틸에테르 아세테이트 (propylene glycol monomethyl ether acetate, PGMEA)와 사이클로헥사논(cyclohexanone)(7:3 (v/v))의 혼합 용매에 녹인 후 여과하여 하드마스크 조성물을 제조하였다. 목적하고자 하는 두께에 따라 상기 모노머의 중량은 상기 하드마스크 조성물의 총중량에 대하여 10.0 중량% 또는 13.0 중량%로 조절하였다. 
실시예 2
합성예 1에서 얻은 모노머 대신 합성예 2에서 얻은 모노머를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다. 
실시예 3
합성예 1에서 얻은 모노머 대신 합성예 3에서 얻은 모노머를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다. 
실시예 4
합성예 1에서 얻은 모노머 대신 합성예 4에서 얻은 모노머를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 5
합성예 1에서 얻은 모노머 대신 합성예 5에서 얻은 모노머를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
비교예 1
합성예 1에서 얻은 모노머 대신 비교합성예 1에서 얻은 모노머를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다. 
비교예 2
합성예 1에서 얻은 모노머 대신 비교합성예 2에서 얻은 모노머를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
비교예 3
합성예 1에서 얻은 모노머 대신 비교합성예 3에서 얻은 중합체를 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
평가 1: 내화학성
실리콘 웨이퍼 위에 실시예 1 내지 5와 비교예 1, 2에 따른 모노머 함량 10.0 중량%의 하드마스크 조성물을 스핀-온 코팅 방법으로 도포한 후, 핫플레이트 위에서 240℃로 1분간 열처리하여 박막을 형성하였다.  K-MAC사의 박막두께측정기로 초기 박막 두께를 측정하였다.
이어서 상기 박막을 박리액인 에틸 3-에톡시프로피오네이트 (ethyl 3-ethoxypropionate, EEP)와 에틸 락테이드(ethyl lactate, EL)의 혼합 용매 (7:3 (v/v))에 1분 동안 담침하고 꺼낸 후 박막의 두께를 측정하였다.
그 결과는 표 1과 같다.
표 1
  초기 박막 두께(Å) 담침 후 박막 두께(Å) 박막두께 감소율(%)
실시예 1 2,342 2,125 -9.27
실시예 2 2,733 2,725 -0.29
실시예 3 2,940 2,937 -0.10
실시예 4 2,663 2,660 -0.11
실시예 5 2,996 2,972 -0.80
비교예 1 2,042 342 -83.3
비교예 2 2,490 123 -95.1
표 1을 참고하면, 실시예 1 내지 5에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 1, 2에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 담침 이후에 두께 감소율이 적은 것을 알 수 있다.
이로부터 실시예 1 내지 5에 따른 하드마스크 조성물은 비교예 1, 2에 따른 하드마스크 조성물과 비교하여 비교적 저온인 240℃ 에서의 열처리에서도 충분한 가교가 이루어져 내화학성이 높은 박막을 형성할 수 있음을 알 수 있다. 
평가 2: 내열성
실리콘 웨이퍼 위에 실시예 1 내지 5와 비교예 1, 2에 따른 모노머 함량 10.0 중량%의 하드마스크 조성물을 스핀-온 코팅 방법으로 도포한 후, 핫플레이트 위에서 240℃로 1분간 열처리하여 박막을 형성하였다.  K-MAC사의 박막두께측정기로 상기 박막의 두께를 측정하였다.
이어서 상기 박막을 400℃에서 2분 동안 다시 열처리한 후 박막의 두께를 측정하였다.
그 결과는 표 2와 같다.
표 2
  240℃ 열처리 후박막 두께(Å) 400℃ 열처리 후박막 두께(Å) 박막두께 감소율(%)
실시예 1 2,338 2,050 -12.31
실시예 2 2,736 2,518 -7.97
실시예 3 2,948 2,813 -4.58
실시예 4 2,713 2,408 -11.2
실시예 5 3,053 2,767 -9.37
비교예 1 2,045 1,348 -34.08
비교예 2 2,479 310 -87.5
표 2를 참고하면, 실시예 1 내지 5에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 1, 2에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 400℃ 열처리 시 두께 감소율이 적은 것을 알 수 있다.
이로부터 실시예 1 내지 5에 따른 하드마스크 조성물은 비교예 1, 2에 따른 하드마스크 조성물과 비교하여 박막의 가교도가 높아 400℃의 고온에서도 내열성이 높은 것을 알 수 있다. 
평가 3-1: 내식각성 (1)
실리콘 웨이퍼 위에 실시예 1 내지 3과 비교예 1에 따른 모노머 함량 13 중량%의 하드마스크 조성물을 스핀-온 코팅 방법으로 도포한 후, 핫플레이트 위에서 240?로 1분간 열처리하여 박막을 형성하였다.  K-MAC사의 박막두께측정기로 상기 박막의 두께를 측정하였다.
이어서 상기 박막에 N2/O2 혼합 기체를 사용하여 60초 동안 건식 식각한 후, 박막의 두께를 측정하고, 이로부터 식각율을 계산하였다. 
그 결과는 표 3과 같다.
표 3
  초기 박막 두께(Å) 식각 후 박막 두께(Å) 식각율(Å/s)
실시예 1 4,427 3,012 23.6
실시예 2 4,532 3,117 23.6
실시예 3 4,708 3,322 23.1
비교예 1 4,112 2,535 26.3
* 식각율(bulk etch rate, BER)
: (초기 박막 두께 - 식각 후 박막 두께)/식각 시간(초)
표 3을 참고하면, 실시예 1 내지 3에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 1에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 식각율이 낮은 것을 알 수 있다.
이로부터 실시예 1 내지 3에 따른 하드마스크 조성물은 비교예 1에 따른 하드마스크 조성물과 비교하여 박막의 가교도가 높아 내식각성이 높은 것을 알 수 있다.
평가 3-2: 내식각성 (2)
실리콘 웨이퍼 위에 실시예 4, 5와 비교예 2, 3에 따른 모노머 또는 중합체 함량 13.0 중량%의 하드마스크 조성물을 스핀-온 코팅 방법으로 도포한 후, 핫플레이트 위에서 240℃로 1분간 열처리하여 박막을 형성하였다.  K-MAC社의 박막두께측정기로 상기 박막의 두께를 측정하였다.
이어서 상기 박막에 N2/O2 혼합 기체를 사용하여 60초 동안 건식 식각한 후, 박막의 두께를 측정하였다.  또한, 상기 박막에 CFx 혼합 기체를 사용하여 100초 동안 건식 식각한 후, 박막의 두께를 측정하였다.
그 결과는 표 4와 같다.
표 4
  N2/O2 CFx
  초기박막 두께(Å) 식각 후 박막 두께(Å) 식각율(Å/s) 초기박막두께(Å) 식각 후 박막 두께(Å) 식각율(Å/s)
실시예 4 4,093 2,765 22.1 4,090 1,540 25.5
실시예 5 4,048 2,704 22.4 4,056 1,496 25.6
비교예 2 3,503 1,673 30.5 3,518 418 31.0
비교예 3 4,081 2,570 25.2 4,061 1,276 27.9
* 식각율(bulk etch rate, BER)
: (초기 박막 두께 - 식각 후 박막 두께)/식각 시간(초)
표 4를 참고하면, 실시예 4, 5에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 2, 3에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 식각율이 낮은 것을 알 수 있다.
이로부터 실시예 4, 5에 따른 하드마스크 조성물은 비교예 2, 3에 따른 하드마스크 조성물과 비교하여 박막의 내식각성이 높은 것을 알 수 있다. 
평가 4: 패턴 형성
실리콘 웨이퍼 위에 3,000Å 두께의 산화규소(SiO2) 층을 화학기상증착 방법으로 형성하였다.  이어서 상기 산화규소 층 위에 실시예 1 내지 5와 비교예 1 내지 3에 따른 모노머 또는 중합체 함량 13.0 중량%의 하드마스크 조성물을 스핀-온 코팅 방법으로 도포한 후, 핫플레이트 위에서 240℃로 1분간 열처리하여 하드마스크 층을 형성하였다.  이어서 상기 하드마스크 층 위에 질화규소(SiN) 층을 화학기상증착법에 의해 형성하였다. 이어서 KrF용 포토레지스트를 코팅하여 110℃에서 60초 동안 열처리한 후 ASML(XT: 1400, NA 0.93) 노광 장비를 사용하여 노광하고 테트라메틸암모늄 하이드록사이드(2.38wt% TMAH 수용액)로 현상하였다. 이어서 상기 과정에 의해 패터닝된 포토레지스트를 마스크로 CHF3/CF4 혼합가스를 사용하여 질화규소 층을 건식 식각하였다.  이어서 상기 과정에 의해 패터닝된 질화규소 층을 마스크로 N2/O2 혼합가스를 사용하여 하드마스크 층을 건식 식각하였다. 이어서 상기 과정에 의해 패터닝된 하드마스크 층을 마스크로 CHF3/CF4 혼합가스를 사용하여 산화 규소층을 건식 식각한 후, O2 가스를 사용하여 남아있는 유기물을 모두 제거하였다.
전자주사현미경(SEM)을 사용하여 상기 하드마스크 층 및 상기 산화규소 층 패턴의 단면을 관찰하였다. 그 결과는 표 5와 같다.
표 5
  하드마스크 층 패턴 모양 산화규소 층 패턴 모양
실시예 1 수직 모양 수직 모양
실시예 2 수직 모양 수직 모양
실시예 3 수직 모양 수직 모양
실시예 4 수직 모양 수직 모양
실시예 5 수직 모양 수직 모양
비교예 1 테이퍼진 모양 테이퍼진 모양
비교예 2 테이퍼진 모양 테이퍼진 모양
비교예 3 테이퍼진 모양 테이퍼진 모양
표 5를 참고하면, 실시예 1 내지 5에 따른 하드마스크 조성물로 형성된 하드마스크 층 및 그 하부의 산화규소 층은 모두 수직 모양으로 패터닝된 반면, 비교예 1 내지 3에 따른 하드마스크 조성물로 형성된 하드마스크 층은 수직 모양으로 패터닝되지 못하여 테이퍼진 모양으로 패터닝된 것을 알 수 있다.
이로부터 실시예 1 내지 5에 따른 하드마스크 조성물을 사용한 경우 비교예 1 내지 3에 따른 하드마스크 조성물을 사용한 경우보다 식각 내성이 우수하여 양호한 패턴으로 형성되고 그로부터 하드마스크 층의 하부에 위치하는 재료층 또한 양호한 패턴으로 형성되는 것을 알 수 있다.
평가 5: 갭-필 및 평탄화 특성
패턴화된 실리콘웨이퍼에 실시예 4, 5와 비교예 2, 3에 따른 모노머 또는 중합체 함량 13.0 중량%의 하드마스크 조성물을 스핀-온 코팅하고 240℃에서 60초 동안 열처리한 후, FE-SEM 장비를 이용하여 갭-필 특성 및 평탄화 특성을 관찰하였다.
갭-필 특성은 패턴 단면을 전자주사현미경(SEM)으로 관찰하여 보이드(void) 발생 유무로 판별하였고, 평탄화 특성은 SEM으로 관찰된 패턴 단면의 이미지로부터 하드마스크 층의 두께를 측정하여 하기 계산식 1로 수치화하였다.  평탄화 특성은 h1 및 h2의 차이가 크기 않을수록 우수한 것이므로 그 수치가 작을수록 평탄화 특성이 우수한 것이다.
[계산식]
Figure PCTKR2012010203-appb-I000029
그 결과는 표 6과 같다.
표 6
  평탄화 특성 갭-필 특성
실시예 4 10.3 보이드 없음
실시예 5 10.8 보이드 없음
비교예 2 17.0 보이드 발생
비교예 3 128.0 보이드 없음
표 6을 참고하면, 실시예 4, 5에 따른 하드마스크 조성물을 사용한 경우 비교예 2, 3에 따른 하드마스크 조성물을 사용한 경우와 비교하여 평탄화 정도가 우수하고 보이드 또한 관찰되지 않아 우수한 갭-필 특성을 나타내는 것을 알 수 있다.
이상에서 본 발명의 바람직한 실시예들에 대하여 상세하게 설명하였지만 본 발명의 권리 범위는 이에 한정되는 것은 아니고 다음의 청구 범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리 범위에 속하는 것이다.

Claims (11)

  1. 하기 화학식 1로 표현되는 하드마스크 조성물용 모노머:
    [화학식 1]
    Figure PCTKR2012010203-appb-I000030
    상기 화학식 1에서,
    A 및 A'는 서로 같거나 다른 치환 또는 비치환된 방향족 기(aromatic group)이고,
    L은 단일 결합 또는 치환 또는 비치환된 C1 내지 C6 알킬렌기이고,
    n은 1 내지 5의 정수이다.
  2. 제1항에서,
    상기 방향족 기는 하기 그룹 1에 나열된 기 중에서 선택된 적어도 하나를 포함하는 하드마스크 조성물용 모노머:
    [그룹 1]
    Figure PCTKR2012010203-appb-I000031
  3. 제1항에서,
    상기 A 및 A' 중 적어도 하나는 치환 또는 비치환된 다환 방향족 기(polycyclic aromatic group)를 포함하는 하드마스크 조성물용 모노머.
  4. 제1항에서,
    상기 모노머는 하기 화학식 1a, 1b 또는 1c로 표현되는 하드마스크 조성물용 모노머:
    [화학식 1a]
    Figure PCTKR2012010203-appb-I000032
    [화학식 1b]
    Figure PCTKR2012010203-appb-I000033
    [화학식 1c]
    Figure PCTKR2012010203-appb-I000034
    상기 화학식 1a, 1b 또는 1c에서,
    A1 내지 A4는 치환 또는 비치환된 벤젠 기, 나프탈렌 기, 파이렌 기, 퍼릴렌 기, 벤조퍼릴렌 기, 코로넨 기 또는 이들의 조합일 수 있다.
    L1 내지 L3는 각각 독립적으로 단일 결합 또는 치환 또는 비치환된 C1 내지 C6 알킬렌기이다.
  5. 제4항에서,
    상기 모노머는 하기 화학식 1aa, 1bb, 1cc, 1dd 또는 1ee로 표현되는 하드마스크 조성물용 모노머:
    [화학식 1aa]
    Figure PCTKR2012010203-appb-I000035
    [화학식 1bb]
    Figure PCTKR2012010203-appb-I000036
    [화학식 1cc]
    Figure PCTKR2012010203-appb-I000037
    [화학식 1dd]
    Figure PCTKR2012010203-appb-I000038
    [화학식 1ee]
    Figure PCTKR2012010203-appb-I000039
  6. 제1항에서,
    상기 모노머는 200 내지 3,000의 분자량을 가지는 하드마스크 조성물용 모노머.
  7. 제1항 내지 제6항 중 어느 한 항에 따른 모노머, 그리고
    용매
    를 포함하는 하드마스크 조성물.
  8. 제7항에서,
    상기 모노머는 상기 하드마스크 조성물 총 함량에 대하여 약 0.1 내지 30 중량%로 포함되어 있는 하드마스크 조성물.
  9. 기판 위에 재료 층을 제공하는 단계,
    상기 재료 층 위에 제7항에 따른 하드마스크 조성물을 적용하는 단계,
    상기 하드마스크 조성물을 열처리하여 하드마스크 층을 형성하는 단계,
    상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계,
    상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계,
    상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계,
    상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고
    상기 재료 층의 노출된 부분을 식각하는 단계
    를 포함하는 패턴 형성 방법.
  10. 제9항에서,
    상기 하드마스크 조성물을 적용하는 단계는 스핀-온 코팅 방법으로 수행하는 패턴 형성 방법.
  11. 제9항에서,
    상기 하드마스크 층을 형성하는 단계는 100 내지 500℃에서 열처리하는 패턴 형성 방법.
PCT/KR2012/010203 2011-12-30 2012-11-29 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법 WO2013100409A1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/364,829 US9725389B2 (en) 2011-12-30 2012-11-29 Monomer for a hardmask composition, hardmask composition comprising the monomer, and method for forming a pattern using the hardmask composition
CN201280065648.6A CN104024940B (zh) 2011-12-30 2012-11-29 用于硬掩模组合物的单体、包含该单体的硬掩模组合物、以及使用该硬掩模组合物形成图案的方法
JP2014549963A JP6084986B2 (ja) 2011-12-30 2012-11-29 ハードマスク組成物用モノマー、前記モノマーを含むハードマスク組成物および前記ハードマスク組成物を用いたパターン形成方法
US15/668,159 US20170327640A1 (en) 2011-12-30 2017-08-03 Monomer for a hardmask composition, hardmask composition comprising the monomer, and method for forming a pattern using the hardmask composition

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2011-0147860 2011-12-30
KR1020110147860A KR101497132B1 (ko) 2011-12-30 2011-12-30 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR1020110147384A KR101413071B1 (ko) 2011-12-30 2011-12-30 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR10-2011-0147384 2011-12-30

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US14/364,829 A-371-Of-International US9725389B2 (en) 2011-12-30 2012-11-29 Monomer for a hardmask composition, hardmask composition comprising the monomer, and method for forming a pattern using the hardmask composition
US15/668,159 Continuation US20170327640A1 (en) 2011-12-30 2017-08-03 Monomer for a hardmask composition, hardmask composition comprising the monomer, and method for forming a pattern using the hardmask composition

Publications (1)

Publication Number Publication Date
WO2013100409A1 true WO2013100409A1 (ko) 2013-07-04

Family

ID=48697808

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2012/010203 WO2013100409A1 (ko) 2011-12-30 2012-11-29 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Country Status (5)

Country Link
US (2) US9725389B2 (ko)
JP (1) JP6084986B2 (ko)
CN (1) CN104024940B (ko)
TW (1) TWI548946B (ko)
WO (1) WO2013100409A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150001178A1 (en) * 2013-06-26 2015-01-01 Hyun-Ji SONG Monomer for hardmask composition and hardmask composition including the monomer and method of forming patterns using the hardmask composition
CN104749880A (zh) * 2013-12-31 2015-07-01 三星Sdi株式会社 硬掩膜组合物和使用硬掩膜组合物形成图案的方法
US20160363864A1 (en) * 2015-06-10 2016-12-15 Samsung Sdi Co., Ltd. Polymer, organic layer composition, organic layer, and method of forming patterns
KR20160145480A (ko) * 2015-06-10 2016-12-20 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법
KR101814671B1 (ko) * 2015-06-02 2018-01-04 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
KR20200082483A (ko) * 2018-12-28 2020-07-08 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102356815B1 (ko) * 2013-11-14 2022-01-27 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 갭-충전 방법
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) * 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
JP6652747B2 (ja) * 2014-11-04 2020-02-26 日産化学株式会社 アリーレン基を有するポリマーを含むレジスト下層膜形成組成物
KR101804260B1 (ko) 2015-02-17 2017-12-04 삼성에스디아이 주식회사 모노머, 유기막 조성물, 유기막, 및 패턴형성방법
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR101884447B1 (ko) 2015-07-06 2018-08-01 삼성에스디아이 주식회사 모노머, 유기막 조성물, 유기막, 및 패턴형성방법
KR102368068B1 (ko) 2015-08-24 2022-02-25 삼성전자주식회사 반도체 소자 제조용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
KR102539857B1 (ko) * 2016-04-29 2023-06-07 동우 화인켐 주식회사 하드마스크용 조성물
CN106187709B (zh) * 2016-07-13 2019-10-11 上海引盛生物科技有限公司 一种1-羟基芘的制备方法
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
US11022882B2 (en) * 2018-06-20 2021-06-01 Shin-Etsu Chemical Co., Ltd. Compound and composition for forming organic film
JP6981945B2 (ja) 2018-09-13 2021-12-17 信越化学工業株式会社 パターン形成方法
KR20230040147A (ko) * 2021-09-15 2023-03-22 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
CN116224714B (zh) * 2022-12-29 2023-12-05 广东粤港澳大湾区黄埔材料研究院 硬掩膜组合物和半导体器件的制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080107210A (ko) * 2007-06-05 2008-12-10 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
KR20090068444A (ko) * 2007-12-24 2009-06-29 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한재료의 패턴화 방법
KR20090120827A (ko) * 2008-05-20 2009-11-25 제일모직주식회사 방향족 고리 함유 중합체, 이를 포함하는 반사방지하드마스크 조성물 및 이를 이용한 재료의 패턴화 방법
WO2010041626A1 (ja) * 2008-10-10 2010-04-15 日産化学工業株式会社 フルオレンを含有する樹脂を含むリソグラフィー用レジスト下層膜形成組成物

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62252734A (ja) 1986-04-24 1987-11-04 General Sekiyu Kagaku Kogyo Kk コロネンビニル誘導体
JPH05113663A (ja) * 1991-10-22 1993-05-07 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
JPH06145307A (ja) * 1992-11-16 1994-05-24 Matsushita Electric Ind Co Ltd 電子機器用エポキシ樹脂組成物
JPH06219973A (ja) 1993-01-25 1994-08-09 Ricoh Co Ltd 新規なピレン誘導体
JP3501427B2 (ja) * 1995-06-13 2004-03-02 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
ATE319122T1 (de) * 1999-07-27 2006-03-15 Fuji Photo Film Co Ltd Bildaufzeichnungsmaterial
JP4510186B2 (ja) 1999-09-28 2010-07-21 株式会社アルバック カーボン薄膜製造方法
US6576562B2 (en) * 2000-12-15 2003-06-10 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device using mask pattern having high etching resistance
JP4429584B2 (ja) 2002-11-07 2010-03-10 旭化成株式会社 縦型の電界効果トランジスタ
KR100896451B1 (ko) 2006-12-30 2009-05-14 제일모직주식회사 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
CN101641390B (zh) * 2007-04-02 2013-05-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及用其图案化材料的方法
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
US20100119979A1 (en) 2008-11-13 2010-05-13 Rahman M Dalil Antireflective Coating Composition Comprising Fused Aromatic Rings
US20100292175A1 (en) * 2009-05-15 2010-11-18 Leibniz-Institut fur Pflanzenbiochemie Use of hydroxyflavan derivatives for taste modification
US20100316949A1 (en) * 2009-06-10 2010-12-16 Rahman M Dalil Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings
KR101414278B1 (ko) 2009-11-13 2014-07-02 제일모직 주식회사 레지스트 하층막용 고분자, 이를 포함하는 레지스트 하층막 조성물 및 소자의 패턴 형성 방법
CN201566281U (zh) 2009-12-08 2010-09-01 周文卿 多功能粉笔套
KR101311942B1 (ko) 2009-12-31 2013-09-26 제일모직주식회사 레지스트 하층막용 방향족 고리 함유 화합물 및 이를 포함하는 레지스트 하층막용 조성물
KR101432605B1 (ko) * 2010-12-16 2014-08-21 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101423171B1 (ko) * 2010-12-30 2014-07-25 제일모직 주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101531610B1 (ko) * 2011-12-30 2015-06-24 제일모직주식회사 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101413069B1 (ko) 2011-12-30 2014-07-02 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101497132B1 (ko) 2011-12-30 2015-03-02 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101413071B1 (ko) 2011-12-30 2014-07-02 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
CN104812729B (zh) * 2012-12-26 2017-05-10 第一毛织株式会社 单体、包含此单体的硬屏蔽组成物及使用此硬屏蔽组成物形成图案的方法
KR101566531B1 (ko) * 2012-12-27 2015-11-05 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101556275B1 (ko) * 2012-12-28 2015-09-30 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
TWI541611B (zh) * 2013-06-26 2016-07-11 第一毛織股份有限公司 用於硬罩幕組合物的單體、包括該單體的硬罩幕組合物及使用該硬罩幕組合物形成圖案的方法
CN104253024B (zh) * 2013-06-27 2017-07-28 第一毛织株式会社 硬掩模组合物、使用其形成图案的方法以及包括该图案的半导体集成电路装置
KR20150079199A (ko) * 2013-12-31 2015-07-08 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR102287343B1 (ko) * 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080107210A (ko) * 2007-06-05 2008-12-10 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
KR20090068444A (ko) * 2007-12-24 2009-06-29 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한재료의 패턴화 방법
KR20090120827A (ko) * 2008-05-20 2009-11-25 제일모직주식회사 방향족 고리 함유 중합체, 이를 포함하는 반사방지하드마스크 조성물 및 이를 이용한 재료의 패턴화 방법
WO2010041626A1 (ja) * 2008-10-10 2010-04-15 日産化学工業株式会社 フルオレンを含有する樹脂を含むリソグラフィー用レジスト下層膜形成組成物

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10345706B2 (en) * 2013-06-26 2019-07-09 Cheil Industries, Inc. Monomer for hardmask composition and hardmask composition including the monomer and method of forming patterns using the hardmask composition
US20150001178A1 (en) * 2013-06-26 2015-01-01 Hyun-Ji SONG Monomer for hardmask composition and hardmask composition including the monomer and method of forming patterns using the hardmask composition
CN104749880A (zh) * 2013-12-31 2015-07-01 三星Sdi株式会社 硬掩膜组合物和使用硬掩膜组合物形成图案的方法
KR20150079208A (ko) * 2013-12-31 2015-07-08 제일모직주식회사 하드마스크 조성물 및 이를 사용한 패턴 형성 방법
US9348229B2 (en) 2013-12-31 2016-05-24 Samsung Sdi Co., Ltd. Hardmask composition and method of forming patterns using the hardmask composition
KR101667788B1 (ko) * 2013-12-31 2016-10-19 제일모직 주식회사 하드마스크 조성물 및 이를 사용한 패턴 형성 방법
US10323159B2 (en) 2015-06-02 2019-06-18 Samsung Sdi Co., Ltd. Organic layer composition and method of forming patterns
KR101814671B1 (ko) * 2015-06-02 2018-01-04 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
KR20160145480A (ko) * 2015-06-10 2016-12-20 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법
KR101895908B1 (ko) * 2015-06-10 2018-10-24 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법
US9971243B2 (en) 2015-06-10 2018-05-15 Samsung Sdi Co., Ltd. Polymer, organic layer composition, organic layer, and method of forming patterns
US20160363864A1 (en) * 2015-06-10 2016-12-15 Samsung Sdi Co., Ltd. Polymer, organic layer composition, organic layer, and method of forming patterns
KR20200082483A (ko) * 2018-12-28 2020-07-08 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법
KR102343221B1 (ko) 2018-12-28 2021-12-23 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴 형성 방법

Also Published As

Publication number Publication date
CN104024940B (zh) 2018-05-01
JP2015515112A (ja) 2015-05-21
JP6084986B2 (ja) 2017-02-22
TW201333632A (zh) 2013-08-16
US9725389B2 (en) 2017-08-08
TWI548946B (zh) 2016-09-11
US20140342273A1 (en) 2014-11-20
CN104024940A (zh) 2014-09-03
US20170327640A1 (en) 2017-11-16

Similar Documents

Publication Publication Date Title
WO2013100409A1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2014065500A1 (ko) 하드마스크 조성물 및 이를 사용한 패턴형성방법
WO2013100365A1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2014104480A1 (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2011081316A2 (ko) 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
WO2011081321A2 (ko) 레지스트 하층막용 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
WO2011081285A2 (ko) 레지스트 하층막용 방향족 고리 함유 중합체 및 이를 포함하는 레지스트 하층막 조성물
WO2019022394A1 (ko) 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 반도체 소자의 제조방법
WO2014104496A1 (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
WO2012005418A1 (ko) 레지스트 하층막용 방향족 고리 함유 화합물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 소자의 패턴 형성 방법
WO2023195636A1 (ko) 고평탄화 성능을 지닌 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
WO2022245014A1 (ko) 증발감량이 적은 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법
WO2023191535A1 (ko) 클릭반응을 이용한 패턴화된 cnt 필름 코팅 기판 및 이의 제조방법
WO2017057936A1 (ko) 유기막 cmp 슬러리 조성물 및 이를 이용한 연마방법
WO2019190065A1 (ko) 하드마스크용 조성물 및 이를 이용한 패턴 형성 방법
WO2019093761A1 (ko) 하드마스크용 조성물
WO2020111522A1 (ko) 감광성 수지 조성물, 필름 및 전자장치
WO2021054570A1 (ko) 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
WO2018182307A1 (ko) 실리콘 질화막 식각 조성물
WO2015026194A1 (ko) 신규한 중합체 및 이를 포함하는 조성물
WO2018101583A1 (ko) 유기막 연마용 슬러리 조성물 및 이를 이용한 유기막 연마 방법
WO2019143121A1 (ko) 하드마스크용 조성물
WO2021167397A1 (ko) 레지스트 화합물, 이를 사용한 패턴 형성 방법, 및 이를 사용한 반도체 소자 제조 방법
WO2014069718A1 (ko) 레지스트 하층막용 조성물 및 상기 레지스트 하층막용 조성물을 사용한 패턴 형성 방법
WO2019093757A1 (ko) 하드마스크용 조성물

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12863735

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14364829

Country of ref document: US

ENP Entry into the national phase

Ref document number: 2014549963

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12863735

Country of ref document: EP

Kind code of ref document: A1