CN104749880A - 硬掩膜组合物和使用硬掩膜组合物形成图案的方法 - Google Patents

硬掩膜组合物和使用硬掩膜组合物形成图案的方法 Download PDF

Info

Publication number
CN104749880A
CN104749880A CN201410642246.9A CN201410642246A CN104749880A CN 104749880 A CN104749880 A CN 104749880A CN 201410642246 A CN201410642246 A CN 201410642246A CN 104749880 A CN104749880 A CN 104749880A
Authority
CN
China
Prior art keywords
unsubstituted
substituted
group
hard mask
chemical formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410642246.9A
Other languages
English (en)
Other versions
CN104749880B (zh
Inventor
南沇希
金惠廷
金相均
金瑆焕
金润俊
文俊怜
宋炫知
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN104749880A publication Critical patent/CN104749880A/zh
Application granted granted Critical
Publication of CN104749880B publication Critical patent/CN104749880B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G69/00Macromolecular compounds obtained by reactions forming a carboxylic amide link in the main chain of the macromolecule
    • C08G69/02Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids
    • C08G69/26Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids
    • C08G69/32Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids from aromatic diamines and aromatic dicarboxylic acids with both amino and carboxylic groups aromatically bound
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1039Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors comprising halogen-containing substituents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • C08G73/105Polyimides containing oxygen in the form of ether bonds in the main chain with oxygen only in the diamino moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • C08G73/1071Wholly aromatic polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D177/00Coating compositions based on polyamides obtained by reactions forming a carboxylic amide link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D177/10Polyamides derived from aromatically bound amino and carboxyl groups of amino carboxylic acids or of polyamines and polycarboxylic acids
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

本发明提供一种硬掩膜组合物和使用硬掩膜组合物形成图案的方法。所述硬掩膜组合物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分。[化学式1]在以上化学式1中,A和B与本说明书中所定义的相同。

Description

硬掩膜组合物和使用硬掩膜组合物形成图案的方法
交叉引用
本发明主张2013年12月31日在韩国知识产权局申请的韩国专利申请案第10-2013-0169274号的优先权和权益,其全部内容以引用的方式并入本文中。
技术领域
本发明揭示了一种硬掩膜组合物和使用所述硬掩膜组合物形成图案的方法。
背景技术
近来,半导体行业已经研发出具有几纳米到几十纳米尺寸的图案的超精细技术。这种超精细技术主要需要有效的光刻技术。典型的光刻技术包含:在半导体衬底上提供材料层;在其上涂布光致抗蚀剂层;使所述光致抗蚀剂层曝光并且显影以提供光致抗蚀剂图案;以及使用光致抗蚀剂图案作为掩膜来蚀刻材料层。目前,根据打算形成的尺寸越来越小的图案,仅仅通过上述典型光刻技术难以提供轮廓清晰的精细图案。因此,可以在材料层与光致抗蚀剂层之间形成被称为硬掩膜层的层以提供精细的图案。硬掩膜层起到中间层的作用,用于通过选择性蚀刻工艺将光致抗蚀剂的精细图案转移到材料层。因此,硬掩膜层需要具有诸如耐热性和耐蚀刻性等在多个蚀刻工艺期间能够容许的特征。另一方面,最近已经提出了通过旋转涂布法代替化学气相沉积法来形成硬掩膜层。旋转涂布法易于进行并且还可以改良间隙填充特征和平面化特征。旋转涂布法可以使用具有溶剂可溶性的硬掩膜组合物。然而,硬掩膜层所需的以上特征与可溶性具有相冲突的关系,因此需要满足这两者的硬掩膜组合物。
发明内容
本发明一个实施例提供了满足耐热性同时确保溶剂可溶性、间隙填充特征以及平面化特征的硬掩膜组合物。
本发明另一个实施例提供了一种使用所述硬掩膜组合物形成图案的方法。
根据一个实施例,提供了包含聚合物和溶剂的硬掩膜组合物,所述聚合物包含由以下化学式1表示的部分。
[化学式1]
在以上化学式1中,
A选自以下群组1,以及
B选自以下群组2。
[群组1]
在群组1中,
M和M′独立地为氢或羧基(-COOH),
X为经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C20亚芳基、经取代或未经取代的C2到C20亚杂芳基、经取代或未经取代的C2到C20亚烯基、经取代或未经取代的C2到C20亚炔基、氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其组合,以及
R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C3到C30环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C30烷氧基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C20醛基、经取代或未经取代的C1到C4烷基醚、经取代或未经取代的C7到C20芳基亚烷基醚、经取代或未经取代的C1到C30卤烷基、经取代或未经取代的C1到C20烷基硼烷基、经取代或未经取代的C6到C30芳基硼烷基、CRgRhRi或其组合,
条件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh以及Ri独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
[群组2]
在群组2中,
Y和Y′独立地为氢、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其组合,
X、R以及R′与群组1中所定义的相同,以及
Ra、Rb、Rc、Rj、Rk、Rl以及Rm独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
在群组1和群组2中,R和R′可以独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、CRgRhRi或其组合,
条件是Rg、Rh以及Ri独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
在群组1和群组2中,X独立地为氧(O)、硫(S)、CO、SO2、NRa、SiRbRc、PRdReRf或其组合,
条件是Ra、Rb、Rc、Rd、Re以及Rf独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
聚合物可以由以下化学式2到化学式7中的一个表示。
[化学式2]
[化学式3]
[化学式4]
[化学式5]
[化学式6]
[化学式7]
在以上化学式2到化学式7中,
X为氧(O)、硫(S)、CO、SO2、NRa、SiRbRc、PRdReRf或其组合,
Y和Y′独立地为羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其组合,
R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、CRgRhRi或其组合,以及
2≤n≤10,000,
条件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh、Ri、Rj、Rk、Rl以及Rm独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
所述聚合物的重量平均分子量可以为约1,000到约200,000。
所述溶剂可以包含选自丙二醇单甲基醚乙酸酯(propylene glycolmonomethyl ether acetate,PGMEA)、丙二醇单甲基醚(propylene glycolmonomethylether,PGME)、环己酮、N-甲基2-吡咯烷酮(N-methyl2-pyrrolidone,NMP)以及乳酸乙酯的至少一种。
按溶剂为100重量份计,聚合物的量占约0.01到约50重量份。
根据另一个实施例,形成图案的方法包含:在衬底上提供材料层,在材料层上涂覆硬掩膜组合物,热处理硬掩膜组合物以形成硬掩膜层,在硬掩膜层上形成含硅薄层,在含硅薄层上形成光致抗蚀剂层,使光致抗蚀剂层曝光并且显影以形成光致抗蚀剂图案,使用光致抗蚀剂图案选择性地移除含硅薄层和硬掩膜层以使材料层的一部分暴露,以及蚀刻材料层的暴露部分。
所述硬掩膜组合物可以使用旋转涂布法涂覆。
所述形成硬掩膜层的工艺可以包含在约100℃到约500℃下进行热处理。
所述方法可以进一步包含在含硅薄层上形成底部抗反射涂层(bottomantireflective coating,BARC)。
所述含硅薄层可以包含氮氧化硅(SiON)、氮化硅(Si3N4)或其组合。
可以改良硬掩膜层的所需特征,诸如耐热性、耐蚀刻性、平面化特征以及间隙填充特征。
附图说明
图1为显示硅晶片的截面图,在硅晶片的表面上涂布有硬掩膜组合物。
具体实施方式
在下文中将详细描述本发明的示范性实施例并且其可以由具有相关技术中的常识的技术人员容易地进行。然而,本发明可以按多种不同形式实施,并且不应解释为局限于本文中所阐述的示范性实施例。
当未另外提供定义时,如本文中所使用的术语‘经取代’可以指化合物的氢原子经取代基取代,所述取代基选自卤素原子(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、氨基、叠氮基、甲脒基、肼基、亚肼基、羰基、氨基甲酰基、巯基、酯基、羧基或其盐、磺酸基或其盐、磷酸基或其盐、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳烷基、经取代或未经取代的C1到C20烷基硼烷基、经取代或未经取代的C6到C30芳基硼烷基、C1到C4烷氧基、C1到C20杂烷基、C3到C20杂芳烷基、C3到C30环烷基、C3到C15环烯基、C6到C15环炔基、C2到C30杂环烷基以及其组合。
当未另外提供定义时,如本文中所使用的术语‘杂’是指包含1到3个选自B、N、O、S以及P的杂原子的基团。
在下文中,描述了根据一个实施例的硬掩膜组合物。
根据一个实施例的硬掩膜组合物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分。
[化学式1]
在以上化学式1中,
A选自以下群组1,以及
B选自以下群组2。
[群组1]
在群组1中,
M和M′独立地为氢或羧基(-COOH),
X为经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C20亚芳基、经取代或未经取代的C2到C20亚杂芳基、经取代或未经取代的C2到C20亚烯基、经取代或未经取代的C2到C20亚炔基、氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其组合,
R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C3到C30环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C30烷氧基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C20醛基、经取代或未经取代的C1到C4烷基醚、经取代或未经取代的C7到C20芳基亚烷基醚、经取代或未经取代的C1到C30卤烷基、经取代或未经取代的C1到C20烷基硼烷基、经取代或未经取代的C6到C30芳基硼烷基、CRgRhRi或其组合,以及
条件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh以及Ri独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
[群组2]
在群组2中,
Y和Y′独立地为氢、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其组合,
X、R以及R′与群组1中所定义的相同,以及
Ra、Rb、Rc、Rj、Rk、Rl以及Rm独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
在群组1和群组2中,每一环的键结位置不受特定限制。
然而,当群组1中的苯环键联到以上化学式1时,在两侧的键结位置为邻位。在此,相较于苯环键联的对位,邻位可以确保极好的耐热性、溶解性以及平面化特征。举例来说,当群组1中的M和M′为氢并且群组2中的Y和Y′为氢时,以上化学式1可以形成在主链中包含酰胺基(-CONH-)的聚合物。
当群组1中的M和M′为羧基(-COOH)时,以上化学式1可以形成在主链中包含酰胺基(-CONH-)和羧基(-COOH)的聚合物。当在高温下热处理聚合物时,在主链中的酰胺基(-CONH-)和羧基(-COOH)可以通过环化反应形成酰亚胺环。
当群组1中的M和M′为氢并且群组2中的Y和Y′为羟基时,以上化学式1可以形成在其主链中包含酰胺基(-CONH-)和羟基(-OH)的聚合物。当在高温下热处理聚合物时,酰胺基(-CONH-)和羟基(-OH)可以通过环化反应形成苯并恶唑环。
根据一个实施例,形成了酰亚胺或苯并恶唑聚合物,并且因而其可以在无单独的交联组分的情况下用于形成薄膜。由于这种自固化反应,硬掩膜组合物可以确保极好的耐热性、耐蚀刻性、溶解性以及平面化特征,同时还确保间隙填充特征。
举例来说,在群组1和群组2中,X可以为氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其组合,但不限于此。举例来说,在群组1和群组2中,R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、CRgRhRi或其组合,但不限于此。Ra到Ri与上文所定义的相同。
举例来说,所述聚合物可以由以下化学式2到化学式7中的一个表示。
[化学式2]
[化学式3]
[化学式4]
[化学式5]
[化学式6]
[化学式7]
在以上化学式2到化学式7中,
X为氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其组合,
Y和Y′独立地为羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其组合,
R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、CRgRhRi或其组合,以及
2≤n≤10,000,
条件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh、Ri、Rj、Rk、Rl以及Rm独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
聚合物在其主链中包含杂芳环,并且因而在工艺期间在高温下具有极好的耐热性和较少的热收缩。因此,由包含所述聚合物的硬掩膜组合物形成的薄膜可以确保极好的平面化特征。
此外,所述聚合物包含柔性键联单元,并且因而改良树脂的柔性。因此,由包含所述聚合物的硬掩膜组合物形成的薄膜可以确保极好的涂布特性。
聚合物可以在硬掩膜组合物中包含单一地一种单体或两种或超过两种单体。
聚合物的重量平均分子量可以为约1,000到约200,000,但不限于此。
硬掩膜组合物中的溶剂可以为对于单体和聚合物具有足够可溶性或分散性的任何物质,并且可以为例如选自丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二乙二醇、二乙二醇丁基醚、三(乙二醇)单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、甲基吡咯烷酮以及乙酰丙酮的至少一种。
按溶剂为100重量份计,所包含的聚合物的量可以占约0.01到约50重量份。当所包含的聚合物在以上范围内时,可以获得经涂布薄膜的所需厚度。
硬掩膜组合物可以进一步包含表面活性剂。
表面活性剂可以包含例如烷基苯磺酸盐、烷基吡啶盐、聚乙二醇或季铵盐,但不限于此。
按硬掩膜组合物为100重量份计,所包含的表面活性剂的量可以占约0.001到约3重量份。在所述含量范围内,可以确保硬掩膜组合物的溶解性同时不改变其光学特性。
下文描述了通过使用硬掩膜组合物来形成图案的方法。
根据一个实施例的形成图案的方法包含:在衬底上提供材料层,在材料层上涂覆包含化合物和溶剂的硬掩膜组合物,热处理硬掩膜组合物以形成硬掩膜层,在硬掩膜层上形成含硅薄层,在含硅薄层上形成光致抗蚀剂层,使光致抗蚀剂层曝光并且显影以形成光致抗蚀剂图案,使用光致抗蚀剂图案选择性地移除含硅薄层和硬掩膜层以使材料层的一部分暴露,以及蚀刻材料层的暴露部分。
衬底可以为例如硅晶片、玻璃衬底或聚合物衬底。
材料层为最终将图案化的材料,例如,金属层,诸如铝层和铜层;半导体层,诸如硅层;或绝缘层,诸如氧化硅层和氮化硅层。材料层可以通过诸如化学气相沉积(chemical vapor deposition,CVD)工艺等方法形成。
硬掩膜组合物可以按溶液的形式通过旋转涂布法涂覆。在此,硬掩膜组合物的厚度不受特定限制,但可以为例如约100埃到约10,000埃。
可以在例如约100℃到约500℃下对硬掩膜组合物进行热处理,持续约10秒到10分钟。在热处理期间,化合物可以引起自交联和/或互交联反应。
如上所述,硬掩膜组合物可以包括含酰胺基(-CONH-)和羧基(-COOH)的聚合物,并且酰胺基(-CONH-)和羧基(-COOH)在热处理期间可以通过环化反应形成酰亚胺环。此外,硬掩膜组合物包括含酰胺基(-CONH-)和羟基(-OH)的聚合物,并且酰胺基(-CONH-)和羟基(-OH)在热处理期间可以通过环化反应形成苯并恶唑环。含硅薄层可以由例如氮化硅、氧化硅或氮氧化硅(SiON)形成。
所述方法可以进一步包含在含硅薄层上形成底部抗反射涂层(BARC)。举例来说,可以在硬掩膜层上形成含氮氧化硅的薄层,随后形成底部抗反射涂层,并且随后在底部抗反射涂层上形成光致抗蚀剂层。
光致抗蚀剂层的曝光可以使用例如ArF、KrF或EUV进行。在曝光之后,可以在约100℃到约500℃下进行热处理。
可以通过干式蚀刻工艺,使用蚀刻气体进行材料层的暴露部分的蚀刻过程,并且蚀刻气体可以为例如CHF3、CF4、Cl2、BCl3以及其混合气体,但不限于此。
经蚀刻的材料层可以形成多个图案,并且这多个图案可以是金属图案、半导体图案、绝缘图案以及其类似图案,例如不同的半导体集成电路装置图案。
包含在半导体集成电路装置中的图案可以为例如金属线;半导体图案;包含接触孔、偏压孔、镶嵌沟槽的绝缘层,以及其类似图案。
在下文中,参照实例对本发明进行更加详细的说明。然而,这些实例是示范性的,并且本发明不限于此。
合成聚合物
合成实例1
将3.6克(10毫摩尔)2,2-双(3-氨基-4-羟基苯基)-六氟丙烷和14.6克NMP放入100毫升的反应器中,并且用搅拌棒在室温下搅动。
当2,2-双(3-氨基-4-羟基苯基)-六氟丙烷完全溶解时,将反应器冷却到0℃,并且以逐滴的方式将1.26克(16毫摩尔)吡啶添加到其中。随后,在0℃下,在搅动反应器同时,以逐滴的方式将溶解于9.6克NMP中的2.4克(8毫摩尔)4,4'-氧二苯甲酰氯添加到其中,并且再搅动反应器两小时。随后,在将吡啶从混合溶液完全移除之后,将所获得的粉末溶解于THF中,并且随后从己烷中获得沉淀物。随后,在50℃的低压烘箱中移除残留在沉淀物中的溶剂,获得由以下化学式A表示的化合物。所获得的化合物的重量平均分子量范围为7,000到8,000。
[化学式A]
合成实例2
将3.6克(10毫摩尔)2,2-双(3-氨基-4-羟基苯基)-六氟丙烷和14.6克NMP放入100毫升的反应器中,并且用搅拌棒在室温下搅动。当2,2-双(3-氨基-4-羟基苯基)-六氟丙烷完全溶解时,将反应器冷却到0℃,并且以逐滴的方式将1.26克(16毫摩尔)吡啶添加到其中。随后,在0℃下,在搅动反应器同时,以逐滴的方式将溶解于9.6克NMP中的1.6克(8毫摩尔)邻苯二甲酰氯添加到其中,并且再搅动反应器两小时。随后,在将吡啶从混合溶液完全移除之后,将所获得的粉末溶解于THF中,并且随后从己烷中获得沉淀物。随后,在50℃的低压烘箱中移除残留在沉淀物中的溶剂,获得由以下化学式B表示的化合物。所获得的化合物的重量平均分子量范围为1,100到1,500。
[化学式B]
合成实例3
将3.6克(10毫摩尔)2,2-双(4-氨基苯基)-六氟丙烷和30.5克THF放入100毫升的反应器中,并且用搅拌棒在室温下搅动。随后,以逐滴的方式将2.5克(8毫摩尔)5,5'-氧二异苯并呋喃-1,3-二酮添加到其中。在室温下将混合溶液搅动15小时,并且将从混合溶液的沉淀获得的粉末冲洗几次。随后,在50℃的低压烘箱中移除残留在粉末中的溶剂,获得由以下化学式C表示的化合物。所获得的化合物的重量平均分子量范围为2,000到2,500。
[化学式C]
合成实例4
将3.8克(10毫摩尔)2,2'-二氨基-4,4'-(9-亚芴基)二苯酚和15.2克NMP放入100毫升的反应器中,并且用搅拌棒在室温下搅动。当2,2'-二氨基-4,4'-(9-亚芴基)二苯酚完全溶解时,将反应器冷却到0℃,并且以逐滴的方式将1.26克(16毫摩尔)吡啶添加到其中。随后,在0℃下,在搅动反应器同时,以逐滴的方式将溶解于9.6克NMP中的2.4克(8毫摩尔)4,4'-氧二苯甲酰氯添加到其中,并且再搅动反应器两小时。随后,将在2小时之后通过在水中沉淀混合溶液所获得的粉末冲洗几次直到完全移除吡啶。随后,在将吡啶从混合溶液完全移除之后,将自其获得的粉末溶解于THF中,并且随后从己烷中获得沉淀物。随后,在50℃的低压烘箱中移除残留在沉淀物中的溶剂,获得由以下化学式D表示的化合物。所获得的化合物的重量平均分子量范围为12,000到13,000。
[化学式D]
合成实例5
将3.87克(12毫摩尔)5,5'-羰基二异苯并呋喃-1,3-二酮、4.38克(15毫摩尔)4,4'-(1,4-亚苯基双(氧基))二苯胺以及41.26克NMP放入100毫升具有搅拌棒的反应器中,并且在80℃下搅动3小时。将混合溶液冷却到室温,并且将通过在水中沉淀混合溶液所获得的粉末冲洗几次。随后,在50℃的低压烘箱中移除残留在沉淀物中的溶剂,获得由以下化学式E表示的化合物。所获得的化合物的重量平均分子量范围为1,500到2,000。
[化学式E]
合成实例6
将2.48克(8毫摩尔)5,5'-氧二异苯并呋喃-1,3-二酮、2.92克(10毫摩尔)3,3'-(1,3-亚苯基双(氧基))二苯胺以及27.02克NMP放入100毫升的反应器中,并且用搅拌棒在80℃下搅动3小时。将混合溶液冷却到室温,并且将通过在水中沉淀混合溶液所获得的粉末冲洗几次。随后,在50℃的低压烘箱中移除残留在粉末中的溶剂,获得由以下化学式F表示的化合物。所述化合物的重量平均分子量范围为1,500到2,000。
[化学式F]
比较合成例1
将3.6克(10毫摩尔)2,2-双(3-氨基-4-羟基苯基)-六氟丙烷和14.6克NMP放入100毫升的反应器中,并且随后用搅拌棒在室温下搅动。当2,2-双(3-氨基-4-羟基苯基)-六氟丙烷完全溶解时,将反应器冷却到0℃,并且以逐滴的方式将1.26克(16毫摩尔)吡啶添加到其中。随后,在0℃下,在搅动反应器同时,以逐滴的方式将溶解于6.4克NMP中的1.6克(8毫摩尔)对苯二甲酰氯添加到其中,并且再搅动反应器两小时。随后,在将吡啶从混合溶液完全移除之后,将所获得的粉末溶解于THF中,并且随后从己烷中获得沉淀物。随后,在50℃的低压烘箱中移除残留在沉淀物中的溶剂,获得由以下化学式X表示的化合物。所获得的化合物的重量平均分子量范围为2,500到3,000。
[化学式X]
比较合成例2
将配备有温度计、冷凝器以及机械搅动器的500毫升3颈烧瓶浸在90℃到100℃的油恒温器中。使用搅拌棒进行搅动,同时将烧瓶维持在恒定温度下。随后,将28.83克(0.2摩尔)1-萘酚、41.4克(0.15摩尔)苯并芘以及12.0克(0.34摩尔)多聚甲醛放入3颈烧瓶中,将溶解于162克丙二醇单甲基醚乙酸酯(PGMEA)中的0.19克(1毫摩尔)对甲苯磺酸单水合物添加到其中,并且搅动混合物并反应5小时到12小时。
当每隔一小时从聚合反应物中取出的样品的重量平均分子量范围为1,800到2,500时,反应完成。
当聚合反应完成时,将反应物缓慢冷却到室温并且添加到40克蒸馏水和400克甲醇中,并且强有力地搅动混合物并使其静置。在自其移除上清液之后,将所获得的沉淀物溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中,并且通过使用320克甲醇强有力地搅动溶液(第一工艺)。在此,再次移除自其产生的上清液,将残留在那里的沉淀物溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中(第二工艺)。第一工艺和第二工艺被视为一个精制工艺,并且将所述精制工艺总共重复三次。将精制的聚合物溶解于80克丙二醇单甲基醚乙酸酯(PGMEA)中,并且在减压下移除残留在溶液中的甲醇和蒸馏水。获得由以下化学式Y表示的含芳环化合物。
[化学式Y]
制备硬掩膜组合物
实例1
将根据合成实例1的化合物溶解于通过混合丙二醇单甲基醚乙酸酯(PGMEA)与环己酮(7:3(体积比))而获得的混合溶剂中,并且随后将其过滤,由此制备硬掩膜组合物。取决于所需厚度,基于硬掩膜组合物的总宽度,将化合物的量调节在8重量%到13重量%的范围内。
实例2
除了使用根据合成实例2的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
实例3
除了使用根据合成实例3的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
实例4
除了使用根据合成实例4的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
实例5
除了使用根据合成实例5的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
实例6
除了使用根据合成实例6的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
比较例1
除了使用根据比较合成例1的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
比较例2
除了使用根据比较合成例2的化合物代替根据合成实例1的化合物以外,根据与实例1相同的方法制造硬掩膜组合物。
评估
评估1:间隙填充特征和平面化特征
在图案化硅晶片上分别将根据实例1到实例4或实例6以及比较例1的硬掩膜组合物旋转涂布达到2900埃的厚度。
随后,在400℃的热板上热处理经涂布的硬掩膜组合物120秒,并且使用垂直电子扫描显微镜(V-SEM)设备检查其间隙填充特征和平面化特征。
通过使用电子扫描显微镜(electron scanning microscope,SEM)检查在图案的截面上是否产生空隙来评估间隙填充特征。图1为显示如何将硬掩膜组合物涂布到图案化硅晶片的表面上的截面图。h1到h5表示硬掩膜组合物的涂层厚度。当h1与h2到h5之间的差值较小时,平面化特征极佳。
在表1中提供了平面化特征和间隙填充特征的结果。
[表1]
参看表1,根据实例1到实例4或实例6的硬掩膜组合物在薄膜的左侧、中间以及右侧部分维持了极其令人满意的厚度,并且因而与比较例1相比显示出极好的平面化特征。此外,根据实例1到实例4或实例6的硬掩膜组合物未显示出空隙,并且因而显示出极好的间隙填充特征。相反,根据比较例1的硬掩膜组合物显示出相对不太令人满意的平面化特征和间隙填充特征。原因是根据实例1到实例4或实例6的硬掩膜组合物随着聚合物中的基团间相互作用减少而显示出增加的溶解性但降低的Tg。
评估2:耐热性
分别将根据实例1到实例5以及比较例2的硬掩膜组合物旋转涂布到硅晶片上,并且将其在240℃的热板上热处理2分钟,由此形成每一薄膜。通过使用由科美宜科(K-MAC)制造的薄膜厚度测量仪器测量薄膜的厚度。随后,在400℃下热处理薄膜5分钟,并且再次测量经热处理的薄膜的厚度。
在表2中提供了结果。
[表2]
参看表2,在400℃下热处理期间,分别由根据实例1到实例5的硬掩膜组合物形成的薄膜显示出比由根据比较例2的硬掩膜组合物形成的薄膜低的厚度减小率。
因此,与根据比较例2的硬掩膜组合物相比较,根据实例1到实例5的硬掩膜组合物显示出较高的耐热性。
尽管已经结合目前视为实用示范性实施例的内容来描述本发明,但应理解本发明不限于所揭示的实施例,而正相反,本发明意欲涵盖包含在所附权利要求的精神和范围内的各种修改和等效配置。

Claims (12)

1.一种硬掩膜组合物,其包括:
聚合物,其包含由以下化学式1表示的部分;以及
溶剂:
[化学式1]
其中,在以上化学式1中,
A选自以下群组1,以及
B选自以下群组2,
[群组1]
其中,在所述群组1中,
M和M′独立地为氢或羧基,
X为经取代或未经取代的C1到C20亚烷基、经取代或未经取代的C3到C20亚环烷基、经取代或未经取代的C6到C20亚芳基、经取代或未经取代的C2到C20亚杂芳基、经取代或未经取代的C2到C20亚烯基、经取代或未经取代的C2到C20亚炔基、氧、硫、羰基、SO2、NRa、SiRbRc、PRdReRf或其组合,以及
R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C3到C30环烷基、经取代或未经取代的C6到C30芳基、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C30烷氧基、经取代或未经取代的C2到C30烯基、经取代或未经取代的C2到C30炔基、经取代或未经取代的C1到C20醛基、经取代或未经取代的C1到C4烷基醚、经取代或未经取代的C7到C20芳基亚烷基醚、经取代或未经取代的C1到C30卤烷基、经取代或未经取代的C1到C20烷基硼烷基、经取代或未经取代的C6到C30芳基硼烷基、CRgRhRi或其组合,
条件是所述Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh以及Ri独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合,
[群组2]
其中,在所述群组2中,
Y和Y′独立地为氢、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其组合,
X、R以及R′与所述群组1中所定义的相同,以及
Ra、Rb、Rc、Rj、Rk、Rl以及Rm独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
2.根据权利要求1所述的硬掩膜组合物,其中,在所述群组1和所述群组2中,R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、CRgRhRi或其组合,
条件是所述Rg、Rh以及Ri独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
3.根据权利要求1所述的硬掩膜组合物,其中,在所述群组1和所述群组2中,X独立地为氧、硫、羰基、SO2、NRa、SiRbRc、PRdReRf或其组合,
条件是所述Ra、Rb、Rc、Rd、Re以及Rf独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
4.根据权利要求1所述的硬掩膜组合物,其中所述聚合物由以下化学式2到化学式7中的一个表示:
[化学式2]
[化学式3]
[化学式4]
[化学式5]
[化学式6]
[化学式7]
其中,在以上化学式2到化学式7中,
X为氧、硫、羰基、SO2、NRa、SiRbRc、PRdReRf或其组合,
Y和Y′独立地为羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、经取代或未经取代的C1到C30烷基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其组合,
R和R′独立地为氢、卤素、羟基、亚硫酰基、巯基、氰基、经取代或未经取代的氨基、CRgRhRi或其组合,以及
2≤n≤10,000,
条件是所述Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh、Ri、Rj、Rk、Rl以及Rm独立地为氢、经取代或未经取代的C1到C10烷基、卤素、含卤素基团或其组合。
5.根据权利要求1所述的硬掩膜组合物,其中所述聚合物的重量平均分子量为1,000到200,000。
6.根据权利要求1所述的硬掩膜组合物,其中所述溶剂包括选自丙二醇单甲基醚乙酸酯、丙二醇单甲基醚、环己酮、N-甲基2-吡咯烷酮以及乳酸乙酯的至少一种。
7.根据权利要求1所述的硬掩膜组合物,其中按所述溶剂为100重量份计,所述聚合物的量占0.01到50重量份。
8.一种形成图案的方法,其包括:
在衬底上提供材料层;
将根据权利要求1到7中任一项所述的硬掩膜组合物涂覆到所述材料层上;
热处理所述硬掩膜组合物以形成硬掩膜层;
在所述硬掩膜层上形成含硅薄层;
在所述含硅薄层上形成光致抗蚀剂层;
使所述光致抗蚀剂层曝光并且显影以形成光致抗蚀剂图案;
使用所述光致抗蚀剂图案选择性地移除所述含硅薄层和所述硬掩膜层以暴露所述材料层的一部分;以及
蚀刻所述材料层的暴露部分。
9.根据权利要求8所述的形成图案的方法,其中所述硬掩膜组合物是以旋转涂布法涂覆。
10.根据权利要求8所述的形成图案的方法,其中所述硬掩膜层是通过在100℃到500℃下热处理来形成。
11.根据权利要求8所述的形成图案的方法,其中进一步包括在所述含硅薄层上形成底部抗反射涂布层。
12.根据权利要求8所述的形成图案的方法,其中所述含硅薄层包括氮氧化硅、氮化硅或其组合。
CN201410642246.9A 2013-12-31 2014-11-11 硬掩膜组合物和使用硬掩膜组合物形成图案的方法 Active CN104749880B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020130169274A KR101667788B1 (ko) 2013-12-31 2013-12-31 하드마스크 조성물 및 이를 사용한 패턴 형성 방법
KR10-2013-0169274 2013-12-31

Publications (2)

Publication Number Publication Date
CN104749880A true CN104749880A (zh) 2015-07-01
CN104749880B CN104749880B (zh) 2019-12-31

Family

ID=53482632

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410642246.9A Active CN104749880B (zh) 2013-12-31 2014-11-11 硬掩膜组合物和使用硬掩膜组合物形成图案的方法

Country Status (4)

Country Link
US (1) US9348229B2 (zh)
KR (1) KR101667788B1 (zh)
CN (1) CN104749880B (zh)
TW (1) TWI547523B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108138029A (zh) * 2015-10-02 2018-06-08 三星Sdi株式会社 有机膜cmp浆料组成物及使用其的研磨方法
CN108431691A (zh) * 2015-12-29 2018-08-21 三星Sdi株式会社 有机膜组合物以及形成图案的方法
CN109478015A (zh) * 2016-07-28 2019-03-15 三星Sdi株式会社 有机层组成物及图案形成方法
CN109952534A (zh) * 2016-11-10 2019-06-28 三星Sdi株式会社 有机膜组成物、有机膜以及形成图案的方法
CN111344634A (zh) * 2017-11-28 2020-06-26 三星Sdi株式会社 硬罩幕组成物与形成图案的方法
CN111352300A (zh) * 2018-12-21 2020-06-30 三星Sdi株式会社 硬掩模组合物、硬掩模层以及形成图案的方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9556094B2 (en) * 2012-12-26 2017-01-31 Cheil Industries, Inc. Monomer, hardmask composition including monomer, and method for forming pattern by using hardmask composition
KR101788093B1 (ko) * 2014-03-19 2017-10-19 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
JP6712188B2 (ja) * 2015-07-13 2020-06-17 信越化学工業株式会社 レジスト下層膜形成用組成物及びこれを用いたパターン形成方法
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
KR101994367B1 (ko) * 2016-10-27 2019-06-28 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴형성방법
KR102018237B1 (ko) * 2016-11-30 2019-09-04 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴형성방법
KR20210073410A (ko) 2019-12-10 2021-06-18 우송대학교 산학협력단 생체 신호처리 센서를 이용한 자각몽 유도장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1536442A (zh) * 2003-04-07 2004-10-13 东丽株式会社 正型光敏树脂组合物
US7364835B2 (en) * 2003-10-15 2008-04-29 Brewer Science Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
CN101470352A (zh) * 2007-12-24 2009-07-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及采用该组合物图案化材料的方法
CN101641390A (zh) * 2007-04-02 2010-02-03 第一毛织株式会社 具有抗反射性能的硬掩模组合物及用其图案化材料的方法
CN102540729A (zh) * 2010-12-30 2012-07-04 第一毛织株式会社 硬掩模组合物和形成图案的方法、以及包括图案的半导体集成电路器件
CN102566282A (zh) * 2010-12-17 2012-07-11 第一毛织株式会社 硬掩模组合物和形成图案的方法以及包括该图案的半导体集成电路器件
US20130089716A1 (en) * 2011-10-10 2013-04-11 Brewer Science Inc. Spin-on carbon compositions for lithographic processing
WO2013100409A1 (ko) * 2011-12-30 2013-07-04 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101067828B1 (ko) 2003-06-06 2011-09-27 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 신규한 감광성 수지 조성물들
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
EP1832619A4 (en) 2004-12-28 2009-04-29 Sumitomo Bakelite Co BENZOXAZOL RESIN, POLYBENZOXAZOLE RESIN, RESIN FILM AND SEMICONDUCTOR DEVICE
KR101579266B1 (ko) 2008-01-11 2016-01-04 닛산 가가쿠 고교 가부시키 가이샤 우레아기를 가지는 실리콘 함유 레지스트 하층막 형성 조성물
KR101156489B1 (ko) 2008-12-02 2012-06-18 제일모직주식회사 반사방지 하드마스크 조성물
KR101156488B1 (ko) * 2008-12-22 2012-06-18 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
KR101741285B1 (ko) 2009-09-15 2017-06-15 미츠비시 가스 가가쿠 가부시키가이샤 방향족 탄화수소 수지 및 리소그래피용 하층막 형성 조성물
KR20130003059A (ko) 2011-05-12 2013-01-09 주식회사 동진쎄미켐 퍼옥시다아제 효소를 이용한 페놀계 고분자의 제조방법 및 이를 포함하는 스핀 온 카본 하드마스크 조성물

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1536442A (zh) * 2003-04-07 2004-10-13 东丽株式会社 正型光敏树脂组合物
US7364835B2 (en) * 2003-10-15 2008-04-29 Brewer Science Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
CN101641390A (zh) * 2007-04-02 2010-02-03 第一毛织株式会社 具有抗反射性能的硬掩模组合物及用其图案化材料的方法
CN101470352A (zh) * 2007-12-24 2009-07-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及采用该组合物图案化材料的方法
CN102566282A (zh) * 2010-12-17 2012-07-11 第一毛织株式会社 硬掩模组合物和形成图案的方法以及包括该图案的半导体集成电路器件
CN102540729A (zh) * 2010-12-30 2012-07-04 第一毛织株式会社 硬掩模组合物和形成图案的方法、以及包括图案的半导体集成电路器件
US20130089716A1 (en) * 2011-10-10 2013-04-11 Brewer Science Inc. Spin-on carbon compositions for lithographic processing
WO2013100409A1 (ko) * 2011-12-30 2013-07-04 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108138029A (zh) * 2015-10-02 2018-06-08 三星Sdi株式会社 有机膜cmp浆料组成物及使用其的研磨方法
CN108431691A (zh) * 2015-12-29 2018-08-21 三星Sdi株式会社 有机膜组合物以及形成图案的方法
CN108431691B (zh) * 2015-12-29 2021-09-07 三星Sdi株式会社 有机膜组合物以及形成图案的方法
CN109478015A (zh) * 2016-07-28 2019-03-15 三星Sdi株式会社 有机层组成物及图案形成方法
CN109478015B (zh) * 2016-07-28 2022-04-12 三星Sdi株式会社 有机层组成物及图案形成方法
CN109952534A (zh) * 2016-11-10 2019-06-28 三星Sdi株式会社 有机膜组成物、有机膜以及形成图案的方法
CN111344634A (zh) * 2017-11-28 2020-06-26 三星Sdi株式会社 硬罩幕组成物与形成图案的方法
CN111344634B (zh) * 2017-11-28 2023-03-24 三星Sdi株式会社 硬罩幕组成物与形成图案的方法
CN111352300A (zh) * 2018-12-21 2020-06-30 三星Sdi株式会社 硬掩模组合物、硬掩模层以及形成图案的方法

Also Published As

Publication number Publication date
TW201525066A (zh) 2015-07-01
KR20150079208A (ko) 2015-07-08
CN104749880B (zh) 2019-12-31
US9348229B2 (en) 2016-05-24
US20150187589A1 (en) 2015-07-02
TWI547523B (zh) 2016-09-01
KR101667788B1 (ko) 2016-10-19

Similar Documents

Publication Publication Date Title
CN104749880A (zh) 硬掩膜组合物和使用硬掩膜组合物形成图案的方法
TWI553038B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
TWI567131B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
TWI619739B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
CN104749886A (zh) 硬掩模组合物、形成图案的方法以及集成电路装置
TWI589619B (zh) 聚合物、有機層組合物、有機層以及形成圖案的方法
KR101771542B1 (ko) 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
TWI501042B (zh) 硬遮罩組成物用單體及包含該單體之硬遮罩組成物,以及使用該硬遮罩組成物形成圖案的方法
TWI667547B (zh) 聚合物、有機層組成物及圖案形成方法
CN106226997B (zh) 有机层组成物以及形成图案的方法
CN105280481A (zh) 硬掩膜组成物和使用所述硬掩膜组成物形成图案的方法
CN103910610A (zh) 硬掩模组合物的单体、硬掩模组合物及形成图案的方法
WO2015146523A1 (ja) パターン形成方法、樹脂及びレジスト下層膜形成組成物
CN105093833A (zh) 硬掩模组合物和使用所述硬掩模组合物形成图案的方法
CN104823106B (zh) 硬掩膜组合物、用于其的单体及使用其形成图案的方法
CN104926748A (zh) 用于硬掩模组合物的单体和包含此单体的硬掩模组合物及使用硬掩模组合物形成图案的方法
KR101590809B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101988997B1 (ko) 유기막 조성물 및 패턴형성방법
KR101682021B1 (ko) 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR20180013104A (ko) 유기막 조성물 및 패턴형성방법
TWI553030B (zh) 硬遮罩組成物用單體、包含該單體之硬遮罩組成物及使用該硬遮罩組成物形成圖案之方法
KR101994366B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR20160008929A (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant