KR20150079208A - 하드마스크 조성물 및 이를 사용한 패턴 형성 방법 - Google Patents

하드마스크 조성물 및 이를 사용한 패턴 형성 방법 Download PDF

Info

Publication number
KR20150079208A
KR20150079208A KR1020130169274A KR20130169274A KR20150079208A KR 20150079208 A KR20150079208 A KR 20150079208A KR 1020130169274 A KR1020130169274 A KR 1020130169274A KR 20130169274 A KR20130169274 A KR 20130169274A KR 20150079208 A KR20150079208 A KR 20150079208A
Authority
KR
South Korea
Prior art keywords
group
substituted
unsubstituted
hard mask
combination
Prior art date
Application number
KR1020130169274A
Other languages
English (en)
Other versions
KR101667788B1 (ko
Inventor
남연희
김혜정
김상균
김성환
김윤준
문준영
송현지
Original Assignee
제일모직주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제일모직주식회사 filed Critical 제일모직주식회사
Priority to KR1020130169274A priority Critical patent/KR101667788B1/ko
Priority to US14/528,029 priority patent/US9348229B2/en
Priority to CN201410642246.9A priority patent/CN104749880B/zh
Priority to TW103140956A priority patent/TWI547523B/zh
Publication of KR20150079208A publication Critical patent/KR20150079208A/ko
Application granted granted Critical
Publication of KR101667788B1 publication Critical patent/KR101667788B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G69/00Macromolecular compounds obtained by reactions forming a carboxylic amide link in the main chain of the macromolecule
    • C08G69/02Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids
    • C08G69/26Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids
    • C08G69/32Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids from aromatic diamines and aromatic dicarboxylic acids with both amino and carboxylic groups aromatically bound
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1039Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors comprising halogen-containing substituents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • C08G73/105Polyimides containing oxygen in the form of ether bonds in the main chain with oxygen only in the diamino moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • C08G73/1071Wholly aromatic polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D177/00Coating compositions based on polyamides obtained by reactions forming a carboxylic amide link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D177/10Polyamides derived from aromatically bound amino and carboxyl groups of amino carboxylic acids or of polyamines and polycarboxylic acids
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)

Abstract

하기 화학식 1로 표현되는 부분을 가지는 중합체, 그리고 용매를 포함하는 하드마스크 조성물을 제공한다.
[화학식 1]
Figure pat00036

상기 화학식 1에서,
A 및 B는 명세서 내에서 정의한 바와 같다.

Description

하드마스크 조성물 및 이를 사용한 패턴 형성 방법{HARDMASK COMPOSITION, AND METHOD OF FORMING PATTERNS USING THE HARDMASK COMPOSITION}
하드마스크 조성물 및 이를 사용한 패턴형성방법에 관한 것이다.
최근 반도체 산업은 수백 나노미터 크기의 패턴에서 수 내지 수십 나노미터 크기의 패턴을 가지는 초미세 기술로 발전하고 있다.  이러한 초미세 기술을 실현하기 위해서는 효과적인 리쏘그래픽 기법이 필수적이다.
전형적인 리쏘그래픽 기법은 반도체 기판 위에 재료층을 형성하고 그 위에 포토레지스트 층을 코팅하고 노광 및 현상을 하여 포토레지스트 패턴을 형성한 후, 상기 포토레지스트 패턴을 마스크로 하여 재료층을 식각하는 과정을 포함한다.
근래, 형성하고자 하는 패턴의 크기가 감소함에 따라 상술한 전형적인 리쏘그래픽 기법만으로는 양호한 프로파일을 가진 미세 패턴을 형성하기 어렵다.  이에 따라 식각하고자 하는 재료층과 포토레지스트 층 사이에 일명 하드마스크 층(hardmask layer)이라고 불리는 층을 형성하여 미세 패턴을 형성할 수 있다.
하드마스크 층은 선택적 식각 과정을 통하여 포토레지스트의 미세 패턴을 재료 층으로 전사해주는 중간막으로서 역할을 한다.  따라서 하드마스크 층은 다중 식각 과정 동안 견딜 수 있도록 내열성 및 내식각성 등의 특성이 요구된다.
한편, 근래 하드마스크 층은 화학기상증착 방법 대신 스핀-온 코팅(spin on coating) 방법으로 형성하는 것이 제안되었다.  스핀-온 코팅 방법은 공정이 용이할 뿐만 아니라 갭-필(gap-fill) 특성 및 평탄화 특성을 개선할 수 있다. 스핀-온 코팅 방법은 용매에 대한 용해성을 가지는 하드마스크 조성물을 사용할 수 있다.
그러나 하드마스크 층에 요구되는 상술한 특성과 용해성은 서로 상충 관계에 있어서 이들을 모두 만족할 수 있는 하드마스크 조성물이 필요하다.
일 구현예는 용매에 대한 용해성, 갭-필 및 평탄화 특성을 확보하면서도 내열성 또한 만족할 수 있는 하드마스크 조성물을 제공한다.
다른 구현예는 상기 하드마스크 조성물을 사용한 패턴 형성 방법을 제공한다.
일 구현예에 따르면, 하기 화학식 1로 표현되는 부분을 가지는 중합체, 그리고 용매를 포함하는 하드마스크 조성물을 제공한다.
[화학식 1]
Figure pat00001
상기 화학식 1에서,
A는 하기 그룹 1에서 선택된 어느 하나이고,
B는 하기 그룹 2에서 선택된 어느 하나이다.
[그룹 1]
Figure pat00002
상기 그룹 1에서,
M 및 M′는 각각 독립적으로 수소 또는 카르복실기(-COOH)이고,
X는 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬렌기, 치환 또는 비치환된 C6 내지 C20 아릴렌기, 치환 또는 비치환된 C2 내지 C20 헤테로아릴렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 산소(O), 황(S), 카르보닐기(-C(=O)-), SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합이고,
R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C3 내지 C30 사이클로알킬기, 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C30 알콕시기, 치환 또는 비치환된 C2 내지 C30 알케닐기, 치환 또는 비치환된 C2 내지C30 알키닐기, 치환 또는 비치환된 C1 내지 C20 알데히드기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르, 치환 또는 비치환된 C1 내지C30 할로알킬기, 치환 또는 비치환된 C1 내지 C20 알킬보란기, 치환 또는 비치환된 C6 내지 C30 아릴보란기, CRgRhRi, 또는 이들의 조합이다.
단, 상기 Ra, Rb, Rc, Rd, Re, Rf, Rg, Rh 및 Ri는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
[그룹 2]
Figure pat00003
상기 그룹 2에서,
Y 및 Y′는 각각 독립적으로 수소, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C1 내지 C30 알콕시기, NRaRb, COORc, PRjRkRlRm 또는 이들의 조합이고,
X, R 및 R′ 의 정의는 상기 그룹 1과 같고,
Ra, Rb, Rc, Rj, Rk, Rl 및 Rm는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
상기 그룹 1 및 2에서, R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, CRgRhRi, 또는 이들의 조합일 수 있다. 단, 상기 Rg, Rh 및 Ri는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
상기 그룹 1 및 2에서, X는 각각 독립적으로 산소(O), 황(S), CO, SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합일 수 있다. 단, 상기 Ra, Rb, Rc, Rd, Re 및 Rf는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
상기 중합체는 하기 화학식 2 내지 7 중 어느 하나로 표현되는 것일 수 있다.
[화학식 2]
Figure pat00004
[화학식 3]
Figure pat00005
[화학식 4]
Figure pat00006
[화학식 5]
Figure pat00007
[화학식 6]
Figure pat00008
[화학식 7]
Figure pat00009
상기 화학식 2 내지 7에서,
X는 산소(O), 황(S), CO, SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합이고,
Y 및 Y′는 각각 독립적으로 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C1 내지 C30 알콕시기, NRaRb, COORc, PRjRkRlRm 또는 이들의 조합이고,
R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, CRgRhRi, 또는 이들의 조합이고,
2≤n≤10,000이다.
단, 상기 Ra, Rb, Rc, Rd, Re, Rf, Rg, Rh, Ri, Rj, Rk, Rl 및 Rm는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
상기 중합체는 중량평균분자량이 1,000 내지 200,000일 수 있다.
상기 용매는 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜 모노메틸에테르(PGME), 사이클로헥사논, N-메틸 2-피롤리돈(N-methyl 2-pyrrolidone, (NMP) 및 에틸락테이트에서 선택된 적어도 하나를 포함할 수 있다.
상기 중합체는 상기 용매 100 중량부에 대하여 0.01 내지 50 중량부로 포함될 수 있다.
다른 구현예에 따르면, 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 상술한 하드마스크 조성물을 적용하는 단계, 상기 하드마스크 조성물을 열처리하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함하는 패턴 형성 방법을 제공한다.
상기 하드마스크 조성물을 적용하는 단계는 스핀-온 코팅 방법으로 수행할 수 있다.
상기 하드마스크 층을 형성하는 단계는 100℃ 내지 500℃에서의 열처리 과정을 포함할 수 있다.
상기 실리콘 함유 박막층 위에 바닥 반사방지 층(BARC)을 형성하는 단계를 더 포함할 수 있다.
상기 실리콘 함유 박막층은 산화질화규소(SiON), 질화규소(Si3N4) 또는 이들의 조합을 함유할 수 있다.
내열성, 내식각성, 평탄화 특성 및 갭-필 특성과 같은 하드마스크 층에서 요구되는 특성을 개선할 수 있다.
도 1은 하드마스크 조성물이 표면 위에 코팅된 실리콘 웨이퍼의 단면도이다.
이하, 본 발명의 구현예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예에 한정되지 않는다.
본 명세서에서 별도의 정의가 없는 한, '치환된'이란, 화합물 중의 수소 원자가 할로겐 원자(F, Br, Cl, 또는 I), 히드록시기, 알콕시기, 니트로기, 시아노기, 아미노기, 아지도기, 아미디노기, 히드라지노기, 히드라조노기, 카르보닐기, 카르바밀기, 티올기, 에스테르기, 카르복실기나 그의 염, 술폰산기나 그의 염, 인산이나 그의 염, C1 내지 C20 알킬기, C2 내지 C20의 알케닐기, C2 내지 C20 알키닐기, C6 내지 C30 아릴기, C7 내지 C30의 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 알킬보란기, 치환 또는 비치환된 C6 내지 C30 아릴보란기, C1 내지 C4의 알콕시기, C1 내지 C20의 헤테로알킬기, C3 내지 C20의 헤테로아릴알킬기, C3 내지 C30 사이클로알킬기, C3 내지 C15의 사이클로알케닐기, C6 내지 C15의 사이클로알키닐기, C2 내지 C30 헤테로사이클로알킬기 및 이들의 조합에서 선택된 치환기로 치환된 것을 의미한다.
또한, 본 명세서에서 별도의 정의가 없는 한, '헤테로'란, B, N, O, S 및 P에서 선택된 헤테로 원자를 1 내지 3개 함유한 것을 의미한다.
이하 일 구현예에 따른 하드마스크 조성물을 설명한다.
일 구현예에 따른 하드마스크 조성물은 하기 화학식 1로 표현되는 부분을 가지는 중합체, 그리고 용매를 포함한다.
[화학식 1]
Figure pat00010
상기 화학식 1에서,
A는 하기 그룹 1에서 선택된 어느 하나이고,
B는 하기 그룹 2에서 선택된 어느 하나이다.
[그룹 1]
Figure pat00011
상기 그룹 1에서,
M 및 M′는 각각 독립적으로 수소 또는 카르복실기(-COOH)이고,
X는 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬렌기, 치환 또는 비치환된 C6 내지 C20 아릴렌기, 치환 또는 비치환된 C2 내지 C20 헤테로아릴렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 산소(O), 황(S), 카르보닐기(-C(=O)-), SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합이고,
R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C3 내지 C30 사이클로알킬기, 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C30 알콕시기, 치환 또는 비치환된 C2 내지 C30 알케닐기, 치환 또는 비치환된 C2 내지C30 알키닐기, 치환 또는 비치환된 C1 내지 C20 알데히드기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르, 치환 또는 비치환된 C1 내지C30 할로알킬기, 치환 또는 비치환된 C1 내지 C20 알킬보란기, 치환 또는 비치환된 C6 내지 C30 아릴보란기, CRgRhRi, 또는 이들의 조합이다.
단, 상기 Ra, Rb, Rc, Rd, Re, Rf, Rg, Rh 및 Ri는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
[그룹 2]
Figure pat00012
상기 그룹 2에서,
Y 및 Y′는 각각 독립적으로 수소, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C1 내지 C30 알콕시기, NRaRb, COORc, PRjRkRlRm 또는 이들의 조합이고,
X, R 및 R′ 의 정의는 상기 그룹 1과 같고,
Ra, Rb, Rc, Rj, Rk, Rl 및 Rm는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
상기 그룹 1 및 그룹 2에서, 각 고리의 연결 위치는 특별히 한정되지 않는다.
단, 상기 그룹 1 중 벤젠 고리가 상기 화학식 1에 연결되는 경우 양 측 연결 위치는 오르쏘(ortho)이다. 이 경우 벤젠 고리가 파라(para) 의 형태로 연결되는 경우와 비교하여 보다 우수한 내열성, 용해도 및 평탄화 특성을 확보할 수 있다.
예를 들어, 상기 그룹 1에서 M 및 M'가 수소이고, 상기 그룹 2의 Y 및 Y'가 수소일 경우, 상기 화학식 1은 골격 내에 아미드기(-CONH-)를 포함하는 중합체를 형성 할 수 있다.
상기 그룹 1에서 M 및 M′이 카르복실기(-COOH)일 경우, 상기 화학식 1은 골격 내에 아미드기(-CONH-)와 카르복실기(-COOH)를 포함하는 중합체를 형성 할 수 있다. 상기 중합체를 고온 열처리 시 골격 내에 존재하는 아미드기(-CONH-)와 카르복실기(-COOH) 의 고리화 반응으로 이미드 고리를 형성할 수 있다.
상기 그룹 1에서 M 및 M'가 수소이고, 상기 그룹 2의 Y 및 Y'가 히드록시기일 경우, 상기 화학식 1은 골격 내에 아미드기(-CONH-)와 히드록시(-OH)기를 포함하는 중합체를 형성 할 수 있다. 상기 중합체를 고온 열처리시 골격 내에 존재하는 아미드기(-CONH-)와 히드록시(-OH)기의 고리화 반응으로 벤조옥사졸 고리를 형성 할 수 있다.
일 구현예에 따르면, 상기 이미드 또는 벤조옥사졸 중합체를 형성함에 따라 별도의 가교성분 없이도 필름을 형성할 수 있다. 이러한 자기경화 반응 결과 상기 하드마스크 조성물은 우수한 내열성, 내식각성, 용해도 및 평탄화도를 가짐과 동시에 갭-필 특성도 확보할 수 있다.
예를 들어, 그룹 1 및 2에서 X는 산소(O), 황(S), 카르보닐기(-C(=O)-), SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합일 수 있으나, 이에 한정되는 것은 아니다. 예를 들어, 상기 그룹 1 및 2에서, R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, CRgRhRi, 또는 이들의 조합일 수 있으나, 이에 한정되는 것은 아니다. 상기 Ra 내지 Ri 정의는 상술한 바와 같다.
예를 들어, 상기 중합체는 하기 화학식 2 내지 7 중 어느 하나로 표현될 수 있다.
[화학식 2]
Figure pat00013
[화학식 3]
Figure pat00014
[화학식 4]
Figure pat00015
[화학식 5]
Figure pat00016
[화학식 6]
Figure pat00017
[화학식 7]
Figure pat00018
상기 화학식 2 내지 7에서,
X는 산소(O), 황(S), 카르보닐기(-C(=O)-), SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합이고,
Y 및 Y′는 각각 독립적으로 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C1 내지 C30 알콕시기, NRaRb, COORc, PRjRkRlRm 또는 이들의 조합이고,
R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, CRgRhRi, 또는 이들의 조합이고,
2≤n≤10,000이다.
단, 상기 Ra, Rb, Rc, Rd, Re, Rf, Rg, Rh, Ri, Rj, Rk, Rl 및 Rm는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
상기 중합체는 골격 내에 헤테로 방향족 고리를 포함함으로써 내열성이 우수하며 이에 따라 고온 공정시 열 수축 정도가 적다. 따라서, 상기 중합체를 포함하는 하드마스크 조성물를 적용한 박막은 우수한 평탄화도를 확보할 수 있다.
또한, 상기 중합체는 플렉서블한 연결 유닛을 포함함으로써 레진의 유연성이 향상된다. 따라서, 상기 중합체를 포함하는 하드마스크 조성물을 적용한 박막은 우수한 코팅성을 확보할 수 있다.
상기 중합체는 하드마스크 조성물 내에 1종의 중합체가 단독으로 포함될 수도 있고 2종 이상의 중합체가 혼합되어 포함될 수도 있다.
상기 중합체는 중량평균분자량이 1,000 내지 200,000일 수 있으나 이에 한정되는 것은 아니다.
한편 상기 하드마스크 조성물에 포함되어 있는 용매는 상기 모노머 및 중합체에 대한 충분한 용해성 또는 분산성을 가지는 것이면 특별히 한정되지 않으나, 예컨대 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤, 메틸피롤리돈 및 아세틸아세톤에서 선택되는 적어도 하나를 포함할 수 있다.
상기 중합체는 상기 용매 100 중량부에 대하여 약 0.01 내지 50 중량부로 포함될 수 있다. 상기 중합체는 상기 범위로 포함됨으로써 목적하고자 하는 두께의 박막으로 코팅 할 수 있다.
상기 하드마스크 조성물은 추가적으로 계면 활성제를 더 포함할 수 있다.
상기 계면 활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 계면 활성제는 상기 하드마스크 조성물 100 중량부에 대하여 약 0.001 내지 3 중량부로 포함될 수 있다.  상기 범위로 포함함으로써 하드마스크 조성물의 광학적 특성을 변경시키지 않으면서 용해도를 향상시킬 수 있다.
이하 상술한 하드마스크 조성물을 사용하여 패턴을 형성하는 방법에 대하여 설명한다.
일 구현예에 따른 패턴 형성 방법은 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 상술한 모노머 및 용매를 포함하는 하드마스크 조성물을 적용하는 단계, 상기 하드마스크 조성물을 열처리하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함한다.
상기 기판은 예컨대 실리콘웨이퍼, 유리 기판 또는 고분자 기판일 수 있다.
상기 재료 층은 최종적으로 패턴하고자 하는 재료이며, 예컨대 알루미늄, 구리 등과 같은 금속층, 실리콘과 같은 반도체 층 또는 산화규소, 질화규소 등과 같은 절연층일 수 있다. 상기 재료 층은 예컨대 화학기상증착 방법으로 형성될 수 있다.
상기 하드마스크 조성물은 용액 형태로 제조되어 스핀-온 코팅(spin-on coating) 방법으로 도포될 수 있다.  이 때 상기 하드마스크 조성물의 도포 두께는 특별히 한정되지 않으나, 예컨대 약 100 내지 10,000 Å두께로 도포될 수 있다.
상기 하드마스크 조성물을 열처리하는 단계는 예컨대 약 100 내지 500 ℃에서 약 10초 내지 10분 동안 수행할 수 있다.  상기 열처리 단계에서, 상기 모노머는 자기 가교 및/또는 상호 가교 반응을 일으킬 수 있다.
상술한 바와 같이, 상기 하드마스크 조성물은 아미드기(-CONH-)와 카르복실기(-COOH)를 포함하는 중합체를 포함할 수 있는데, 상기 열처리 단계를 거침에 따라 상기 아미드기(-CONH-)와 상기 카르복실기(-COOH)는 고리화 반응에 의해 이미드 고리를 형성할 수 있다. 또한 상기 하드마스크 조성물은 아미드기(-CONH-)와 히드록시(-OH)기를 포함하는 중합체를 포함할 수 있는데, 상기 열처리 단계를 거침에 따라 상기 아미드기(-CONH-)와 히드록시(-OH)기는 고리화 반응에 의해 벤조옥사졸 고리를 형성할 수 있다. 상기 실리콘 함유 박막층은 예컨대 질화규소, 산화규소 또는 산화질화규소(SiON)로 만들어질 수 있다.
또한 상기 실리콘 함유 박막층 위에 바닥 반사방지 층(bottom anti-reflective coating, BARC)을 형성하는 단계를 더 포함할 수 있다. 예컨대 하드마스크 층 위에 산화질화규소를 함유하는 박막층을 형성한 다음, 그 위에 바닥 반사방지 층을 형성하고, 이어서 상기 바닥 반사방지 층 위에 포토레지스트 층을 형성할 수 있다.
상기 포토레지스트 층을 노광하는 단계는 예컨대 ArF, KrF 또는 EUV 등을 사용하여 수행할 수 있다. 또한 노광 후 약 100 내지 500 ℃에서 열처리 공정을 수행할 수 있다.
상기 재료 층의 노출된 부분을 식각하는 단계는 식각 가스를 사용한 건식 식각으로 수행할 수 있으며, 식각 가스는 예컨대 CHF3, CF4, Cl2, BCl3 및 이들의 혼합 가스를 사용할 수 있으나 이에 한정되는 것은 아니다.
상기 식각된 재료 층은 복수의 패턴으로 형성될 수 있으며, 상기 복수의 패턴은 금속 패턴, 반도체 패턴, 절연 패턴 등 다양할 수 있으며, 예컨대 반도체 집적 회로 디바이스 내의 다양한 패턴으로 적용될 수 있다.
반도체 집적 회로 디바이스에 상기 패턴이 포함되는 경우 예컨대 금속 배선; 반도체 패턴; 접촉 구멍, 바이어스 홀, 다마신 트렌치(damascene trench) 등을 포함하는 절연막일 수 있다.
이하 실시예를 통하여 상술한 본 발명의 구현예를 보다 상세하게 설명한다. 다만 하기의 실시예는 단지 설명의 목적을 위한 것이며 본 발명의 범위를 제한하는 것은 아니다.
중합체의 합성
합성예 1
2,2-비스(3-아미노-4-하이드록시페닐)-헥사플루오로프로판 (2,2-Bis(3-amino-4-hydroxyphenyl)-hexafluoropropane) 3.6g (10 mmol) 및 NMP 14.6g 을 100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 상온에서 교반하였다. 2,2-비스(3-아미노-4-하이드록시페닐)-헥사플루오로프로판의 용해가 완료되면, 상기 반응기를 0℃로 냉각 한 후 피리딘을 1.26g (16 mmol)을 적가하였다. 이어서 NMP 9.6g에 녹여놓은 4,4'-옥시디벤조일 클로라이드(4,4'-oxydibenzoyl chloride) 2.4g (8 mmol)을 0℃에서 상기 교반 중인 반응기에 적가한 후 2시간 더 교반하였다. 그 후 상기 혼합용액에서 피리딘을 완전히 제거한 후, 얻어진 파우더를 THF에 녹이고, 이어서 헥산에서 침전을 얻어내었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식 A로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 7,000 내지 8,000이었다.
[화학식 A]
Figure pat00019

합성예 2
2,2-비스(3-아미노-4-하이드록시페닐)-헥사플루오로프로판 (2,2-Bis (3-amino-4-hydroxyphenyl)-hexafluoropropane) 3.6g (10 mmol) 및 NMP 14.6g 을 100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 상온에서 교반하였다. 2,2-비스(3-아미노-4-하이드록시페닐)-헥사플루오로프로판의 용해가 완료되면, 그 후 상기 반응기를 0℃로 냉각 한 후 피리딘을 1.26g (16 mmol)을 적가하였다. 이어서 NMP 9.6g에 녹여놓은 프탈로일 디클로라이드(phthaloyl dichloride) 1.6g(8 mmol)을 교반 중인 반응기에 0℃에서 적가한 후 2시간 더 교반하였다. 그 후 상기 혼합용액을 피리딘을 완전히 제거한 후, 얻어진 파우더를 THF에 녹이고, 이어서 헥산에서 침전을 얻어내었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식 B로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 1,100 내지 1,500이었다.
[화학식 B]
Figure pat00020

합성예 3
2,2-비스(4-아미노페닐)헥사플루오로프로판 (2,2-Bis(4-aminophenyl)hexafluoropropane) 3.6g (10 mmol) 및 THF 30.5g을 100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 상온에서 교반하였다. 이어서, 5,5'-옥시디이소벤조퓨란-1,3-디온(5,5'-oxydiisobenzofuran-1,3-dione) 2.5g (8 mmol)을 적가하였다. 상기 혼합용액을 상온에서 15시간 교반시킨 후 상기 혼합용액의 침전으로부터 얻어진 파우더를 몇 차례 헹구어주었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식 C로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 2,000 내지 2,500이었다.
[화학식 C]
Figure pat00021

합성예 4
2,2'-디아미노-4,4'-(9-플루오레닐리덴)디페놀 (2,2'-Diamino-4,4'-(9-fluorenylidene)diphenol) 3.8g (10 mmol) 및 NMP 15.2g 을100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 상온에서 교반하였다. 2,2'-디아미노-4,4'-(9-플루오레닐리덴)디페놀의 용해가 완료되면, 상기 반응기를 0℃로 냉각 한 후 피리딘을 1.26g (16 mmol)을 적가하였다. 이어서 NMP 9.6g에 녹여놓은 4,4'-옥시디벤조일 클로라이드 (4,4'-oxydibenzoyl chloride) 2.4g (8mmol)을 상기 교반 중인 반응기에 0℃에서 적가한 후 2시간 더 교반한다. 2시간 후 혼합용액을 물에 침전을 잡고 얻어진 파우더를 몇차례 헹구어주어 피리딘이 제거 됨을 확인한다. 그 후 상기 혼합용액에서 피리딘을 완전히 제거한 후, 얻어진 파우더를 THF에 녹이고, 이어서 헥산에서 침전을 얻어내었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식 D로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 12,000 내지 13,000이었다.
[화학식 D]
Figure pat00022

합성예 5
5,5'-카르보닐디이소벤조퓨란-1,3-디온 (5,5'-carbonyldiisobenzofuran-1,3-dione) 3.87g (12 mmol), 4,4'-(1,4-페닐렌비스(옥시))디아닐린 (4,4'-(1,4-phenylenebis(oxy))dianiline) 4.38g (15 mmol) 및 NMP 41.26g 을100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 80℃에서 3시간 교반하였다. 상기 혼합용액을 상온으로 식힌 후 물에서 침전을 잡고 얻어진 파우더를 몇 차례 헹구어주었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식E로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 1,500 내지 2,000이었다.
[화학식 E]
Figure pat00023

합성예 6
5,5'-옥시디이소벤조퓨란-1,3-디온 (5,5'-oxydiisobenzofuran-1,3-dione) 2.48g (8 mmol), 3,3'-(1,3-페닐렌비스(옥시))디아닐린 (3,3'-(1,3-phenylenebis(oxy))dianiline) 2.92 (10 mmol) 및 NMP 27.02g 을 100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 80℃에서 3시간 교반하였다. 상기 혼합용액을 상온으로 식힌 후 물에서 침전을 잡고 얻어진 파우더를 몇 차례 헹구어주었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식 F로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 1,500 내지 2,000이었다.
[화학식 F]
Figure pat00024

비교합성예 1
2,2-비스(3-아미노-4-하이드록시페닐)-헥사플루오로프로판 (2,2-Bis (3-amino-4-hydroxyphenyl)-hexafluoropropane) 3.6g (10 mmol) 및 NMP 14.6g을 100 mL 반응기에 넣은 후 교반 자석(stirring bar)을 이용하여 상온에서 교반하였다. 2,2-비스(3-아미노-4-하이드록시페닐)-헥사플루오로프로판의 용해가 완료되면, 상기 반응기를 0℃로 냉각 한 후 피리딘을 1.26g (16 mmol)을 적가하였다. 이어서, NMP 6.4g에 녹여놓은 테레프탈로일 디클로라이드(terephthaloyl dichloride) 1.6g(8 mmol)을 상기 교반 중인 반응기에 0℃에서 적가한 후 2시간 더 교반한다. 그 후 상기 혼합용액에서 피리딘을 완전히 제거한 후, 얻어진 파우더를 THF에 녹이고, 이어서 헥산에서 침전을 얻어내었다. 이어서, 50℃ 저압 오븐을 이용하여 남아 있는 용매를 제거하여 하기 화학식 X로 표현되는 화합물을 얻었다. 얻어진 화합물의 중량평균분자량은 2,500 내지 3,000이었다.
[화학식 X]
Figure pat00025

비교합성예 2
온도계, 콘덴서 및 기계교반기를 구비한 500ml 3구 플라스크를 준비한 후, 이 3구 플라스크를 90 내지 100℃의 오일 항온조 속에 침지하였다. 항온을 유지하면서 교반 자석(stirring bar)를 사용하여 교반을 실시하였다. 이어서 상기 3구 플라스크에 1-나프톨 28.83g(0.2 mol), 벤조퍼릴렌 41.4g (0.15 mol) 및 파라포름알데히드 12.0g(0.34mol)을 투입하고 p-톨루엔 술폰산모노하이드레이트 0.19g(1mmol)을 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 162g에 녹인 후, 이 용액을 상기 3구 플라스크에 투입하여 5 내지 12시간 동안 교반하여 반응을 수행하였다.
1시간 간격으로 상기 중합 반응물로부터 시료를 채취하여, 그 시료의 중량평균분자량을 측정하여, 중량평균분자량이 1,800 내지 2,500일 때 반응을 완료하였다.
중합 반응이 완료된 후, 반응물을 상온으로 서서히 냉각한 후 상기 반응물을 증류수 40g 및 메탄올 400g에 투입하여 강하게 교반한 후, 정치시켰다. 상등액을 제거하고 침전물을 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 80g에 녹인 후, 메탄올 320g를 이용하여 강하게 교반한 후, 정치시켰다(1차). 이때 얻어지는 상등액을 다시 제거하고 침전물을 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 80g에 녹였다(2차). 상기 1차 및 2차 공정을 1회 정제 공정이라 하고, 이 정제 공정을 총 3회 실시하였다. 정제가 끝난 중합체를 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA) 80g에 녹인 후, 감압 하에서 용액에 남아있는 메탄올 및 증류수를 제거하였다. 하기 화학식 Y로 표현되는 방향족 고리 함유 화합물을 얻었다.
[화학식 Y]
Figure pat00026

하드마스크 조성물의 제조
실시예 1
합성예 1에서 얻은 화합물을 프로필렌글리콜모노메틸에테르아세테이트(propylene glycol monomethyl ether acetate, PGMEA)와 사이클로헥사논(cyclohexanone)(7:3(v/v))의 혼합 용매에 녹인 후 여과하여 하드마스크 조성물을 제조하였다. 목적하고자 하는 두께에 따라 상기 화합물의 함량은 상기 하드마스크 조성물 총 중량에 대해 8~13 중량%의 함량 범위에서 조절하였다.
실시예 2
합성예 1에서 얻은 화합물 대신 합성예 2에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 3
합성예 1에서 얻은 화합물 대신 합성예 3에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 4
합성예 1에서 얻은 화합물 대신 합성예 4에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 5
합성예 1에서 얻은 화합물 대신 합성예 5에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
실시예 6
합성예 1에서 얻은 화합물 대신 합성예 6에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
비교예 1
합성예 1에서 얻은 화합물 대신 비교합성예 1에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
비교예 2
합성예 1에서 얻은 화합물 대신 비교합성예 2에서 얻은 화합물을 사용한 것을 제외하고는 실시예 1과 동일한 방법으로 하드마스크 조성물을 제조하였다.
평가
평가 1: 갭-필 및 평탄화 특성
실시예 1 내지 4 또는 실시예 6과 비교예 1에 따른 하드마스크 조성물을 패턴이 형성된 실리콘웨이퍼 위에 2900 Å두께로 스핀-코팅하였다.
이어서 핫플레이트 위에서 400 ℃에서 120 초 동안 열처리한 후, V-SEM 장비를 이용하여 갭-필 특성과 평탄화 특성을 관찰하였다.
갭-필 특성은 패턴 단면을 전자주사현미경(SEM)으로 관찰하여 보이드(void) 발생 유무로 판별하였다. 도 1은 하드마스크 조성물이 패턴이 형성된 실리콘 웨이퍼의 표면 위에 코팅된 모습을 나타내는 단면도이다. h1 내지 h5는 하드마스크 조성물의 코팅 두께를 나타낸다. 평탄화 특성은 h1과 h2 내지 h5의 차이가 크기 않을수록 우수한 것이다.
평탄화 특성 및 갭-필 특성의 결과는 표 1과 같다.
  평탄화 특성 및 갭-필 특성
h1 (nm) h2 (nm) h3 (nm) h4 (nm) h5 (nm) 갭-필 특성
실시예 1 211.2 159.3 154.2 152.5 151.1 보이드(Void) 없음
실시예 2 209.2 160.1 153.6 153.6 153.0 보이드(Void) 없음
실시예 3 206.1 175.8 168.5 162.7 159.7 보이드(Void) 없음
실시예 4 210.4 155.7 151.1 148.5 148.3 보이드(Void) 없음
실시예 6 190.8 147.3 142.7 139.9 141.5 보이드(Void) 없음
비교예 1 220.4 137.1 124.0 114.2 110.9 보이드(Void) 있음
표 1을 참고하면, 실시예 1 내지 4 또는 실시예 6에 따른 하드마스크 조성물을 사용한 경우 막의 좌측 부분, 중간 부분 및 우측 부분에서 비교예 1과 비교하여 매우 양호한 수준의 두께를 유지하여, 평탄화 정도가 우수함을 알 수 있다. 또한, 실시예 1 내지 4 또는 실시예 6에 따른 하드마스크 조성물을 사용한 경우 보이드(void) 또한 관찰되지 않아 갭-필 특성이 우수한 것을 알 수 있다. 이에 반해, 비교예 1에 따른 하드마스크 조성물을 사용한 경우 평탄화 정도 및 갭-필 특성이 상대적으로 좋지 않음을 알 수 있다. 이는 실시예 1 내지 4 또는 실시예 6에 따른 하드마스크 조성물은 중합체 내의 기(group)들 간의 상호작용이 감소됨에 따라 용해도가 증가, Tg가 낮아짐에 기인한 것으로 이해된다.
평가 2: 내열성
실리콘 웨이퍼 위에 실시예 1 내지 5와 비교예 2에 따른 하드마스크 조성물을 스핀-온 코팅한 후 핫 플레이트 위에서 240℃로 2분간 열처리 하여 박막을 형성하였다. 형성된 박막의 두께를 K-MAC社의 박막 두께측정기로 측정하였다. 이어서, 상기 박막을 400℃에서 5분간 열처리한 후 박막의 두께를 다시 측정하였다.
그 결과는 표 2와 같다.
240℃ 열처리
후 박막 두께 (Å)
400℃ 열처리
후 박막 두께 (Å)
박막 두께
감소율 (%)
실시예 1 2875 2513 -12.6
실시예 2 2902 2635 -9.2
실시예 3 2901 2718 -6.3
실시예 4 2893 2763 -4.5
실시예 5 2896 2639 -8.9
비교예 2 2885 2063 -28.5
표 2를 참고하면, 실시예 1 내지 5에 따른 하드마스크 조성물로부터 형성된 박막은 비교예 2에 따른 하드마스크 조성물로부터 형성된 박막과 비교하여 400℃ 열처리시 두께 감소율이 적은 것을 알 수 있다.
이로부터 실시예 1 내지 5에 따른 하드마스크 조성물은 비교예 2에 따른 하드마스크 조성물과 비교하여 내열성이 높은 것을 알 수 있다.
이상에서 본 발명의 바람직한 실시예들에 대하여 상세하게 설명하였지만 본 발명의 권리 범위는 이에 한정되는 것은 아니고 다음의 청구 범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리 범위에 속하는 것이다.

Claims (12)

  1. 하기 화학식 1로 표현되는 부분을 가지는 중합체, 그리고
    용매
    를 포함하는 하드마스크 조성물:
    [화학식 1]
    Figure pat00027

    상기 화학식 1에서,
    A는 하기 그룹 1에서 선택된 어느 하나이고,
    B는 하기 그룹 2에서 선택된 어느 하나이다.
    [그룹 1]
    Figure pat00028

    상기 그룹 1에서,
    M 및 M′는 각각 독립적으로 수소 또는 카르복실기(-COOH)이고,
    X는 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬렌기, 치환 또는 비치환된 C6 내지 C20 아릴렌기, 치환 또는 비치환된 C2 내지 C20 헤테로아릴렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 산소(O), 황(S), 카르보닐기(-C(=O)-) SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합이고,
    R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C3 내지 C30 사이클로알킬기, 치환 또는 비치환된 C6 내지 C30 아릴기, 치환 또는 비치환된 C3 내지C30 사이클로알케닐기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C7 내지 C20 아릴알킬기, 치환 또는 비치환된 C1 내지 C20 헤테로알킬기, 치환 또는 비치환된 C2 내지C30 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C30 헤테로아릴기, 치환 또는 비치환된 C1 내지 C30 알콕시기, 치환 또는 비치환된 C2 내지 C30 알케닐기, 치환 또는 비치환된 C2 내지C30 알키닐기, 치환 또는 비치환된 C1 내지 C20 알데히드기, 치환 또는 비치환된 C1 내지 C4 알킬 에테르, 치환 또는 비치환된 C7 내지 C20 아릴알킬렌 에테르, 치환 또는 비치환된 C1 내지 C30 할로알킬기, 치환 또는 비치환된 C1 내지 C20 알킬보란기, 치환 또는 비치환된 C6 내지 C30 아릴보란기, CRgRhRi, 또는 이들의 조합이다.
    단, 상기 Ra, Rb, Rc, Rd, Re, Rf, Rg, Rh 및 Ri는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
    [그룹 2]
    Figure pat00029

    상기 그룹 2에서,
    Y 및 Y′는 각각 독립적으로 수소, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C1 내지 C30 알콕시기, NRaRb, COORc, PRjRkRlRm 또는 이들의 조합이고,
    X, R 및 R′ 의 정의는 상기 그룹 1과 같고,
    Ra, Rb, Rc, Rj, Rk, Rl 및 Rm는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
  2. 제1항에서,
    상기 그룹 1 및 2에서, R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, CRgRhRi, 또는 이들의 조합인 것인 하드마스크 조성물.
    단, 상기 Rg, Rh 및 Ri는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
  3. 제1항에서,
    상기 그룹 1 및 2에서, X는 각각 독립적으로 산소(O), 황(S), 카르보닐기(-C(=O)-), SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합인 것인 하드마스크 조성물.
    단, 상기 Ra, Rb, Rc, Rd, Re 및 Rf는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
  4. 제1항에서,
    상기 중합체는 하기 화학식 2 내지 7 중 어느 하나로 표현되는 하드마스크 조성물.)
    [화학식 2]
    Figure pat00030

    [화학식 3]
    Figure pat00031

    [화학식 4]
    Figure pat00032

    [화학식 5]
    Figure pat00033

    [화학식 6]
    Figure pat00034

    [화학식 7]
    Figure pat00035

    상기 화학식 2 내지 7에서,
    X는 산소(O), 황(S), 카르보닐기(-C(=O)-), SO2, NRa, SiRbRc, PRdReRf, 또는 이들의 조합이고,
    Y 및 Y′는 각각 독립적으로 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 C1 내지 C30 알킬기, 치환 또는 비치환된 C1 내지 C20 알킬아민기, 치환 또는 비치환된 C1 내지 C30 알콕시기, NRaRb, COORc, PRjRkRlRm 또는 이들의 조합이고,
    R 및 R′는 각각 독립적으로 수소, 할로겐 원자, 히드록시기, 티오닐기, 티올기, 시아노기, 치환 또는 비치환된 아미노기, CRgRhRi, 또는 이들의 조합이고,
    2≤n≤10,000이다.
    단, 상기 Ra, Rb, Rc, Rd, Re, Rf, Rg, Rh, Ri, Rj, Rk, Rl 및 Rm는 각각 독립적으로 수소, 치환 또는 비치환된 C1 내지 C10 알킬기, 할로겐 원자, 할로겐 함유기 또는 이들의 조합이다.
  5. 제1항에서,
    상기 중합체는 중량평균분자량이 1,000 내지 200,000인 하드마스크 조성물.
  6. 제1항에서,
    상기 용매는 프로필렌글리콜 모노메틸에테르아세테이트(PGMEA), 프로필렌글리콜 모노메틸에테르(PGME), 사이클로헥사논, N-메틸 2-피롤리돈(N-methyl 2-pyrrolidone,NMP) 및 에틸락테이트에서 선택된 적어도 하나를 포함하는 하드마스크 조성물.
  7. 제1항에서,
    상기 중합체는 상기 용매 100 중량부에 대하여 0.01 내지 50 중량부로 포함되어 있는 하드마스크 조성물.
  8. 기판 위에 재료 층을 제공하는 단계,
    상기 재료 층 위에 제1항 내지 제7항 중 어느 한 항에 따른 하드마스크 조성물을 적용하는 단계,
    상기 하드마스크 조성물을 열처리하여 하드마스크 층을 형성하는 단계,
    상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계,
    상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계,
    상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계,
    상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고
    상기 재료 층의 노출된 부분을 식각하는 단계
    를 포함하는 패턴 형성 방법.
  9. 제8항에서,
    상기 하드마스크 조성물을 적용하는 단계는 스핀-온 코팅 방법으로 수행하는 패턴 형성 방법.
  10. 제8항에서,
    상기 하드마스크 층을 형성하는 단계는 100℃ 내지 500℃에서 열처리하는 패턴 형성 방법.
  11. 제8항에서,
    상기 실리콘 함유 박막층 위에 바닥 반사방지 층(BARC)을 형성하는 단계를 더 포함하는 패턴 형성 방법.
  12. 제8항에서,
    상기 실리콘 함유 박막층은 산화질화규소(SiON), 질화규소(Si3N4) 또는 이들의 조합을 함유하는 것인 패턴 형성 방법.
KR1020130169274A 2013-12-31 2013-12-31 하드마스크 조성물 및 이를 사용한 패턴 형성 방법 KR101667788B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020130169274A KR101667788B1 (ko) 2013-12-31 2013-12-31 하드마스크 조성물 및 이를 사용한 패턴 형성 방법
US14/528,029 US9348229B2 (en) 2013-12-31 2014-10-30 Hardmask composition and method of forming patterns using the hardmask composition
CN201410642246.9A CN104749880B (zh) 2013-12-31 2014-11-11 硬掩膜组合物和使用硬掩膜组合物形成图案的方法
TW103140956A TWI547523B (zh) 2013-12-31 2014-11-26 硬罩幕組成物和使用硬罩幕組成物形成圖案的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130169274A KR101667788B1 (ko) 2013-12-31 2013-12-31 하드마스크 조성물 및 이를 사용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20150079208A true KR20150079208A (ko) 2015-07-08
KR101667788B1 KR101667788B1 (ko) 2016-10-19

Family

ID=53482632

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130169274A KR101667788B1 (ko) 2013-12-31 2013-12-31 하드마스크 조성물 및 이를 사용한 패턴 형성 방법

Country Status (4)

Country Link
US (1) US9348229B2 (ko)
KR (1) KR101667788B1 (ko)
CN (1) CN104749880B (ko)
TW (1) TWI547523B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180062140A (ko) * 2016-11-30 2018-06-08 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴형성방법
KR20210073410A (ko) 2019-12-10 2021-06-18 우송대학교 산학협력단 생체 신호처리 센서를 이용한 자각몽 유도장치

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104812729B (zh) * 2012-12-26 2017-05-10 第一毛织株式会社 单体、包含此单体的硬屏蔽组成物及使用此硬屏蔽组成物形成图案的方法
KR101788093B1 (ko) * 2014-03-19 2017-10-19 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
JP6712188B2 (ja) * 2015-07-13 2020-06-17 信越化学工業株式会社 レジスト下層膜形成用組成物及びこれを用いたパターン形成方法
KR101900543B1 (ko) * 2015-10-02 2018-09-20 삼성에스디아이 주식회사 유기막 cmp 슬러리 조성물 및 이를 이용한 연마방법
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
KR102289697B1 (ko) * 2015-12-29 2021-08-13 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102296794B1 (ko) * 2016-07-28 2021-08-31 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR101994367B1 (ko) * 2016-10-27 2019-06-28 삼성에스디아이 주식회사 중합체, 하드마스크 조성물 및 패턴형성방법
KR102365131B1 (ko) * 2016-11-10 2022-02-17 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
KR102113659B1 (ko) * 2017-11-28 2020-05-21 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
KR102397179B1 (ko) * 2018-12-21 2022-05-11 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364835B2 (en) * 2003-10-15 2008-04-29 Brewer Science Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
KR20100072660A (ko) * 2008-12-22 2010-07-01 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
WO2013055798A1 (en) * 2011-10-10 2013-04-18 Brewer Science Inc. Spin-on carbon compositions for lithographic processing
WO2013100409A1 (ko) * 2011-12-30 2013-07-04 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI333127B (en) * 2003-04-07 2010-11-11 Toray Industries Positive-type photosensitive resin composition
JP4464396B2 (ja) 2003-06-06 2010-05-19 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 新規な感光性樹脂組成物
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
WO2006070498A1 (ja) 2004-12-28 2006-07-06 Sumitomo Bakelite Company, Ltd. ベンゾオキサゾール樹脂前駆体、ポリベンゾオキサゾール樹脂、樹脂膜および半導体装置
WO2008120855A1 (en) * 2007-04-02 2008-10-09 Cheil Industries Inc. Hardmask composition having antireflective property and method of patterning materials using the same
KR100930673B1 (ko) * 2007-12-24 2009-12-09 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한재료의 패턴화 방법
JP5360416B2 (ja) 2008-01-11 2013-12-04 日産化学工業株式会社 ウレア基を有するシリコン含有レジスト下層膜形成組成物
KR101156489B1 (ko) 2008-12-02 2012-06-18 제일모직주식회사 반사방지 하드마스크 조성물
KR101741285B1 (ko) 2009-09-15 2017-06-15 미츠비시 가스 가가쿠 가부시키가이샤 방향족 탄화수소 수지 및 리소그래피용 하층막 형성 조성물
KR101344792B1 (ko) * 2010-12-17 2013-12-24 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101423171B1 (ko) * 2010-12-30 2014-07-25 제일모직 주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR20130003059A (ko) 2011-05-12 2013-01-09 주식회사 동진쎄미켐 퍼옥시다아제 효소를 이용한 페놀계 고분자의 제조방법 및 이를 포함하는 스핀 온 카본 하드마스크 조성물

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364835B2 (en) * 2003-10-15 2008-04-29 Brewer Science Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
KR20100072660A (ko) * 2008-12-22 2010-07-01 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
WO2013055798A1 (en) * 2011-10-10 2013-04-18 Brewer Science Inc. Spin-on carbon compositions for lithographic processing
WO2013100409A1 (ko) * 2011-12-30 2013-07-04 제일모직 주식회사 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180062140A (ko) * 2016-11-30 2018-06-08 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴형성방법
KR20210073410A (ko) 2019-12-10 2021-06-18 우송대학교 산학협력단 생체 신호처리 센서를 이용한 자각몽 유도장치

Also Published As

Publication number Publication date
CN104749880B (zh) 2019-12-31
US20150187589A1 (en) 2015-07-02
TWI547523B (zh) 2016-09-01
CN104749880A (zh) 2015-07-01
TW201525066A (zh) 2015-07-01
US9348229B2 (en) 2016-05-24
KR101667788B1 (ko) 2016-10-19

Similar Documents

Publication Publication Date Title
KR101667788B1 (ko) 하드마스크 조성물 및 이를 사용한 패턴 형성 방법
KR101413069B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101788091B1 (ko) 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101754901B1 (ko) 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101821734B1 (ko) 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR101566533B1 (ko) 하드마스크 조성물 및 이를 사용한 패턴형성방법
KR101599961B1 (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101771542B1 (ko) 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101962419B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
KR20150079199A (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101666483B1 (ko) 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101684978B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101814671B1 (ko) 유기막 조성물, 유기막, 및 패턴형성방법
KR101666484B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
CN104823106B (zh) 硬掩膜组合物、用于其的单体及使用其形成图案的方法
KR101698510B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR20130078745A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101590809B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101848345B1 (ko) 중합체, 유기막 조성물, 및 패턴형성방법
KR20150117174A (ko) 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101711919B1 (ko) 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101988997B1 (ko) 유기막 조성물 및 패턴형성방법
KR20180054300A (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR101583226B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR20230040147A (ko) 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 4