TW201525066A - 硬罩幕組成物和使用硬罩幕組成物形成圖案的方法 - Google Patents

硬罩幕組成物和使用硬罩幕組成物形成圖案的方法 Download PDF

Info

Publication number
TW201525066A
TW201525066A TW103140956A TW103140956A TW201525066A TW 201525066 A TW201525066 A TW 201525066A TW 103140956 A TW103140956 A TW 103140956A TW 103140956 A TW103140956 A TW 103140956A TW 201525066 A TW201525066 A TW 201525066A
Authority
TW
Taiwan
Prior art keywords
substituted
unsubstituted
group
hard mask
halogen
Prior art date
Application number
TW103140956A
Other languages
English (en)
Other versions
TWI547523B (zh
Inventor
Youn-Hee Nam
Hea-Jung Kim
Sang-Kyun Kim
Sung-Hwan Kim
Yun-Jun Kim
Joon-Young Moon
Hyun-Ji Song
Original Assignee
Samsung Sdi Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Sdi Co Ltd filed Critical Samsung Sdi Co Ltd
Publication of TW201525066A publication Critical patent/TW201525066A/zh
Application granted granted Critical
Publication of TWI547523B publication Critical patent/TWI547523B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G69/00Macromolecular compounds obtained by reactions forming a carboxylic amide link in the main chain of the macromolecule
    • C08G69/02Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids
    • C08G69/26Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids
    • C08G69/32Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids from aromatic diamines and aromatic dicarboxylic acids with both amino and carboxylic groups aromatically bound
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1039Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors comprising halogen-containing substituents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1046Polyimides containing oxygen in the form of ether bonds in the main chain
    • C08G73/105Polyimides containing oxygen in the form of ether bonds in the main chain with oxygen only in the diamino moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • C08G73/1071Wholly aromatic polyimides containing oxygen in the form of ether bonds in the main chain
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D177/00Coating compositions based on polyamides obtained by reactions forming a carboxylic amide link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D177/10Polyamides derived from aromatically bound amino and carboxyl groups of amino carboxylic acids or of polyamines and polycarboxylic acids
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials For Photolithography (AREA)

Abstract

本發明提供一種硬罩幕組成物和使用硬罩幕組成物形成圖案的方法。此硬罩幕組成物包含聚合物和溶劑,所述聚合物包含由以下化學式1表示的部分。 □在以上化學式1中,A和B與本說明書中所定義的相同。

Description

硬罩幕組成物和使用硬罩幕組成物形成圖案的方法 【相關申請案的交叉參考】
本申請案主張2013年12月31日在韓國智慧財產權局申請的韓國專利申請案第10-2013-0169274號的優先權和權益,其全部內容以引用的方式併入本文中。
本發明披露了一種硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法。
近來,半導體行業已經研發出具有幾奈米到幾十奈米尺寸的圖案的超精細技術。這種超精細技術主要需要有效的微影技術。典型的微影技術包含:在半導體基底上提供材料層;在其上塗佈光阻層;使所述光阻層曝光並且顯影以提供光阻圖案;以及使用光阻圖案作為罩幕來蝕刻材料層。當今,根據打算形成的尺寸越來越小的圖案,僅僅通過上述典型微影技術難 以提供輪廓清晰的精細圖案。因此,可以在材料層與光阻層之間形成被稱為硬罩幕層的層以提供精細的圖案。硬罩幕層起到中間層的作用,用於通過選擇性蝕刻製程將光阻的精細圖案轉移到材料層。因此,硬罩幕層需要具有諸如耐熱性和耐蝕刻性等在多個蝕刻製程期間能夠容許的特徵。另一方面,最近已經提出了通過旋轉塗佈法代替化學氣相沉積法來形成硬罩幕層。旋轉塗佈法易於進行並且還可以改良間隙填充特徵和平面化特徵。旋轉塗佈法可以使用具有溶劑可溶性的硬罩幕組成物。然而,硬罩幕層所需的以上特徵與可溶性具有相衝突的關係,因此需要滿足這兩者的硬罩幕組成物。
一個實施例提供了滿足耐熱性同時確保溶劑可溶性、間隙填充特徵以及平面化特徵的硬罩幕組成物。
另一個實施例提供了一種使用所述硬罩幕組成物形成圖案的方法。
根據一個實施例,提供了包含聚合物和溶劑的硬罩幕組成物,所述聚合物包含由以下化學式1表示的部分。
在以上化學式1中,A選自以下群組1,以及B選自以下群組2。
在群組1中,M和M′獨立地為氫或羧基(-COOH),X為經取代或未經取代的C1到C20伸烷基、經取代或未經取代的C3到C20伸環烷基、經取代或未經取代的C6到C20伸芳基、經取代或未經取代的C2到C20伸雜芳基、經取代或未經取代的C2到C20伸烯基、經取代或未經取代的C2到C20伸炔基、氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其組合,以及R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C3到C30環烷基、經取代或未經取代的C6到C30芳基、經取代或未經取代的C3到C30環烯基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C7到C20芳烷基、經取代或未經取代的C1到C20雜烷基、經取代或未經取代的C2到C30雜環烷基、經取代或未經取代的C2到C30雜芳基、經取代或未經取代的C1到C30烷氧基、經取代或未經取代的C2到C30烯基、經取代或未經取代的C2到C30炔基、經取代或未 經取代的C1到C20醛基、經取代或未經取代的C1到C4烷基醚、經取代或未經取代的C7到C20芳基伸烷基醚、經取代或未經取代的C1到C30鹵烷基、經取代或未經取代的C1到C20烷基硼烷基、經取代或未經取代的C6到C30芳基硼烷基、CRgRhRi或其組合,條件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh以及Ri獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
在群組2中,Y和Y′獨立地為氫、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取 代或未經取代的C1到C20烷基胺基、經取代或未經取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其組合,X、R以及R′與群組1中所定義的相同,以及Ra、Rb、Rc、Rj、Rk、Rl以及Rm獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
在群組1和群組2中,R和R′可以獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、CRgRhRi或其組合,條件是Rg、Rh以及Ri獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
在群組1和群組2中,X獨立地為氧(O)、硫(S)、CO、SO2、NRa、SiRbRc、PRdReRf或其組合,條件是Ra、Rb、Rc、Rd、Re以及Rf獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
聚合物可以由以下化學式2到化學式7中的一者表示。
[化學式4]
在以上化學式2到化學式7中,X為氧(O)、硫(S)、CO、SO2、NRa、SiRbRc、PRdReRf或其組合,Y和Y′獨立地為羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其組合,R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、CRgRhRi或其組合,以及2n10,000, 條件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh、Ri、Rj、Rk、Rl以及Rm獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
所述聚合物的重量平均分子量可以為約1,000到約200,000。
所述溶劑可以包含選自丙二醇單甲基醚乙酸酯(propylene glycol monomethyl ether acetate,PGMEA)、丙二醇單甲基醚(propylene glycol monomethylether,PGME)、環己酮、N-甲基2-吡咯烷酮(N-methyl 2-pyrrolidone,NMP)以及乳酸乙酯的至少一種。
按溶劑為100重量份計,聚合物的量占約0.01到約50重量份。
根據另一個實施例,形成圖案的方法包含:在基底上提供材料層,在材料層上塗覆硬罩幕組成物,熱處理硬罩幕組成物以形成硬罩幕層,在硬罩幕層上形成含矽薄層,在含矽薄層上形成光阻層,使光阻層曝光並且顯影以形成光阻圖案,使用光阻圖案選擇性地移除含矽薄層和硬罩幕層以使材料層的一部分暴露,以及蝕刻材料層的暴露部分。
所述硬罩幕組成物可以使用旋轉塗佈法塗覆。
所述形成硬罩幕層的製程可以包含在約100℃到約500℃下進行熱處理。
所述方法可以進一步包含在含矽薄層上形成底部抗反射塗層(bottom antireflective coating,BARC)。
所述含矽薄層可以包含氮氧化矽(SiON)、氮化矽(Si3N4) 或其組合。
可以改良硬罩幕層的所需特徵,諸如耐熱性、耐蝕刻性、平面化特徵以及間隙填充特徵。
h1、h2、h3、h4、h5‧‧‧厚度
圖1為繪示矽晶圓的截面圖,在矽晶圓的表面上塗佈有硬罩幕組成物。
在下文中將詳細描述本發明的示範性實施例並且其可以由具有相關技術中的常識的技術人員容易地進行。然而,本發明可以按多種不同形式實施,並且不應解釋為局限于本文中所闡述的示範性實施例。
當未另外提供定義時,如本文中所使用的術語‘經取代’可以指化合物的氫原子經取代基取代,所述取代基選自鹵素原子(F、Br、Cl或I)、羥基、烷氧基、硝基、氰基、氨基、疊氮基、甲脒基、肼基、亞肼基、羰基、氨基甲醯基、巰基、酯基、羧基或其鹽、磺酸基或其鹽、磷酸基或其鹽、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳烷基、經取代或未經取代的C1到C20烷基硼烷基、經取代或未經取代的C6到C30芳基硼烷基、C1到C4烷氧基、C1到C20雜烷基、C3到C20雜芳烷基、C3到C30環烷基、C3到C15環烯基、C6到C15環炔基、C2到C30雜環烷基以及其組合。
當未另外提供定義時,如本文中所使用的術語‘雜’是指包含1到3個選自B、N、O、S以及P的雜原子的基團。
在下文中,描述了根據一個實施例的硬罩幕組成物。
根據一個實施例的硬罩幕組成物包含聚合物和溶劑,所述聚合物包含由以下化學式1表示的部分。
在以上化學式1中,A選自以下群組1,以及B選自以下群組2。
在群組1中,M和M′獨立地為氫或羧基(-COOH),X為經取代或未經取代的C1到C20伸烷基、經取代或未經取代的C3到C20伸環烷基、經取代或未經取代的C6到C20伸芳基、經取代或未經取代的C2到C20伸雜芳基、經取代或未經取代的C2到C20伸烯基、經取代或未經取代的C2到C20伸炔基、氧(O)、 硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其組合,R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C3到C30環烷基、經取代或未經取代的C6到C30芳基、經取代或未經取代的C3到C30環烯基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C7到C20芳烷基、經取代或未經取代的C1到C20雜烷基、經取代或未經取代的C2到C30雜環烷基、經取代或未經取代的C2到C30雜芳基、經取代或未經取代的C1到C30烷氧基、經取代或未經取代的C2到C30烯基、經取代或未經取代的C2到C30炔基、經取代或未經取代的C1到C20醛基、經取代或未經取代的C1到C4烷基醚、經取代或未經取代的C7到C20芳基伸烷基醚、經取代或未經取代的C1到C30鹵烷基、經取代或未經取代的C1到C20烷基硼烷基、經取代或未經取代的C6到C30芳基硼烷基、CRgRhRi或其組合,以及條件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh以及Ri獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
在群組2中,Y和Y′獨立地為氫、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其組合,X、R以及R′與群組1中所定義的相同,以及Ra、Rb、Rc、Rj、Rk、Rl以及Rm獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
在群組1和群組2中,每一環的鍵結位置不受特定限制。
然而,當群組1中的苯環鍵聯到以上化學式1時,在兩側的鍵結位置為鄰位。在此,相較於苯環鍵聯的對位,鄰位可以確保極好的耐熱性、溶解性以及平面化特徵。舉例來說,當群組1中的M和M′為氫並且群組2中的Y和Y′為氫時,以上化學式1可以形成在主鏈中包含醯胺基(-CONH-)的聚合物。
當群組1中的M和M′為羧基(-COOH)時,以上化學式1可以形成在主鏈中包含醯胺基(-CONH-)和羧基(-COOH)的聚合物。當在高溫下熱處理聚合物時,在主鏈中的醯胺基(-CONH-)和羧基(-COOH)可以通過環化反應形成醯亞胺環。
當群組1中的M和M′為氫並且群組2中的Y和Y′為羥基時,以上化學式1可以形成在其主鏈中包含醯胺基(-CONH-)和羥基(-OH)的聚合物。當在高溫下熱處理聚合物時,醯胺基(-CONH-)和羥基(-OH)可以通過環化反應形成苯並噁唑環。
根據一個實施例,形成了醯亞胺或苯並噁唑聚合物,並且因而其可以在無單獨的交聯組分的情況下用於形成薄膜。由於這種自固化反應,硬罩幕組成物可以確保極好的耐熱性、耐蝕刻性、溶解性以及平面化特徵,同時還確保間隙填充特徵。
舉例來說,在群組1和群組2中,X可以為氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其組合,但不限於此。舉例來說,在群組1和群組2中,R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、CRgRhRi或其組合,但不限於此。Ra到Ri與上文 所定義的相同。
舉例來說,所述聚合物可以由以下化學式2到化學式7中的一者表示。
[化學式7]
在以上化學式2到化學式7中,X為氧(O)、硫(S)、羰基(-C(=O)-)、SO2、NRa、SiRbRc、PRdReRf或其組合,Y和Y′獨立地為羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其組合,R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、CRgRhRi或其組合,以及2n10,000,條件是Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh、Ri、Rj、Rk、Rl以及Rm獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
聚合物在其主鏈中包含雜芳環,並且因而在製程期間在高溫下具有極好的耐熱性和較少的熱收縮。因此,由包含所述聚合物的硬罩幕組成物形成的薄膜可以確保極好的平面化特徵。
此外,所述聚合物包含柔性鍵聯單元,並且因而改良樹脂的柔性。因此,由包含所述聚合物的硬罩幕組成物形成的薄膜可以確保極好的塗佈特性。
聚合物可以在硬罩幕組成物中包含單一地一種單體或兩 種或超過兩種單體。
聚合物的重量平均分子量可以為約1,000到約200,000,但不限於此。
硬罩幕組成物中的溶劑可以為對於單體和聚合物具有足夠可溶性或分散性的任何物質,並且可以為例如選自丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二乙二醇、二乙二醇丁基醚、三(乙二醇)單甲基醚、丙二醇單甲基醚、丙二醇單甲基醚乙酸酯、環己酮、乳酸乙酯、γ-丁內酯、甲基吡咯烷酮以及乙醯丙酮的至少一種。
按溶劑為100重量份計,所包含的聚合物的量可以占約0.01到約50重量份。當所包含的聚合物在以上範圍內時,可以獲得經塗佈薄膜的所需厚度。
硬罩幕組成物可以進一步包含表面活性劑。
表面活性劑可以包含例如烷基苯磺酸鹽、烷基吡啶鹽、聚乙二醇或季銨鹽,但不限於此。
按硬罩幕組成物為100重量份計,所包含的表面活性劑的量可以占約0.001到約3重量份。在所述含量範圍內,可以確保硬罩幕組成物的溶解性同時不改變其光學特性。
下文描述了通過使用硬罩幕組成物來形成圖案的方法。
根據一個實施例的形成圖案的方法包含:在基底上提供材料層,在材料層上塗覆包含化合物和溶劑的硬罩幕組成物,熱處理硬罩幕組成物以形成硬罩幕層,在硬罩幕層上形成含矽薄層,在含矽薄層上形成光阻層,使光阻層曝光並且顯影以形成光阻圖案,使用光阻圖案選擇性地移除含矽薄層和硬罩幕層 以使材料層的一部分暴露,以及蝕刻材料層的暴露部分。
基底可以為例如矽晶圓、玻璃基底或聚合物基底。
材料層為最終將圖案化的材料,例如,金屬層,諸如鋁層和銅層;半導體層,諸如矽層;或絕緣層,諸如氧化矽層和氮化矽層。材料層可以通過諸如化學氣相沉積(chemical vapor deposition,CVD)製程等方法形成。
硬罩幕組成物可以按溶液的形式通過旋轉塗佈法塗覆。在此,硬罩幕組成物的厚度不受特定限制,但可以為例如約100埃到約10,000埃。
可以在例如約100℃到約500℃下對硬罩幕組成物進行熱處理,持續約10秒到10分鐘。在熱處理期間,化合物可以引起自交聯和/或互交聯反應。
如上所述,硬罩幕組成物可以包括含醯胺基(-CONH-)和羧基(-COOH)的聚合物,並且醯胺基(-CONH-)和羧基(-COOH)在熱處理期間可以通過環化反應形成醯亞胺環。此外,硬罩幕組成物包括含醯胺基(-CONH-)和羥基(-OH)的聚合物,並且醯胺基(-CONH-)和羥基(-OH)在熱處理期間可以通過環化反應形成苯並噁唑環。含矽薄層可以由例如氮化矽、氧化矽或氮氧化矽(SiON)形成。
所述方法可以進一步包含在含矽薄層上形成底部抗反射塗層(BARC)。舉例來說,可以在硬罩幕層上形成含氮氧化矽的薄層,隨後形成底部抗反射塗層,並且隨後在底部抗反射塗層上形成光阻層。
光阻層的曝光可以使用例如ArF、KrF或EUV進行。在 曝光之後,可以在約100℃到約500℃下進行熱處理。
可以通過乾式蝕刻製程,使用蝕刻氣體進行材料層的暴露部分的蝕刻過程,並且蝕刻氣體可以為例如CHF3、CF4、Cl2、BCl3以及其混合氣體,但不限於此。
經蝕刻的材料層可以形成多個圖案,並且這多個圖案可以是金屬圖案、半導體圖案、絕緣圖案以及其類似圖案,例如不同的半導體積體電路裝置圖案。
包含在半導體積體電路裝置中的圖案可以為例如金屬線;半導體圖案;包含接觸孔、偏壓孔、鑲嵌溝槽的絕緣層,以及其類似圖案。
在下文中,參照實例對本發明進行更加詳細的說明。然而,這些實例是示範性的,並且本發明不限於此。
合成聚合物
合成實例1
將3.6克(10毫摩爾)2,2-雙(3-氨基-4-羥基苯基)-六氟丙烷和14.6克NMP放入100毫升的反應器中,並且用攪拌棒在室溫下攪動。
當2,2-雙(3-氨基-4-羥基苯基)-六氟丙烷完全溶解時,將反應器冷卻到0℃,並且以逐滴的方式將1.26克(16毫摩爾)吡啶添加到其中。隨後,在0℃下,在攪動反應器同時,以逐滴的方式將溶解於9.6克NMP中的2.4克(8毫摩爾)4,4'-氧二苯甲醯氯添加到其中,並且再攪動反應器兩小時。隨後,在將吡啶從混合溶液完全移除之後,將所獲得的粉末溶解於THF中,並且隨後從己烷中獲得沉澱物。隨後,在50℃的低壓烘箱 中移除殘留在沉澱物中的溶劑,獲得由以下化學式A表示的化合物。所獲得的化合物的重量平均分子量範圍為7,000到8,000。
合成實例2
將3.6克(10毫摩爾)2,2-雙(3-氨基-4-羥基苯基)-六氟丙烷和14.6克NMP放入100毫升的反應器中,並且用攪拌棒在室溫下攪動。當2,2-雙(3-氨基-4-羥基苯基)-六氟丙烷完全溶解時,將反應器冷卻到0℃,並且以逐滴的方式將1.26克(16毫摩爾)吡啶添加到其中。隨後,在0℃下,在攪動反應器同時,以逐滴的方式將溶解於9.6克NMP中的1.6克(8毫摩爾)鄰苯二甲醯氯添加到其中,並且再攪動反應器兩小時。隨後,在將吡啶從混合溶液完全移除之後,將所獲得的粉末溶解於THF中,並且隨後從己烷中獲得沉澱物。隨後,在50℃的低壓烘箱中移除殘留在沉澱物中的溶劑,獲得由以下化學式B表示的化合物。所獲得的化合物的重量平均分子量範圍為1,100到1,500。
合成實例3
將3.6克(10毫摩爾)2,2-雙(4-氨基苯基)-六氟丙烷和30.5克THF放入100毫升的反應器中,並且用攪拌棒在室溫下攪動。隨後,以逐滴的方式將2.5克(8毫摩爾)5,5'-氧二異苯並呋喃-1,3-二酮添加到其中。在室溫下將混合溶液攪動15小時,並且將從混合溶液的沉澱獲得的粉末沖洗幾次。隨後,在50℃的低壓烘箱中移除殘留在粉末中的溶劑,獲得由以下化學式C表示的化合物。所獲得的化合物的重量平均分子量範圍為2,000到2,500。
合成實例4
將3.8克(10毫摩爾)2,2'-二氨基-4,4'-(9-亞芴基)二苯酚和15.2克NMP放入100毫升的反應器中,並且用攪拌棒在室溫下攪動。當2,2'-二氨基-4,4'-(9-亞芴基)二苯酚完全溶解時,將反應器冷卻到0℃,並且以逐滴的方式將1.26克(16毫摩爾)吡啶添加到其中。隨後,在0℃下,在攪動反應器同時,以逐滴的方式將溶解於9.6克NMP中的2.4克(8毫摩爾)4,4'-氧二苯甲醯氯添加到其中,並且再攪動反應器兩小時。隨後,將在2小時之後通過在水中沉澱混合溶液所獲得的粉末沖洗幾次直到完全移除吡啶。隨後,在將吡啶從混合溶液完全移除之 後,將自其獲得的粉末溶解於THF中,並且隨後從己烷中獲得沉澱物。隨後,在50℃的低壓烘箱中移除殘留在沉澱物中的溶劑,獲得由以下化學式D表示的化合物。所獲得的化合物的重量平均分子量範圍為12,000到13,000。
合成實例5
將3.87克(12毫摩爾)5,5'-羰基二異苯並呋喃-1,3-二酮、4.38克(15毫摩爾)4,4'-(1,4-伸苯基雙(氧基))二苯胺以及41.26克NMP放入100毫升具有攪拌棒的反應器中,並且在80℃下攪動3小時。將混合溶液冷卻到室溫,並且將通過在水中沉澱混合溶液所獲得的粉末沖洗幾次。隨後,在50℃的低壓烘箱中移除殘留在沉澱物中的溶劑,獲得由以下化學式E表示的化合物。所獲得的化合物的重量平均分子量範圍為1,500到2,000。
合成實例6
將2.48克(8毫摩爾)5,5'-氧二異苯並呋喃-1,3-二酮、 2.92克(10毫摩爾)3,3'-(1,3-伸苯基雙(氧基))二苯胺以及27.02克NMP放入100毫升的反應器中,並且用攪拌棒在80℃下攪動3小時。將混合溶液冷卻到室溫,並且將通過在水中沉澱混合溶液所獲得的粉末沖洗幾次。隨後,在50℃的低壓烘箱中移除殘留在粉末中的溶劑,獲得由以下化學式F表示的化合物。所述化合物的重量平均分子量範圍為1,500到2,000。
比較合成例1
將3.6克(10毫摩爾)2,2-雙(3-氨基-4-羥基苯基)-六氟丙烷和14.6克NMP放入100毫升的反應器中,並且隨後用攪拌棒在室溫下攪動。當2,2-雙(3-氨基-4-羥基苯基)-六氟丙烷完全溶解時,將反應器冷卻到0℃,並且以逐滴的方式將1.26克(16毫摩爾)吡啶添加到其中。隨後,在0℃下,在攪動反應器同時,以逐滴的方式將溶解於6.4克NMP中的1.6克(8毫摩爾)對苯二甲醯氯添加到其中,並且再攪動反應器兩小時。隨後,在將吡啶從混合溶液完全移除之後,將所獲得的粉末溶解於THF中,並且隨後從己烷中獲得沉澱物。隨後,在50℃的低壓烘箱中移除殘留在沉澱物中的溶劑,獲得由以下化學式X表示的化合物。所獲得的化合物的重量平均分子量範圍為2,500到3,000。
比較合成例2
將配備有溫度計、冷凝器以及機械攪動器的500毫升3頸燒瓶浸在90℃到100℃的油恒溫器中。使用攪拌棒進行攪動,同時將燒瓶維持在恒定溫度下。隨後,將28.83克(0.2摩爾)1-萘酚、41.4克(0.15摩爾)苯並芘以及12.0克(0.34摩爾)多聚甲醛放入3頸燒瓶中,將溶解於162克丙二醇單甲基醚乙酸酯(PGMEA)中的0.19克(1毫摩爾)對甲苯磺酸單水合物添加到其中,並且攪動混合物並反應5小時到12小時。
當每隔一小時從聚合反應物中取出的樣品的重量平均分子量範圍為1,800到2,500時,反應完成。
當聚合反應完成時,將反應物緩慢冷卻到室溫並且添加到40克蒸餾水和400克甲醇中,並且強有力地攪動混合物並使其靜置。在自其移除上清液之後,將所獲得的沉澱物溶解於80克丙二醇單甲基醚乙酸酯(PGMEA)中,並且通過使用320克甲醇強有力地攪動溶液(第一製程)。在此,再次移除自其產生的上清液,將殘留在那裡的沉澱物溶解於80克丙二醇單甲基醚乙酸酯(PGMEA)中(第二製程)。第一製程和第二製程被視為一個精製製程,並且將所述精製製程總共重複三次。將精製的聚合物溶解於80克丙二醇單甲基醚乙酸酯(PGMEA) 中,並且在減壓下移除殘留在溶液中的甲醇和蒸餾水。獲得由以下化學式Y表示的含芳環化合物。
製備硬罩幕組成物
實例1
將根據合成實例1的化合物溶解於通過混合丙二醇單甲基醚乙酸酯(PGMEA)與環己酮(7:3(體積比))而獲得的混合溶劑中,並且隨後將其過濾,由此製備硬罩幕組成物。取決於所需厚度,基於硬罩幕組成物的總寬度,將化合物的量調節在8重量%到13重量%的範圍內。
實例2
除了使用根據合成實例2的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
實例3
除了使用根據合成實例3的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
實例4
除了使用根據合成實例4的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
實例5
除了使用根據合成實例5的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
實例6
除了使用根據合成實例6的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
比較例1
除了使用根據比較合成例1的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
比較例2
除了使用根據比較合成例2的化合物代替根據合成實例1的化合物以外,根據與實例1相同的方法製造硬罩幕組成物。
評估
評估1:間隙填充特徵和平面化特徵
在圖案化矽晶圓上分別將根據實例1到實例4或實例6以及比較例1的硬罩幕組成物旋轉塗佈達到2900埃的厚度。
隨後,在400℃的熱板上熱處理經塗佈的硬罩幕組成物120秒,並且使用垂直電子掃描顯微鏡(V-SEM)設備檢查其間隙填充特徵和平面化特徵。
通過使用電子掃描顯微鏡(electron scanning microscope,SEM)檢查在圖案的截面上是否產生空隙來評估間隙填充特徵。圖1為繪示如何將硬罩幕組成物塗佈到圖案化矽晶圓的表面上的截面圖。h1到h5表示硬罩幕組成物的塗層厚度。當h1與h2到h5之間的差值較小時,平面化特徵極佳。
在表1中提供了平面化特徵和間隙填充特徵的結果。
參看表1,根據實例1到實例4或實例6的硬罩幕組成物在薄膜的左側、中間以及右側部分維持了極其令人滿意的厚度,並且因而與比較例1相比顯示出極好的平面化特徵。此外,根據實例1到實例4或實例6的硬罩幕組成物未顯示出空隙,並且因而顯示出極好的間隙填充特徵。相反,根據比較例1的硬罩幕組成物顯示出相對不太令人滿意的平面化特徵和間隙填充特徵。原因是根據實例1到實例4或實例6的硬罩幕組成物隨著聚合物中的基團間相互作用減少而顯示出增加的溶解性但降低的Tg。
評估2:耐熱性
分別將根據實例1到實例5以及比較例2的硬罩幕組成物旋轉塗佈到矽晶圓上,並且將其在240℃的熱板上熱處理2分鐘,由此形成每一薄膜。通過使用由科美宜科(K-MAC)製 造的薄膜厚度測量儀器測量薄膜的厚度。隨後,在400℃下熱處理薄膜5分鐘,並且再次測量經熱處理的薄膜的厚度。
在表2中提供了結果。
參看表2,在400℃下熱處理期間,分別由根據實例1到實例5的硬罩幕組成物形成的薄膜顯示出比由根據比較例2的硬罩幕組成物形成的薄膜低的厚度減小率。
因此,與根據比較例2的硬罩幕組成物相比較,根據實例1到實例5的硬罩幕組成物顯示出較高的耐熱性。
儘管已經結合目前視為實用示範性實施例的內容來描述本發明,但應理解本發明不限於所披露的實施例,而正相反,本發明意欲涵蓋包含在所附申請專利範圍的精神和範圍內的各種修改和等效配置。
h1、h2、h3、h4、h5‧‧‧厚度

Claims (12)

  1. 一種硬罩幕組成物,其包括:聚合物,其包含由以下化學式1表示的部分;以及溶劑: 其中,在以上化學式1中,A選自以下群組1,以及B選自以下群組2, 其中,在所述群組1中,M和M′獨立地為氫或羧基,X為經取代或未經取代的C1到C20伸烷基、經取代或未經取代的C3到C20伸環烷基、經取代或未經取代的C6到C20伸芳基、經取代或未經取代的C2到C20伸雜芳基、經取代或未經取代的C2到C20伸烯基、經取代或未經取代的C2到C20伸炔基、氧、硫、羰基、SO2、NRa、SiRbRc、PRdReRf或其組合,以及 R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C3到C30環烷基、經取代或未經取代的C6到C30芳基、經取代或未經取代的C3到C30環烯基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C7到C20芳烷基、經取代或未經取代的C1到C20雜烷基、經取代或未經取代的C2到C30雜環烷基、經取代或未經取代的C2到C30雜芳基、經取代或未經取代的C1到C30烷氧基、經取代或未經取代的C2到C30烯基、經取代或未經取代的C2到C30炔基、經取代或未經取代的C1到C20醛基、經取代或未經取代的C1到C4烷基醚、經取代或未經取代的C7到C20芳基伸烷基醚、經取代或未經取代的C1到C30鹵烷基、經取代或未經取代的C1到C20烷基硼烷基、經取代或未經取代的C6到C30芳基硼烷基、CRgRhRi或其組合,條件是所述Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh以及Ri獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合,[群組2] 其中,在所述群組2中,Y和Y′獨立地為氫、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其組合,X、R以及R′與所述群組1中所定義的相同,以及Ra、Rb、Rc、Rj、Rk、Rl以及Rm獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
  2. 如申請專利範圍第1項所述之硬罩幕組成物,其中,在所述群組1和所述群組2中,R和R′獨立地為氫、鹵素、羥基、亞 硫醯基、巰基、氰基、經取代或未經取代的氨基、CRgRhRi或其組合,條件是所述Rg、Rh以及Ri獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
  3. 如申請專利範圍第1項所述之硬罩幕組成物,其中,在所述群組1和所述群組2中,X獨立地為氧、硫、羰基、SO2、NRa、SiRbRc、PRdReRf或其組合,條件是所述Ra、Rb、Rc、Rd、Re以及Rf獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
  4. 如申請專利範圍第1項所述之硬罩幕組成物,其中所述聚合物由以下化學式2到化學式7中的一者表示: [化學式5] 其中,在以上化學式2到化學式7中,X為氧、硫、羰基、SO2、NRa、SiRbRc、PRdReRf或其組合,Y和Y′獨立地為羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、經取代或未經取代的C1到C30烷基、經取代或未經取代的C1到C20烷基胺基、經取代或未經取代的C1到C30烷氧基、NRaRb、COORc、PRjRkRlRm或其組合,R和R′獨立地為氫、鹵素、羥基、亞硫醯基、巰基、氰基、經取代或未經取代的氨基、CRgRhRi或其組合,以及2n10,000,條件是所述Ra、Rb、Rc、Rd、Re、Rf、Rg、Rh、Ri、Rj、Rk、Rl以及Rm獨立地為氫、經取代或未經取代的C1到C10烷基、鹵素、含鹵素基團或其組合。
  5. 如申請專利範圍第1項所述之硬罩幕組成物,其中所述聚 合物的重量平均分子量為1,000到200,000。
  6. 如申請專利範圍第1項所述之硬罩幕組成物,其中所述溶劑包括選自丙二醇單甲基醚乙酸酯、丙二醇單甲基醚、環己酮、N-甲基2-吡咯烷酮以及乳酸乙酯的至少一種。
  7. 如申請專利範圍第1項所述之硬罩幕組成物,其中按所述溶劑為100重量份計,所述聚合物的量占0.01到50重量份。
  8. 一種形成圖案的方法,其包括:在基底上提供材料層;將根據權利要求1到7中任一項所述的硬罩幕組成物塗覆到所述材料層上;熱處理所述硬罩幕組成物以形成硬罩幕層;在所述硬罩幕層上形成含矽薄層;在所述含矽薄層上形成光阻層;使所述光阻層曝光並且顯影以形成光阻圖案;使用所述光阻圖案選擇性地移除所述含矽薄層和所述硬罩幕層以暴露所述材料層的一部分;以及蝕刻所述材料層的暴露部分。
  9. 如申請專利範圍第8項所述之形成圖案的方法,其中所述硬罩幕組成物是以旋轉塗佈法塗覆。
  10. 如申請專利範圍第8項所述之形成圖案的方法,其中所述硬罩幕層是通過在100℃到500℃下熱處理來形成。
  11. 如申請專利範圍第8項所述之形成圖案的方法,進一步包括在所述含矽薄層上形成底部抗反射塗佈層。
  12. 如申請專利範圍第8項所述之形成圖案的方法,其中所述 含矽薄層包括氮氧化矽、氮化矽或其組合。
TW103140956A 2013-12-31 2014-11-26 硬罩幕組成物和使用硬罩幕組成物形成圖案的方法 TWI547523B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130169274A KR101667788B1 (ko) 2013-12-31 2013-12-31 하드마스크 조성물 및 이를 사용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
TW201525066A true TW201525066A (zh) 2015-07-01
TWI547523B TWI547523B (zh) 2016-09-01

Family

ID=53482632

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103140956A TWI547523B (zh) 2013-12-31 2014-11-26 硬罩幕組成物和使用硬罩幕組成物形成圖案的方法

Country Status (4)

Country Link
US (1) US9348229B2 (zh)
KR (1) KR101667788B1 (zh)
CN (1) CN104749880B (zh)
TW (1) TWI547523B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI620028B (zh) * 2015-07-13 2018-04-01 Shin-Etsu Chemical Co., Ltd. 光阻下層膜形成用組成物及使用此組成物之圖案形成方法
TWI641913B (zh) * 2016-10-27 2018-11-21 三星Sdi股份有限公司 聚合物、有機層組成物與形成圖案之方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104812729B (zh) * 2012-12-26 2017-05-10 第一毛织株式会社 单体、包含此单体的硬屏蔽组成物及使用此硬屏蔽组成物形成图案的方法
KR101788093B1 (ko) * 2014-03-19 2017-10-19 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101900543B1 (ko) * 2015-10-02 2018-09-20 삼성에스디아이 주식회사 유기막 cmp 슬러리 조성물 및 이를 이용한 연마방법
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
KR102289697B1 (ko) * 2015-12-29 2021-08-13 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102296794B1 (ko) * 2016-07-28 2021-08-31 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102365131B1 (ko) * 2016-11-10 2022-02-17 삼성에스디아이 주식회사 유기막 조성물, 유기막, 및 패턴형성방법
KR102018237B1 (ko) * 2016-11-30 2019-09-04 삼성에스디아이 주식회사 중합체, 유기막 조성물 및 패턴형성방법
KR102113659B1 (ko) * 2017-11-28 2020-05-21 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
KR102397179B1 (ko) * 2018-12-21 2022-05-11 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
KR20210073410A (ko) 2019-12-10 2021-06-18 우송대학교 산학협력단 생체 신호처리 센서를 이용한 자각몽 유도장치

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI333127B (en) * 2003-04-07 2010-11-11 Toray Industries Positive-type photosensitive resin composition
JP4464396B2 (ja) 2003-06-06 2010-05-19 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 新規な感光性樹脂組成物
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
JP5368674B2 (ja) * 2003-10-15 2013-12-18 ブルーワー サイエンス アイ エヌ シー. 現像液に可溶な材料および現像液に可溶な材料をビアファーストデュアルダマシン適用において用いる方法
WO2006070498A1 (ja) 2004-12-28 2006-07-06 Sumitomo Bakelite Company, Ltd. ベンゾオキサゾール樹脂前駆体、ポリベンゾオキサゾール樹脂、樹脂膜および半導体装置
WO2008120855A1 (en) * 2007-04-02 2008-10-09 Cheil Industries Inc. Hardmask composition having antireflective property and method of patterning materials using the same
KR100930673B1 (ko) * 2007-12-24 2009-12-09 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한재료의 패턴화 방법
JP5360416B2 (ja) 2008-01-11 2013-12-04 日産化学工業株式会社 ウレア基を有するシリコン含有レジスト下層膜形成組成物
KR101156489B1 (ko) 2008-12-02 2012-06-18 제일모직주식회사 반사방지 하드마스크 조성물
KR101156488B1 (ko) * 2008-12-22 2012-06-18 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
KR101741285B1 (ko) 2009-09-15 2017-06-15 미츠비시 가스 가가쿠 가부시키가이샤 방향족 탄화수소 수지 및 리소그래피용 하층막 형성 조성물
KR101344792B1 (ko) * 2010-12-17 2013-12-24 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101423171B1 (ko) * 2010-12-30 2014-07-25 제일모직 주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR20130003059A (ko) 2011-05-12 2013-01-09 주식회사 동진쎄미켐 퍼옥시다아제 효소를 이용한 페놀계 고분자의 제조방법 및 이를 포함하는 스핀 온 카본 하드마스크 조성물
EP2766920B1 (en) * 2011-10-10 2020-12-02 Brewer Science, Inc. Spin-on carbon compositions for lithographic processing
US9725389B2 (en) * 2011-12-30 2017-08-08 Cheil Industries, Inc. Monomer for a hardmask composition, hardmask composition comprising the monomer, and method for forming a pattern using the hardmask composition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI620028B (zh) * 2015-07-13 2018-04-01 Shin-Etsu Chemical Co., Ltd. 光阻下層膜形成用組成物及使用此組成物之圖案形成方法
TWI641913B (zh) * 2016-10-27 2018-11-21 三星Sdi股份有限公司 聚合物、有機層組成物與形成圖案之方法

Also Published As

Publication number Publication date
CN104749880B (zh) 2019-12-31
US20150187589A1 (en) 2015-07-02
TWI547523B (zh) 2016-09-01
CN104749880A (zh) 2015-07-01
US9348229B2 (en) 2016-05-24
KR101667788B1 (ko) 2016-10-19
KR20150079208A (ko) 2015-07-08

Similar Documents

Publication Publication Date Title
TWI547523B (zh) 硬罩幕組成物和使用硬罩幕組成物形成圖案的方法
TWI567131B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
TWI483080B (zh) 硬遮罩組成物用單體及包含該單體之硬遮罩組成物,以及使用該硬遮罩組成物形成圖案的方法(一)
TWI532785B (zh) 硬罩幕組成物、形成圖案的方法以及半導體積體電路裝置
TWI468871B (zh) 硬遮罩組成物和形成圖案之方法,以及包含該圖案之半導體積體電路元件(二)
TWI553038B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
TWI541611B (zh) 用於硬罩幕組合物的單體、包括該單體的硬罩幕組合物及使用該硬罩幕組合物形成圖案的方法
TWI472551B (zh) 硬遮罩組成物和形成圖案之方法,以及包含該圖案之半導體積體電路元件(一)
TWI619739B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
TWI589619B (zh) 聚合物、有機層組合物、有機層以及形成圖案的方法
TWI667547B (zh) 聚合物、有機層組成物及圖案形成方法
TWI537325B (zh) 用於硬光罩組合物的單體、硬光罩組合物及形成圖案的方法
TWI554836B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
TWI597321B (zh) 有機層組成物以及形成圖案的方法
TWI501042B (zh) 硬遮罩組成物用單體及包含該單體之硬遮罩組成物,以及使用該硬遮罩組成物形成圖案的方法
TWI623515B (zh) 用於硬罩幕組成物的單體和包含此單體的硬罩幕組成物及使用硬罩幕組成物形成圖案的方法
TWI547521B (zh) 硬罩幕組成物和使用所述硬罩幕組成物形成圖案的方法
TW201714913A (zh) 聚合物、有機層組成物以及形成圖案的方法
TWI509364B (zh) 硬遮罩組成物用單體、包含該單體之硬遮罩組成物及使用該硬遮罩組成物形成圖案的方法
WO2014104544A1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
TWI491986B (zh) 硬遮罩用組成物、使用該組成物形成圖案的方法,以及包括該圖案之半導體積體電路元件
TW201816517A (zh) 聚合物、有機層組成物與形成圖案之方法
TWI598379B (zh) 聚合物、有機層組合物以及形成圖案的方法
TWI553030B (zh) 硬遮罩組成物用單體、包含該單體之硬遮罩組成物及使用該硬遮罩組成物形成圖案之方法
KR20140083844A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법