KR101531610B1 - 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스 - Google Patents

하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스 Download PDF

Info

Publication number
KR101531610B1
KR101531610B1 KR1020120131181A KR20120131181A KR101531610B1 KR 101531610 B1 KR101531610 B1 KR 101531610B1 KR 1020120131181 A KR1020120131181 A KR 1020120131181A KR 20120131181 A KR20120131181 A KR 20120131181A KR 101531610 B1 KR101531610 B1 KR 101531610B1
Authority
KR
South Korea
Prior art keywords
group
unsubstituted
substituted
hard mask
forming
Prior art date
Application number
KR1020120131181A
Other languages
English (en)
Other versions
KR20130079149A (ko
Inventor
이성재
문준영
조연진
김영민
윤용운
Original Assignee
제일모직주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제일모직주식회사 filed Critical 제일모직주식회사
Priority to CN201280065651.8A priority Critical patent/CN104024941B/zh
Priority to PCT/KR2012/009983 priority patent/WO2013100375A1/ko
Priority to US14/368,858 priority patent/US9244351B2/en
Priority to TW101150587A priority patent/TWI491986B/zh
Publication of KR20130079149A publication Critical patent/KR20130079149A/ko
Application granted granted Critical
Publication of KR101531610B1 publication Critical patent/KR101531610B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

하기 화학식 1 및 2로 표시되는 반복단위를 포함하는 공중합체 및 용매를 포함하는 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법, 그리고 상기 방법으로 형성된 패턴을 포함하는 반도체 집적회로 디바이스에 관한 것이다.
[화학식 1]
Figure 112012095205008-pat00036

[화학식 2]
Figure 112012095205008-pat00037

(상기 화학식 1 및 2에서, 각 치환기는 명세서에 정의된 바와 같다.)

Description

하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스{COMPOSITION FOR HARDMASK, METHOD OF FORMING PATTERNS USING THE SAME, AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE INCLUDING THE PATTERNS}
하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스에 관한 것이다.
마이크로일렉트로닉스 뿐만 아니라 마이크로스코픽 구조물(예를 들어, 마이크로머신, 마그네토레지스트 헤드 등)의 제작을 비롯한 산업 분야에서 패턴의 크기를 감소시켜 주어진 칩 크기에 보다 많은 양의 회로를 제공하고자 하는 요구가 존재한다.
효과적인 리쏘그래픽 기법은 패턴 크기의 감소를 달성하는데 필수적이다.  리쏘그래픽은 소정의 기판 상에 패턴을 직접적으로 이미지화시킨다는 측면에서 뿐만 아니라 그러한 이미지화에 전형적으로 사용된 마스크를 제조한다는 측면에서 마이크로스코픽 구조물의 제조에 영향을 미친다.
전형적인 리쏘그래픽 공정은 이미지화 방사선에 방사선-민감성 레지스트를 패턴 방식으로 노출시킴으로써 패턴화된 레지스트 층을 형성하는 단계를 포함한다.  이어서, 노출된 레지스트 층을 현상액으로 현상한다.  이어서, 패턴은 패턴화된 레지스트 층의 개구부 내에 있는 물질을 식각함으로써 이면 재료에 전사시킨다.  전사가 완료된 후, 잔류하는 레지스트 층은 제거한다.
그러나, 일부 리쏘그래픽 이미지화 공정의 경우, 사용된 레지스트는 레지스트 이면에 있는 층으로 소정의 패턴을 효과적으로 전사시킬 수 있을 정도로 후속적인 식각 단계에 대한 충분한 내성을 제공하지 못한다.  따라서, 예컨대 초박막 레지스트 층이 필요한 경우, 식각 처리하고자 하는 이면 재료가 두꺼운 경우, 상당할 정도의 식각 깊이가 필요한 경우 및/또는 소정의 이면 재료에 특정한 식각액(etchant)을 사용하는 것이 필요한 경우, 일명 하드마스크 층을 레지스트 층과 패턴화된 레지스트로부터 전사에 의해 패턴화될 수 있는 이면 재료 사이에 중간층으로서 사용한다.  
상기 하드마스크 층은 패턴화된 레지스트 층으로부터 패턴을 수용하고, 이면 재료로 패턴을 전사시키는 데 필요한 식각 공정을 견디어 낼 수 있어야 한다.
상기 하드마스크 층은 식각 선택성이 높고, 다중 식각에 대한 내성이 충분하며, 레지스트와 이면층 간의 반사성을 최소화하는 하드마스크 형성용 조성물을 사용하여 리쏘그래픽 기술을 수행하는 것이 요망된다.  이러한 하드마스크 조성물을 사용한 패턴은 광학적 특성이 개선될 수 있다.
본 발명의 일 구현예는 우수한 식각 내성을 가지며 반사방지 특성이 우수한 하드마스크 형성용 조성물을 제공하기 위한 것이다.
본 발명의 다른 일 구현예는 상기 하드마스크 형성용 조성물을 이용한 패턴 형성 방법을 제공하기 위한 것이다.
본 발명의 또 다른 일 구현예는 상기 패턴 형성 방법으로 형성된 패턴을 포함하는 반도체 집적회로 디바이스를 제공하기 위한 것이다.
본 발명의 일 구현예는 하기 화학식 1 및 2로 표시되는 반복단위를 포함하는 공중합체; 및 용매를 포함하는 하드마스크 형성용 조성물을 제공한다. 
[화학식 1]
Figure 112012095205008-pat00001
[화학식 2]
Figure 112012095205008-pat00002
(상기 화학식 1 및 2에서,
R1은 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
R2 내지 R4, R5 내지 R14, R18 및 R19는 각각 독립적으로 수소 원자, 히드록시기, 아민기, 티올(thiol)기, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C1 내지 C20 알콕시기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알케닐기, 치환 또는 비치환된 C3 내지 C20 사이클로알키닐기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알케닐기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알키닐기, 또는 치환 또는 비치환된 C6 내지 C30 아릴기이고, R5 내지 R14 중 적어도 하나는 히드록시기, 아민기 또는 티올기이고, R18 및 R19는 각각 적어도 하나의 히드록시기를 포함하고,
R15 및 R16은 각각 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
R20 내지 R25는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
n2 내지 n4는 각각 0≤n2≤2, 0≤n3≤3 및 0≤n4≤4 이고,
n5 및 n6은 각각 약 1 내지 약 10의 정수이고,
n7 내지 n10은 각각 약 0 내지 약 10의 정수이고,
x+y=1 이고, 0≤x≤1 및 0≤y≤1 이고,
n 및 m은 각각 약 1 내지 약 200의 정수이고,
*2 및 *3은 서로 연결되고, *1 및 *4는 서로 연결된다.)
상기 화학식 2에서 R15 및 R16은 각각 독립적으로 페닐렌기, 나프틸렌기, 또는 C1 내지 C20 알콕시 페닐렌기일 수 있다.
상기 화학식 2에서 R20 내지 R25는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기일 수 있고, 상기 n7 내지 n10은 각각 약 0 내지 약 5의 정수일 수 있다.
상기 화학식 1 및 2에서 n 및 m은 각각 약 1 내지 약 100의 정수일 수 있고, m/n의 몰비는 약 0.01 내지 약 20 일 수 있다.
상기 공중합체는 하기 화학식 3-1 내지 3-5로 표시되는 구조단위를 포함하는 공중합체로부터 선택되는 적어도 하나를 포함할 수 있다.
[화학식 3-1]
Figure 112012095205008-pat00003
[화학식 3-2]
Figure 112012095205008-pat00004
[화학식 3-3]
Figure 112012095205008-pat00005
[화학식 3-4]
Figure 112012095205008-pat00006
[화학식 3-5]
Figure 112012095205008-pat00007
(상기 화학식 3-1 내지 3-5에서,
x+y=1 이고, 0≤x≤1 및 0≤y≤1 이고,
n 및 m은 각각 약 1 내지 약 200의 정수이다.)
상기 공중합체는 중량평균 분자량이 약 1,000 g/mol 내지 약 1,000,000 g/mol 일 수 있다.
상기 공중합체는 상기 하드마스크 형성용 조성물 총량에 대하여 약 1 중량% 내지 약 50 중량%로 포함될 수 있다.
본 발명의 다른 일 구현예는 기판 위에 재료 층을 제공하는 단계; 상기 재료 층 위에 상기 하드마스크 형성용 조성물을 적용하여 하드마스크 층을 형성하는 단계; 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계; 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계; 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계; 및 상기 재료 층의 노출된 부분을 식각하는 단계를 포함하는 패턴 형성 방법을 제공한다.
상기 하드마스크 층을 형성하는 단계는 스핀-온 코팅 방법으로 수행할 수 있다.
상기 실리콘 함유 박막층을 형성하는 단계 후에, 상기 실리콘 함유 박막층 위에 바닥 반사방지 층(bottom anti-reflective coating, BARC)을 형성하는 단계를 더 포함할 수 있다.
상기 하드마스크 층을 형성하는 단계는 약 100℃ 내지 약 300℃ 에서의 열처리를 포함할 수 있다.
본 발명의 또 다른 일 구현예는 상기 패턴 형성 방법으로 형성된 복수의 패턴을 포함하는 반도체 집적회로 디바이스를 제공한다.
기타 본 발명의 구현예들의 구체적인 사항은 이하의 상세한 설명에 포함되어 있다.
상기 하드마스크 형성용 조성물은 우수한 식각 내성을 가지며 반사방지 특성이 우수하다. 
이하, 본 발명의 구현예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예에 한정되지 않는다.
본 명세서에서 별도의 정의가 없는 한, '치환된'이란, 화합물 중의 수소 원자가 할로겐 원자(F, Br, Cl, 또는 I), 히드록시기, 알콕시기, 니트로기, 시아노기, 아미노기, 아지도기, 아미디노기, 히드라지노기, 히드라조노기, 카르보닐기, 카르바밀기, 티올기, 에스테르기, 카르복실기나 그의 염, 술폰산기나 그의 염, 인산이나 그의 염,  C1 내지 C20 알킬기, C2 내지 C20 알케닐기, C2 내지 C20 알키닐기, C6 내지 C30 아릴기, C7 내지 C30 아릴알킬기, C1 내지 C4 알콕시기, C1 내지 C20 헤테로알킬기, C3 내지 C20 헤테로아릴알킬기, C3 내지 C30 사이클로알킬기, C3 내지 C15 사이클로알케닐기, C6 내지 C15 사이클로알키닐기, C2 내지 C20 헤테로사이클로알킬기 및 이들의 조합에서 선택된 치환기로 치환된 것을 의미한다.
또한, 본 명세서에서 별도의 정의가 없는 한, '헤테로'란, N, O, S 및 P에서 선택된 헤테로 원자를 1 내지 3개 함유한 것을 의미한다.
이하 일 구현예에 따른 하드마스크 형성용 조성물을 설명한다.
일 구현예에 따른 하드마스크 형성용 조성물은 하기 화학식 1 및 2로 표시되는 반복단위를 포함하는 공중합체를 포함한다.
[화학식 1]
Figure 112012095205008-pat00008
[화학식 2]
Figure 112012095205008-pat00009
상기 화학식 1에서 R1은 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기일 수 있다.
상기 화학식 1 및 2에서 R2 내지 R4, R5 내지 R14, R18 및 R19는 각각 독립적으로 수소 원자, 히드록시기, 아민기, 티올기, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C1 내지 C20 알콕시기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알케닐기, 치환 또는 비치환된 C3 내지 C20 사이클로알키닐기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알케닐기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알키닐기, 또는 치환 또는 비치환된 C6 내지 C30 아릴기일 수 있다.  또한 n2 내지 n4는 각각 0≤n2≤2, 0≤n3≤3 및 0≤n4≤4 일 수 있으며, n5 및 n6은 각각 약 1 내지 약 10의 정수일 수 있다.
이때 R5 내지 R14 중 적어도 하나는 히드록시기, 아민기, 티올기와 같은 친수성기일 수 있다.  R5 내지 R14 중 적어도 하나의 위치에 친수성기를 가짐으로써 상기 공중합체의 용매에 대한 용해성이 우수하다.
또한 R18 및 R19는 각각 적어도 하나의 히드록시기를 포함할 수 있다.  예컨대, n5 및 n6이 각각 1일 경우 R18 및 R19는 각각 히드록시기이며, n5 및 n6이 각각 5일 경우 R18 및 R19는 각각 5개의 치환기 자리를 가질 수 있으며 이때 적어도 하나의 자리에 히드록시기가 위치할 수 있다.  R18 및 R19가 각각 적어도 하나의 히드록시기를 포함함으로써 상기 하드마스크 형성용 조성물의 식각 내성 및 반사방지 특성이 우수하다. 
상기 화학식 2에서 R15 및 R16은 각각 치환 또는 비치환된 C6 내지 C30 아릴렌기일 수 있다.  구체적으로, R15 및 R16은 각각 독립적으로 페닐렌기, 나프틸렌기, 또는 C1 내지 C20 알콕시 페닐렌기일 수 있다.  상기 C1 내지 C20 알콕시 페닐렌기는  C1 내지 C20 알콕시기로 치환된 페닐렌기를 나타낸다.  R15 및 R16이 상기 치환기를 가짐으로써 상기 하드마스크 형성용 조성물의 식각 내성 및 반사방지 특성이 우수하다.
상기 화학식 2에서 R20 내지 R25는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기일 수 있다.  또한 n7 내지 n10은 각각 약 0 내지 약 10의 정수일 수 있다.
구체적으로 R20 내지 R25는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기일 수 있고, 상기 n7 내지 n10은 각각 약 0 내지 약 5의 정수일 수 있다.  R20 내지 R25가 상기 치환기를 가짐으로써 상기 하드마스크 형성용 조성물의 식각 내성 및 반사방지 특성이 우수하다. 
상기 화학식 1에서 x 및 y는 각 반복단위의 몰 분율을 나타내며, x+y=1 이고 0≤x≤1 및 0≤y≤1 일 수 있다.  x 및 y가 각각 상기 범위 내일 경우 상기 하드마스크 형성용 조성물의 식각 내성 및 반사방지 특성이 우수하다. 
상기 화학식 1 및 2에서 n 및 m은 각각 약 1 내지 약 200의 정수일 수 있고, 구체적으로는 각각 약 1 내지 약 100의 정수일 수 있다.  n 및 m이 각각 상기 범위 내일 경우 상기 하드마스크 형성용 조성물의 식각 내성 및 반사방지 특성이 우수하다. 
또한 m/n의 몰비는 약 0.01 내지 약 20 일 수 있고, 구체적으로는 약 0.01 내지 약 10 일 수 있다.  상기 범위일 경우 상기 공중합체의 탄소 수가 많아짐에 따라 상기 하드마스크 형성용 조성물의 식각 내성이 우수하다. 
상기 화학식 1 및 2에서 *는 연결되는 부분을 표시한 것이며, 화학식 1에서의 *2와 화학식 2에서의 *3은 서로 연결될 수 있으며, 화학식 1에서의 *1와 화학식 2에서의 *4는 서로 연결될 수 있다.
상기 공중합체는 구체적으로 하기 화학식 3-1 내지 3-5로 표시되는 구조단위를 포함하는 공중합체로부터 선택되는 적어도 하나를 사용할 수 있다.
[화학식 3-1]
Figure 112012095205008-pat00010
[화학식 3-2]
Figure 112012095205008-pat00011
[화학식 3-3]
Figure 112012095205008-pat00012
[화학식 3-4]
Figure 112012095205008-pat00013
[화학식 3-5]
Figure 112012095205008-pat00014
상기 화학식 3-1 내지 3-5에서, x+y=1 이고 0≤x≤1 및 0≤y≤1 일 수 있고, n 및 m은 각각 약 1 내지 약 200의 정수일 수 있다.
상기 공중합체를 포함하는 조성물을 사용하여 하드마스크를 형성할 경우, 상기 공중합체가 많은 수의 탄소를 가짐에 따라, 식각시 하드마스크 층에 존재하는 탄소에 대해서는 식각 내성이 뛰어나고 재료 층에 존재하는 실리콘에 대해서는 식각 내성이 작아진다.  따라서 상기 하드마스크 형성용 조성물은 실리콘에 대한 탄소의 식각 선택비가 낮으며, 아울러 반사방지 특성이 우수하다.
상기 공중합체의 중량평균 분자량은 약 1,000 g/mol 내지 약 1,000,000 g/mol 일 수 있고, 구체적으로는 약 2,000 g/mol 내지 약 50,000 g/mol 일 수 있다.  또한 상기 공중합체의 분산도(Mw/Mn)는 약 1.3 내지 약 3.0 일 수 있고, 구체적으로는 약 1.5 내지 약 2.3 일 수 있다.  상기 공중합체의 중량평균 분자량 및 분산도가 상기 범위 내일 경우 상기 하드마스크 형성용 조성물의 식각 내성 및 반사방지 특성이 우수하다. 
상기 하드마스크 형성용 조성물은 용매를 더 포함할 수 있다.
상기 용매는 상기 공중합체에 대한 충분한 용해성 또는 분산성을 가지는 것이라면 특별히 한정되지 않으나, 예컨대 프로필렌글리콜, 프로필렌글리콜 디아세테이트, 메톡시 프로판디올, 디에틸렌글리콜, 디에틸렌글리콜 부틸에테르, 트리(에틸렌글리콜)모노메틸에테르, 프로필렌글리콜 모노메틸에테르, 프로필렌글리콜 모노메틸에테르 아세테이트, 사이클로헥사논, 에틸락테이트, 감마-부티로락톤 및 아세틸아세톤으로부터 선택되는 적어도 하나를 사용할 수 있다.
상기 공중합체는 상기 하드마스크 형성용 조성물 총량에 대하여 약 1 중량% 내지 약 50 중량%로 포함될 수 있고, 구체적으로는 약 1 중량% 내지 약 30 중량%로 포함될 수 있다.
상기 용매는 상기 하드마스크 층의 두께에 따라 함량을 조절할 수 있다.  구체적으로, 상기 하드마스크 형성용 조성물 총량에 대하여 잔부량으로 포함될 수 있고, 더욱 구체적으로는 약 50 중량% 내지 약 99 중량%로 포함될 수 있다.
상기 하드마스크 조성물은 추가적으로 계면 활성제, 산 촉매, 가교제 등의 첨가제를 더 포함할 수 있다.
상기 계면 활성제는 예컨대 알킬벤젠설폰산 염, 알킬피리디늄 염, 폴리에틸렌글리콜, 제4 암모늄 염 등을 사용할 수 있으나, 이에 한정되는 것은 아니다.
상기 산 촉매는 열 활성화된 산 촉매인 것이 바람직하다.
상기 산 촉매로는 p-톨루엔 술폰산모노하이드레이트와 같은 유기 산이 사용될 수 있고, 보관안정성을 도모한 열산 발생제(thermal acid generator, TAG)가 사용될 수 있다.  상기 열산 발생제는 열 처리시 산을 방출하는 산 생성제로서, 예컨대 피리디늄 p-톨루엔 술포네이트, 2,4,4,6-테트라브로모시클로헥사디엔온, 벤조인토실레이트, 2-니트로벤질토실레이트, 유기술폰산의 알킬에스테르 등을 들 수 있다.
상기 가교제는 상기 산 촉매의 존재 하에 가열에 의해 중합체의 반복단위를 가교할 수 있는 것으로, 에테르화된 아미노 수지와 같은 아미노 수지; 하기 화학식 A로 표시되는 화합물과 같은 글리콜루릴 화합물; 하기 화학식 B로 표시되는 화합물과 같은 비스에폭시 화합물; N-메톡시메틸 멜라민, N-부톡시메틸 멜라민 등과 같은 멜라민 화합물; 하기 화학식 C로 표시되는 화합물과 같은 멜라민 유도체; 또는 이들의 혼합물을 사용할 수 있다.
[화학식 A]
Figure 112012095205008-pat00015
[화학식 B]
Figure 112012095205008-pat00016
[화학식 C]
Figure 112012095205008-pat00017
상기 계면 활성제, 상기 산 촉매 및 상기 가교제는 상기 하드마스크 형성용 조성물 100 중량부에 대하여 각각 약 0.001 중량부 내지 약 3 중량부로 포함될 수 있다.  상기 범위 내로 포함함으로써 하드마스크 형성용 조성물의 광학적 특성을 변경시키지 않으면서 용해도 및 가교성을 확보할 수 있다. 
이하 상술한 하드마스크 형성용 조성물을 사용하여 패턴을 형성하는 방법에 대하여 설명한다.
일 구현예에 따른 패턴 형성 방법은 기판 위에 재료 층을 제공하는 단계, 상기 재료 층 위에 전술한 공중합체를 포함하는 하드마스크 조성물을 적용하여 하드마스크 층을 형성하는 단계, 상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계, 상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계, 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계, 상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계, 그리고 상기 재료 층의 노출된 부분을 식각하는 단계를 포함한다.
상기 기판은 예컨대 실리콘웨이퍼, 유리 기판 또는 고분자 기판일 수 있다.
상기 재료 층은 최종적으로 패턴하고자 하는 재료이며, 예컨대 알루미늄, 구리 등과 같은 금속층, 실리콘과 같은 반도체 층 또는 산화규소, 질화규소 등과 같은 절연층일 수 있다.  상기 재료 층은 예컨대 화학기상증착방법으로 형성될 수 있다.
상기 하드마스크 층은 하드마스크 형성용 조성물을 도포하여 형성할 수 있다.
상기 하드마스크 형성용 조성물은 전술한 바와 같다.
상기 하드마스크 형성용 조성물은 용액 형태로 제조되어 스핀-온 코팅 방법으로 도포될 수 있다.  이어서 도포된 상기 하드마스크 형성용 조성물을 열처리하여 하드마스크 층을 형성한다.
이 때 상기 하드마스크 형성용 조성물의 도포 두께, 열처리 조건 등은 특별히 한정되지 않으나, 예컨대 약 500Å 내지 약 10,000Å 두께로 도포되고 예컨대 약 100℃ 내지 약 300℃에서 약 10초 내지 약 10분 간 열처리할 수 있다.
상기 실리콘 함유 박막층은 질화규소 또는 산화규소로 만들어진 박막일 수 있다.
또한 상기 실리콘 함유 박막층 위에 바닥 반사방지 층(BARC)을 더 형성할 수도 있다.
이어서 상기 실리콘 함유 박막층 또는 상기 바닥 반사방지 층(BARC) 위에 포토레지스트 층을 도포한다.  상기 포토레지스트 층은 감광성 물질을 포함하는 방사선-민감성 이미지화 층일 수 있다.
이어서 상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성한다.  이 때 노광은 예컨대 ArF, KrF 또는 E-빔 등을 사용하여 수행할 수 있다.  또한 노광 후 약 100℃ 내지 약 500℃에서 열처리 공정을 수행할 수 있다.
이어서 상기 포토레지스트 패턴을 마스크로 하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거한다.  이 때 상기 바닥 반사방지 층이 형성되어 있는 경우에는 이것도 함께 제거될 수 있다.  이에 따라 하부의 상기 재료 층의 일부를 노출할 수 있다.
이어서 상기 재료 층의 노출된 부분을 식각한다.  이 때 식각은 식각 가스를 사용한 건식 식각으로 이루어질 수 있으며, 식각 가스는 예컨대 CHF3, CF4, CH4, Cl2, BCl3, 이들의 혼합 가스 등을 사용할 수 있다.
이어서 상기 하드마스크 층 및 포토레지스트 층은 통상의 박리제(stripper)를 사용하여 제거하고 상기 재료 층으로부터 형성된 복수의 패턴을 형성한다.
상기 복수의 패턴은 금속 패턴, 반도체 패턴, 절연 패턴 등 다양할 수 있으며,  반도체 집적 회로 디바이스 내의 다양한 패턴일 수 있다.  반도체 집적 회로 디바이스에 상기 패턴이 포함되는 경우 예컨대 금속 배선, 반도체 패턴, 접촉 구멍, 바이어스 홀, 다마신 트렌치(damascene trench) 등을 포함하는 절연막일 수 있다.
 
이하 실시예를 통하여 상술한 본 발명의 구현예를 보다 상세하게 설명한다.  다만 하기의 실시예는 단지 설명의 목적을 위한 것이며 본 발명의 범위를 제한하는 것은 아니다.
(단량체 합성)
합성예 1-1
하기 반응식 1에서 화합물 A로 표시되는 안탄쓰론(anthanthrone) 30.6g(100mmol), 벤조일 클로라이드 28g(200mmol) 및 디클로로에탄 306g을 플라스크에 넣고 용해시켰다.  여기에 알루미늄(III) 클로라이드 26.7g(200mmol)을 천천히 넣고 80℃로 승온하여 24시간 교반하였다.  반응 완결 후 상온으로 냉각하고, 포타슘 히드록사이드 수용액을 첨가하여 중화시켰다.  유기층 분리 후 농축하여 48.3g(수율 94.0%)의 화합물 B를 얻었다.
상기 화합물 B 51.4g(100mmol)을 메탄올 및 물을 1:1의 중량비로 함유한 수용액 514g에 용해시켰다.  여기에 소듐 보로하이드라이드 38.83g(1.0mol)을 천천히 첨가하고 24시간 교반시켰다.  반응 완결 후 10% HCl 수용액을 첨가하여 중화시킨 후 에틸 아세테이트를 가하여 추출하였다.  추출된 유기층을 감압 농축하여 46.4g(수율 88.7%)의 단량체 C를 얻었다.
[반응식 1]
Figure 112012095205008-pat00018
합성예 1-2
상기 합성예 1-1에서 벤조일 클로라이드 대신 나프토일 클로라이드를 사용한 것을 제외하고는, 합성예 1-1과 동일한 방법으로 합성하여 하기 단량체 D(수율 76%)를 얻었다.
Figure 112012095205008-pat00019
 
합성예 1-3
상기 합성예 1-1에서 벤조일 클로라이드 대신 4-메톡시벤조일 클로라이드를 사용한 것을 제외하고는, 합성예 1-1과 동일한 방법으로 합성하여 하기 단량체 E(수율 83%)를 얻었다.
Figure 112012095205008-pat00020
합성예 1-4
상기 합성예 1-1에서 벤조일 클로라이드 200mmol 대신 벤조일 클로라이드 100mmol 및 나프토일 클로라이드 100mmol을 사용한 것을 제외하고는, 합성예 1-1과 동일한 방법으로 합성하여 하기 단량체 F(수율 86%)를 얻었다.
  
Figure 112012095205008-pat00021
합성예 1-5
온도계, 콘덴서, 질소 가스 퍼징 노즐 및 기계 교반기를 구비한 500 mL의 4구 플라스크에 아세나프틸렌(acenaphthylene) 91.3g, 4-아세톡시스티렌(4-acetoxystyrene) 206.6g, 2,2'-아조비스이소부티로니트릴(AIBN) 1.97g 및 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 161.48g을 넣었다.  얻어진 용액을 20분간 탈가스 처리하였다.  상기 용액을 70℃로 가열하고, 그 온도에서 24 시간 교반하였다.  중합이 완성된 용액을 실온으로 냉각하고, 메탄올에서 침전시킨 후 45℃ 오븐에서 24 시간 건조시켰다.  상기 얻어진 생성물의 가수분해를 위하여, 1,4-다이옥산에서 하이드라진 무수물과 혼합하였다(혼합 부피비 1:8:1).  상기 혼합물을 실온에서 12시간 교반하였다.  그런 다음 과량의 빠른 속도로 교반되는 메탄올을 적하함으로써 침전으로 중합체를 분리하였다.  얻어진 고체 중합체를 필터링하고, 메탄올로 세척한 후 45℃ 진공 중에 24 시간 건조시켜, 하기 화학식 4로 표시되는 중합체를 얻었다.
얻어진 중합체의 GPC(gel permeation chromatography) 측정 중량 평균 분자량은 10,800 g/mol, 분산도는 1.3 이었다.
[화학식 4]
Figure 112012095205008-pat00022
 (상기 화학식 4에서, x=0.2 및 y=0.8 이다.)
 
(공중합체 합성)
합성예 2-1
합성예 1-1에서 얻은 단량체 C 13.2g 및 1,4-비스(메톡시메틸)벤젠 4.25g을 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 99.27g에 넣고, 20 분간 교반하여 용해시킨 다음, 0.3g의 디에틸술페이트를 첨가한 후 130℃에서 8 시간 가열하였다.  이후 상기 합성예 1-5에서 수득된 중합체 52.94g을 반응 혼합물에 추가한 후 같은 온도에서 4 시간 가열하였다.  이렇게 얻은 공중합체를 메탄올 중에서 침전시켰고, 20g의 PGMEA로 다시 용해시킨 다음, 메탄올로 재침전시켰다.  이로써 하기 화학식 3-1로 표시되는 공중합체를 얻었다.
얻어진 공중합체의 GPC 측정 중량 평균 분자량은 17,200 g/mol, 분산도는 1.7이었다.
[화학식 3-1]
Figure 112012095205008-pat00023
(상기 화학식 3-1에서,
x=0.2 및 y=0.8 이고, n=90 및 m=10 이다.)
합성예 2-2
합성예 1-1에서 얻은 단량체 C 13.2g 및 파라포름알데히드 0.92g을 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 99.27g에 넣고, 20 분간 교반하여 용해시킨 다음, 0.3g의 디에틸술페이트를 첨가한 후 130℃에서 8 시간 가열하였다.  이후 상기 합성예 1-5에서 수득된 중합체 52.94g을 반응 혼합물에 추가한 후 같은 온도에서 4시간 가열하였다.  이렇게 얻은 공중합체를 메탄올 중에서 침전시켰고, 20g의 PGMEA로 다시 용해시킨 다음, 메탄올로 재침전시켰다.  이로써 하기 화학식 3-2로 표시되는 공중합체를 얻었다.
얻어진 공중합체의 GPC 측정 중량 평균 분자량은 16,500 g/mol, 분산도는 1.7이었다.
[화학식 3-2]
Figure 112012095205008-pat00024
(상기 화학식 3-2에서,
x=0.2 및 y=0.8 이고, n=90 및 m=10 이다.)
합성예 2-3
합성예 1-2에서 얻은 단량체 D 16.0g 및 1,4-비스(메톡시메틸)벤젠 4.25g을 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 99.27g에 넣고, 20 분간 교반하여 용해시킨 다음, 0.3g의 디에틸술페이트를 첨가한 후 130℃에서 8 시간 가열하였다.  이후 상기 합성예 1-5에서 수득된 중합체 52.94g을 반응 혼합물에 추가한 후 같은 온도에서 4 시간 가열하였다.  이렇게 얻은 공중합체를 메탄올 중에서 침전시켰고, 20g의 PGMEA로 다시 용해시킨 다음, 메탄올로 재침전시켰다.  이로써 하기 화학식 3-3으로 표시되는 공중합체를 얻었다.
얻어진 공중합체의 GPC 측정 중량 평균 분자량은 15,200 g/mol, 분산도는 1.8이었다.
[화학식 3-3]
Figure 112012095205008-pat00025
(상기 화학식 3-3에서,
x=0.2 및 y=0.8 이고, n=90 및 m=10 이다.)
합성예 2-4
합성예 1-3에서 얻은 단량체 E 15.0g 및 1,4-비스(메톡시메틸)벤젠 4.25g을 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 99.27g에 넣고, 20 분간 교반하여 용해시킨 다음, 0.3g의 디에틸술페이트를 첨가한 후 130℃에서 8 시간 가열하였다.  이후 상기 합성예 1-5에서 수득된 중합체 52.94g을 반응 혼합물에 추가한 후 같은 온도에서 4 시간 가열하였다.  이렇게 얻은 공중합체를 메탄올 중에서 침전시켰고, 20g의 PGMEA로 다시 용해시킨 다음, 메탄올로 재침전시켰다.  이로써 하기 화학식 3-4로 표시되는 공중합체를 얻었다.
얻어진 공중합체의 GPC 측정 중량 평균 분자량은 16,300 g/mol, 분산도는 1.9이었다.
[화학식 3-4]
Figure 112012095205008-pat00026
(상기 화학식 3-4에서,
x=0.2 및 y=0.8 이고, n=90 및 m=10 이다.)
합성예 2-5
합성예 1-4에서 얻은 단량체 F 14.7g 및 1,4-비스(메톡시메틸)벤젠 4.25g을 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 99.27g에 넣고, 20 분간 교반하여 용해시킨 다음, 0.3g의 디에틸술페이트를 첨가한 후 130 ℃에서 8 시간 가열하였다.  이후 상기 합성예 1-5에서 수득된 중합체 52.94g을 반응 혼합물에 추가한 후 같은 온도에서 4 시간 가열하였다.  이렇게 얻은 공중합체를 메탄올 중에서 침전시켰고, 20g의 PGMEA로 다시 용해시킨 다음, 메탄올로 재침전시켰다.  이로써 하기 화학식 3-5로 표시되는 공중합체를 얻었다.
얻어진 공중합체의 GPC 측정 중량 평균 분자량은 16,700 g/mol, 분산도는 1.8이었다.
[화학식 3-5]
Figure 112012095205008-pat00027
(상기 화학식 3-5에서,
x=0.2 및 y=0.8 이고, n=90 및 m=10 이다.)
 
( 하드마스크 형성용 조성물의 제조)
실시예 1 내지 5
합성예 2-1 내지 2-5에서 얻은 각각의 공중합체 0.8g, 산 촉매로 피리디늄 p-톨루엔 술포네이트 2 mg, 가교제로 하기 화학식 A로 표시되는 글리콜루릴 화합물 0.2g 및 용매로서 프로필렌글리콜 모노메틸에테르 아세테이트(PGMEA) 9g을 혼합하여, 여과한 후 하드마스크 형성용 조성물을 제조하였다.
[화학식 A]
Figure 112012095205008-pat00028
비교예 1
합성예 1-5에서 제조된 중합체 0.8g, 산 촉매로 피리디늄 p-톨루엔술포네이트 2 mg, 가교제로 상기 화학식 A로 표시되는 글리콜루릴 화합물 0.2g 및 용매로서 프로필렌 글리콜 모노에틸 에테르 아세테이트(PGMEA) 9g을 혼합하고, 여과한 후 하드마스크 형성용 조성물을 제조하였다.
 
( 하드마스크 층의 형성)
질화규소가 형성되어 있는 실리콘웨이퍼 위에 상기 실시예 1 내지 5 및 비교예 1에 따른 하드마스크 형성용 조성물을 스핀-온 코팅 방법으로 도포한 후 200℃에서 60초 간 열처리하여 약 1,500Å 두께의 하드마스크 층을 형성하였다.
 
평가 1: 광학 특성 평가
실시예 1 내지 5 및 비교예 1에 따른 하드마스크 형성용 조성물로 형성된 하드마스크 층의 굴절률(refractive index, n) 및 흡광 계수(extinction coefficient, k)를 측정하여, 그 결과를 하기 표 1에 나타내었다.  굴절률 및 흡광 계수는 193nm 및 248nm 광을 각각 조사하면서 Ellipsometer(J.A.Woollam사 제조)를 사용하여 측정하였다.
  광학특성(193nm) 광학특성(248nm)
굴절율(n) 흡광계수(k) 굴절율(n) 흡광계수(k)
실시예 1 1.47 0.76 2.01 0.36
실시예 2 1.49 0.79 1.98 0.32
실시예 3 1.46 0.81 1.96 0.33
실시예 4 1.50 0.77 2.03 0.34
실시예 5 1.39 0.76 2.07 0.32
비교예 1 1.42 0.83 1.93 0.25
표 1을 참고하면, 실시예 1 내지 5에 따른 하드마스크 형성용 조성물로 형성된 하드마스크 층은 193nm 및 248nm의 파장에서 하드마스크 층으로 사용하기에 적합한 굴절률(n) 및 흡광계수(k)를 나타냄을 알 수 있다.
 
평가 2:  식각 내성 평가
실시예 1 내지 5 및 비교예 1에 따른 하드마스크 형성용 조성물로 형성된 하드마스크 층을 CF4 및 CHF3 혼합 가스로 드라이 식각을 수행하였다.  K-MAC社의 박막두께측정기를 이용하여 식각 전후의 하드마스크 층의 두께 차이를 측정하였다.  식각 조건은 하기 표 2와 같다.  결과를 하기 표 3에 나타내었다.
챔버 압력 40.0 Pa
RF power 1300 W
Gap 9 nm
CHF3 flow 30 ml/분
CF4 flow 30 ml/분
Ar 가스 flow 100 ml/분
시간 60 초
  식각 속도(nm/분)
실시예 1 54
실시예 2 53
실시예 3 60
실시예 4 71
실시예 5 56
비교예 1 83
표 3을 참고하면, 실시예 1 내지 5에 따른 하드마스크 형성용 조성물로 형성된 하드마스크 층은 CF4 및 CHF3 혼합 가스에 대한 식각 속도가 낮아 식각 내성이 우수함을 알 수 있다.
 
( 포토레지스트 패턴의 형성)
질화규소(SiN) 층이 형성되어 있는 실리콘웨이퍼 위에 상기 실시예 1 내지 5와 비교예 1에 따른 하드마스크 형성용 조성물을 스핀-온 코팅 방법으로 도포한 후 200℃에서 60초 간 열처리하여 약 4,000Å 두께의 하드마스크 층을 형성하였다.  
상기 하드마스크 층 위에 ArF 포토레지스트를 1,700Å 두께로 코팅하고 110℃에서 60초간 열처리한 후, ASML(XT:1400, NA0.93)을 사용하여 노광을 하였다.  이어서 테트라메틸암모늄 히드록시드(tetramethylammonium hydroxide, TMAH) 2.38 중량% 수용액으로 현상하여 포토레지스트 패턴을 형성하였다.
 
평가 3: 패턴 형성
상기 포토레지스트 패턴을 마스크로 하여 O2/N2 혼합가스를 사용하여 상기 하드마스크 층을 드라이 식각하였다.  이후 CHF3/CF4 혼합가스로 하드마스크를 마스크로 하여 질화규소의 드라이 식각을 진행한 후, 남아 있는 하드마스크 및 유기물에 대해 O2 애싱(ashing) 및 웨트 스트립(wet strip) 공정을 진행하였다.  하드마스크 식각과 질화규소 식각 직후 각각의 시편에 대해 FE-SEM으로 단면을 각각 관찰하였다.  결과를 하기 표 4에 나타내었다.
  하드마스크 식각 후
패턴 모양
질화규소
식각 후 패턴 모양
실시예 1 수직모양(anisotropic) 수직모양(anisotropic)
실시예 2 수직모양(anisotropic) 수직모양(anisotropic)
실시예 3 수직모양(anisotropic) 수직모양(anisotropic)
실시예 4 수직모양(anisotropic) 수직모양(anisotropic)
실시예 5 수직모양(anisotropic) 수직모양(anisotropic)
비교예 1 활모양(bowing) 테이퍼진 모양
표 4를 참고하면, 실시예 1 내지 5에 따른 하드마스크 형성용 조성물로 형성된 하드 마스크 층 및 그 하부의 질화규소 층은 모두 수직모양으로 패터닝된 반면, 비교예 1에 따른 하드마스크 형성용 조성물로 형성된 하드마스크 층 및 그 하부의 질화규소 층은 수직 모양으로 패터닝되지 못하고 활모양이나 테이퍼진 모양으로 나타나는 것을 알 수 있다.  
이는 실시예 1 내지 5에 따른 하드마스크 형성용 조성물을 사용한 경우 식각 가스에 대한 내성이 충분하여 식각이 양호하게 수행되는 반면, 비교예 1에 따른 하드마스크 형성용 조성물을 사용한 경우 식각 가스에 대한 내성이 불충분하여 질화규소 층을 양호한 모양으로 패터닝하기 위한 식각 선택비가 부족한 것으로 판단된다.
 
이상에서 본 발명의 바람직한 실시예들에 대하여 상세하게 설명하였지만 본 발명의 권리 범위는 이에 한정되는 것은 아니고 다음의 청구 범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리 범위에 속하는 것이다.

Claims (13)

  1. 하기 화학식 1 및 2로 표시되는 반복단위를 포함하는 공중합체; 및
    용매
    를 포함하는 하드마스크 형성용 조성물.
    [화학식 1]
    Figure 112015014639845-pat00029

    [화학식 2]
    Figure 112015014639845-pat00030

    (상기 화학식 1 및 2에서,
    R1은 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
    R2 내지 R4, R5 내지 R14, R18 및 R19는 각각 독립적으로 수소 원자, 히드록시기, 아민기, 티올기, 치환 또는 비치환된 C1 내지 C20 알킬기, 치환 또는 비치환된 C2 내지 C20 알케닐기, 치환 또는 비치환된 C2 내지 C20 알키닐기, 치환 또는 비치환된 C1 내지 C20 알콕시기, 치환 또는 비치환된 C3 내지 C20 사이클로알킬기, 치환 또는 비치환된 C3 내지 C20 사이클로알케닐기, 치환 또는 비치환된 C3 내지 C20 사이클로알키닐기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알킬기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알케닐기, 치환 또는 비치환된 C2 내지 C20 헤테로사이클로알키닐기, 또는 치환 또는 비치환된 C6 내지 C30 아릴기이고, R5 내지 R14 중 적어도 하나는 히드록시기, 아민기 또는 티올기이고, R18 및 R19는 각각 적어도 하나의 히드록시기를 포함하고,
    R15 및 R16은 각각 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
    R20 내지 R25는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬렌기, 치환 또는 비치환된 C2 내지 C20 알케닐렌기, 치환 또는 비치환된 C2 내지 C20 알키닐렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
    n2 내지 n4는 각각 0≤n2≤2, 0≤n3≤3 및 0≤n4≤4 이고,
    n5 및 n6은 각각 1 내지 10의 정수이고,
    n7 내지 n10은 각각 0 내지 10의 정수이고,
    x+y=1 이고, 0≤x≤1 및 0≤y≤1 이고,
    n 및 m은 각각 1 내지 200의 정수이고,
    *2 및 *3은 서로의 반복단위 내의 말단기와의 연결 지점이고, *1 및 *4는 서로의 반복단위 내의 말단기와의 연결 지점이다.)
     
  2. 제1항에 있어서,
    상기 화학식 2에서 R15 및 R16은 각각 독립적으로 페닐렌기, 나프틸렌기, 또는 C1 내지 C20 알콕시 페닐렌기인 하드마스크 형성용 조성물.
     
  3. 제1항에 있어서,
    상기 화학식 2에서 R20 내지 R25는 각각 독립적으로 치환 또는 비치환된 C1 내지 C20 알킬렌기, 또는 치환 또는 비치환된 C6 내지 C30 아릴렌기이고,
    상기 n7 내지 n10은 각각 0 내지 5의 정수인 하드마스크 형성용 조성물.
     
  4. 제1항에 있어서,
    상기 화학식 1 및 2에서 n 및 m은 각각 1 내지 100의 정수인 하드마스크 형성용 조성물.
     
  5. 제1항에 있어서,
    상기 화학식 1 및 2에서 m/n의 몰비는 0.01 내지 20 인 하드마스크 형성용 조성물.
     
  6. 제1항에 있어서,
    상기 공중합체는 하기 화학식 3-1 내지 3-5로 표시되는 구조단위를 포함하는 공중합체로부터 선택되는 적어도 하나를 포함하는 하드마스크 형성용 조성물.
    [화학식 3-1]
    Figure 112012095205008-pat00031

    [화학식 3-2]
    Figure 112012095205008-pat00032

    [화학식 3-3]
    Figure 112012095205008-pat00033

    [화학식 3-4]
    Figure 112012095205008-pat00034

    [화학식 3-5]
    Figure 112012095205008-pat00035

    (상기 화학식 3-1 내지 3-5에서,
    x+y=1 이고, 0≤x≤1 및 0≤y≤1 이고,
    n 및 m은 각각 1 내지 200의 정수이다.)
     
  7. 제1항에 있어서,
    상기 공중합체는 1,000 내지 1,000,000 g/mol의 중량평균 분자량을 가지는 하드마스크 형성용 조성물.
     
  8. 제1항에 있어서,
    상기 공중합체는 상기 하드마스크 형성용 조성물 총량에 대하여 1 내지 50 중량%로 포함되는 하드마스크 형성용 조성물.
     
  9. 기판 위에 재료 층을 제공하는 단계;
    상기 재료 층 위에 제1항 내지 제8항 중 어느 한 항에 따른 하드마스크 형성용 조성물을 적용하여 하드마스크 층을 형성하는 단계;
    상기 하드마스크 층 위에 실리콘 함유 박막층을 형성하는 단계;
    상기 실리콘 함유 박막층 위에 포토레지스트 층을 형성하는 단계;
    상기 포토레지스트 층을 노광 및 현상하여 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴을 이용하여 상기 실리콘 함유 박막층 및 상기 하드마스크 층을 선택적으로 제거하고 상기 재료 층의 일부를 노출하는 단계; 및
    상기 재료 층의 노출된 부분을 식각하는 단계
    를 포함하는 패턴 형성 방법.
     
  10. 제9항에 있어서,
    상기 하드마스크 층을 형성하는 단계는 스핀-온 코팅 방법으로 수행하는 패턴 형성 방법.
     
  11. 제9항에 있어서,
    상기 실리콘 함유 박막층을 형성하는 단계 후에,
    상기 실리콘 함유 박막층 위에 바닥 반사방지 층(bottom anti-reflective coating, BARC)을 형성하는 단계를 더 포함하는 패턴 형성 방법.
     
  12. 제9항에 있어서,
    상기 하드마스크 층을 형성하는 단계는 100 내지 300 ℃ 에서의 열처리를 포함하는 패턴 형성 방법.
     
  13. 제9항에 따른 패턴 형성 방법으로 형성된 복수의 패턴을 포함하는 반도체 집적회로 디바이스.
KR1020120131181A 2011-12-30 2012-11-19 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스 KR101531610B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201280065651.8A CN104024941B (zh) 2011-12-30 2012-11-23 用于硬掩模的组合物、利用其形成图案的方法以及包括所述图案的半导体集成电路装置
PCT/KR2012/009983 WO2013100375A1 (ko) 2011-12-30 2012-11-23 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US14/368,858 US9244351B2 (en) 2011-12-30 2012-11-23 Composition for hardmask, method of forming patterns using the same, and semiconductor integrated circuit device including the patterns
TW101150587A TWI491986B (zh) 2011-12-30 2012-12-27 硬遮罩用組成物、使用該組成物形成圖案的方法,以及包括該圖案之半導體積體電路元件

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020110147875 2011-12-30
KR20110147875 2011-12-30

Publications (2)

Publication Number Publication Date
KR20130079149A KR20130079149A (ko) 2013-07-10
KR101531610B1 true KR101531610B1 (ko) 2015-06-24

Family

ID=48991944

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120131181A KR101531610B1 (ko) 2011-12-30 2012-11-19 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스

Country Status (4)

Country Link
US (1) US9244351B2 (ko)
KR (1) KR101531610B1 (ko)
CN (1) CN104024941B (ko)
TW (1) TWI491986B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6084986B2 (ja) * 2011-12-30 2017-02-22 チェイル インダストリーズ インコーポレイテッド ハードマスク組成物用モノマー、前記モノマーを含むハードマスク組成物および前記ハードマスク組成物を用いたパターン形成方法
KR102421597B1 (ko) * 2015-07-14 2022-07-18 에스케이이노베이션 주식회사 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 레지스트 패턴의 형성 방법
KR101940655B1 (ko) * 2016-11-22 2019-01-21 동우 화인켐 주식회사 하드마스크용 조성물
KR102003345B1 (ko) * 2017-04-28 2019-07-24 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
CN113960882B (zh) * 2021-11-02 2024-05-24 厦门恒坤新材料科技股份有限公司 一种硬掩膜组合物及其制备方法、形成图案的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080107210A (ko) * 2007-06-05 2008-12-10 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
KR20090120827A (ko) * 2008-05-20 2009-11-25 제일모직주식회사 방향족 고리 함유 중합체, 이를 포함하는 반사방지하드마스크 조성물 및 이를 이용한 재료의 패턴화 방법
US20100021830A1 (en) * 2007-04-02 2010-01-28 Min Soo Kim Aromatic ring-containing polymer, polymer mixture, antireflective hardmask composition, and associated methods
KR20100072660A (ko) * 2008-12-22 2010-07-01 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4433933B2 (ja) 2004-08-13 2010-03-17 Jsr株式会社 感放射線性組成物およびハードマスク形成材料
KR100896451B1 (ko) * 2006-12-30 2009-05-14 제일모직주식회사 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
JP4892670B2 (ja) 2007-07-20 2012-03-07 Jsr株式会社 レジスト下層膜形成用組成物
JP5040839B2 (ja) 2008-07-18 2012-10-03 Jsr株式会社 レジスト下層膜形成組成物
JP5085569B2 (ja) 2009-01-06 2012-11-28 信越化学工業株式会社 レジスト下層膜形成方法およびこれを用いたパターン形成方法
KR101400183B1 (ko) 2010-07-06 2014-05-30 제일모직 주식회사 레지스트 하층막용 방향족 고리 함유 화합물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 소자의 패턴 형성 방법
KR101432605B1 (ko) 2010-12-16 2014-08-21 제일모직주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101423171B1 (ko) 2010-12-30 2014-07-25 제일모직 주식회사 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100021830A1 (en) * 2007-04-02 2010-01-28 Min Soo Kim Aromatic ring-containing polymer, polymer mixture, antireflective hardmask composition, and associated methods
KR20080107210A (ko) * 2007-06-05 2008-12-10 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
KR20090120827A (ko) * 2008-05-20 2009-11-25 제일모직주식회사 방향족 고리 함유 중합체, 이를 포함하는 반사방지하드마스크 조성물 및 이를 이용한 재료의 패턴화 방법
KR20100072660A (ko) * 2008-12-22 2010-07-01 제일모직주식회사 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법

Also Published As

Publication number Publication date
TW201335708A (zh) 2013-09-01
CN104024941B (zh) 2017-11-28
US9244351B2 (en) 2016-01-26
US20140335447A1 (en) 2014-11-13
KR20130079149A (ko) 2013-07-10
TWI491986B (zh) 2015-07-11
CN104024941A (zh) 2014-09-03

Similar Documents

Publication Publication Date Title
KR101344792B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101423171B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101432605B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
TWI405788B (zh) 具有抗反射特性之硬質罩幕組成物及使用其將材料圖案化之方法
KR100816735B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
US9274426B2 (en) Antireflective coating compositions and processes thereof
KR101566531B1 (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR101156488B1 (ko) 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
KR101566533B1 (ko) 하드마스크 조성물 및 이를 사용한 패턴형성방법
KR101344788B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR20080107210A (ko) 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
KR20080062963A (ko) 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
KR101344793B1 (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR20130026912A (ko) 하드마스크 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101531610B1 (ko) 하드마스크 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR101225945B1 (ko) 고 내에칭성 방향족 고리 함유 중합체, 이를 포함하는 레지스트 하층막용 조성물 및 이를 이용한 재료의 패턴화 방법
KR100844019B1 (ko) 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
KR101156489B1 (ko) 반사방지 하드마스크 조성물
TWI443121B (zh) 用於光阻底層之含芳香環的化合物、含有其之光阻底層組成物及使用其圖案化裝置之方法
KR101288573B1 (ko) 칼릭스 알렌이 함유된 고 내에칭성 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
WO2007139268A1 (en) Antireflective hardmask composition
KR101354639B1 (ko) 포토레지스트 하부막 형성용 조성물, 이를 이용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
KR100959190B1 (ko) 현상액에 용해 가능한 근자외선 바닥 반사방지막 조성물 및이를 이용한 패턴화된 재료 형성 방법
KR100836675B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스
KR101225946B1 (ko) 방향족 고리 함유 중합체, 이를 포함하는 레지스트 하층막용 조성물 및 이를 이용한 재료의 패턴화 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190527

Year of fee payment: 5