WO2010147140A1 - バリヤ層、成膜方法及び処理システム - Google Patents
バリヤ層、成膜方法及び処理システム Download PDFInfo
- Publication number
- WO2010147140A1 WO2010147140A1 PCT/JP2010/060190 JP2010060190W WO2010147140A1 WO 2010147140 A1 WO2010147140 A1 WO 2010147140A1 JP 2010060190 W JP2010060190 W JP 2010060190W WO 2010147140 A1 WO2010147140 A1 WO 2010147140A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- metal
- film
- film forming
- embedded
- processed
- Prior art date
Links
- 230000004888 barrier function Effects 0.000 title claims abstract description 65
- 238000000151 deposition Methods 0.000 title abstract description 8
- 229910052751 metal Inorganic materials 0.000 claims abstract description 310
- 239000002184 metal Substances 0.000 claims abstract description 310
- 238000000034 method Methods 0.000 claims abstract description 171
- 230000015572 biosynthetic process Effects 0.000 claims abstract description 18
- 229910052707 ruthenium Inorganic materials 0.000 claims abstract description 5
- 239000010408 film Substances 0.000 claims description 413
- 238000012545 processing Methods 0.000 claims description 190
- 230000008569 process Effects 0.000 claims description 80
- 239000010949 copper Substances 0.000 claims description 50
- 239000002994 raw material Substances 0.000 claims description 38
- 238000012546 transfer Methods 0.000 claims description 37
- 239000000463 material Substances 0.000 claims description 34
- 239000004065 semiconductor Substances 0.000 claims description 26
- 229910045601 alloy Inorganic materials 0.000 claims description 18
- 239000000956 alloy Substances 0.000 claims description 18
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 16
- 229910052802 copper Inorganic materials 0.000 claims description 16
- 239000010409 thin film Substances 0.000 claims description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 9
- 229910052782 aluminium Inorganic materials 0.000 claims description 9
- 238000003860 storage Methods 0.000 claims description 9
- 229910052759 nickel Inorganic materials 0.000 claims description 5
- 230000007723 transport mechanism Effects 0.000 claims description 5
- VNNDVNZCGCCIPA-FDGPNNRMSA-N (z)-4-hydroxypent-3-en-2-one;manganese Chemical compound [Mn].C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O VNNDVNZCGCCIPA-FDGPNNRMSA-N 0.000 claims description 3
- BZORFPDSXLZWJF-UHFFFAOYSA-N N,N-dimethyl-1,4-phenylenediamine Chemical compound CN(C)C1=CC=C(N)C=C1 BZORFPDSXLZWJF-UHFFFAOYSA-N 0.000 claims description 3
- 229910052804 chromium Inorganic materials 0.000 claims description 3
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 3
- 229910052741 iridium Inorganic materials 0.000 claims description 3
- 229910052742 iron Inorganic materials 0.000 claims description 3
- 229910052762 osmium Inorganic materials 0.000 claims description 3
- 229910052763 palladium Inorganic materials 0.000 claims description 3
- 229910052697 platinum Inorganic materials 0.000 claims description 3
- 229920000412 polyarylene Polymers 0.000 claims description 3
- 229910052703 rhodium Inorganic materials 0.000 claims description 3
- 239000000377 silicon dioxide Substances 0.000 claims description 3
- 229910052715 tantalum Inorganic materials 0.000 claims description 3
- 229910052719 titanium Inorganic materials 0.000 claims description 3
- 229910052721 tungsten Inorganic materials 0.000 claims description 3
- 229910052720 vanadium Inorganic materials 0.000 claims description 3
- 229910052726 zirconium Inorganic materials 0.000 claims description 3
- 239000011572 manganese Substances 0.000 abstract description 114
- -1 e.g. Inorganic materials 0.000 abstract description 6
- 238000011049 filling Methods 0.000 abstract description 5
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 abstract description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 abstract description 3
- 229910052748 manganese Inorganic materials 0.000 abstract description 3
- 239000007789 gas Substances 0.000 description 175
- 239000010410 layer Substances 0.000 description 85
- 235000012431 wafers Nutrition 0.000 description 79
- 229910016978 MnOx Inorganic materials 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 19
- 230000005587 bubbling Effects 0.000 description 18
- 238000000231 atomic layer deposition Methods 0.000 description 13
- 229910002091 carbon monoxide Inorganic materials 0.000 description 13
- 238000010586 diagram Methods 0.000 description 13
- 238000004544 sputter deposition Methods 0.000 description 13
- 238000009792 diffusion process Methods 0.000 description 12
- 238000007747 plating Methods 0.000 description 11
- 238000010438 heat treatment Methods 0.000 description 10
- 239000011261 inert gas Substances 0.000 description 10
- 230000007246 mechanism Effects 0.000 description 10
- 238000002347 injection Methods 0.000 description 9
- 239000007924 injection Substances 0.000 description 9
- 238000010926 purge Methods 0.000 description 9
- 238000004140 cleaning Methods 0.000 description 8
- 230000001276 controlling effect Effects 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 6
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 238000011068 loading method Methods 0.000 description 5
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 5
- 238000000137 annealing Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 238000005192 partition Methods 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 230000008016 vaporization Effects 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- AMWRITDGCCNYAT-UHFFFAOYSA-L hydroxy(oxo)manganese;manganese Chemical compound [Mn].O[Mn]=O.O[Mn]=O AMWRITDGCCNYAT-UHFFFAOYSA-L 0.000 description 3
- 238000003780 insertion Methods 0.000 description 3
- 230000037431 insertion Effects 0.000 description 3
- 238000010030 laminating Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000002294 plasma sputter deposition Methods 0.000 description 3
- 230000001105 regulatory effect Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 230000032258 transport Effects 0.000 description 3
- 238000009834 vaporization Methods 0.000 description 3
- RDMHXWZYVFGYSF-LNTINUHCSA-N (z)-4-hydroxypent-3-en-2-one;manganese Chemical compound [Mn].C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O RDMHXWZYVFGYSF-LNTINUHCSA-N 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 2
- 229910000990 Ni alloy Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- NQZFAUXPNWSLBI-UHFFFAOYSA-N carbon monoxide;ruthenium Chemical group [Ru].[Ru].[Ru].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] NQZFAUXPNWSLBI-UHFFFAOYSA-N 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005108 dry cleaning Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 229910000856 hastalloy Inorganic materials 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 150000007524 organic acids Chemical class 0.000 description 2
- 230000000149 penetrating effect Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229920002379 silicone rubber Polymers 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- JISVROCKRBFEIQ-UHFFFAOYSA-N [O].O=[C] Chemical compound [O].O=[C] JISVROCKRBFEIQ-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011365 complex material Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 150000004696 coordination complex Chemical class 0.000 description 1
- 150000004699 copper complex Chemical class 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011344 liquid material Substances 0.000 description 1
- PPNAOCWZXJOHFK-UHFFFAOYSA-N manganese(2+);oxygen(2-) Chemical class [O-2].[Mn+2] PPNAOCWZXJOHFK-UHFFFAOYSA-N 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000009751 slip forming Methods 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/18—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/095—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
- H01L2924/097—Glass-ceramics, e.g. devitrified glass
- H01L2924/09701—Low temperature co-fired ceramic [LTCC]
Definitions
- the present invention relates to a technique for performing a film forming process on an object to be processed, for example, a semiconductor wafer, on which an insulating layer made of a low-k film having a concave portion in which a metal layer is exposed on the bottom surface.
- a semiconductor device is repeatedly subjected to various processes such as a film forming process and a pattern etching process to manufacture a desired device.
- the line width and hole diameter are becoming increasingly finer than requested.
- copper which has a very low electric resistance and is inexpensive because it is necessary to reduce the electric resistance by miniaturizing various dimensions.
- tantalum metal (Ta), tantalum nitride film (TaN) or the like is generally used as the barrier in consideration of the diffusion barrier property of copper to the lower layer. Used as a layer.
- a thin seed film made of a copper film is formed on the entire wafer surface including the entire wall surface in the recess, and then the copper is formed on the entire wafer surface.
- the inside of the recess is completely embedded.
- an excessive copper thin film on the wafer surface is removed by polishing by CMP (Chemical Chemical Mechanical) processing or the like.
- FIG. 12 is a view showing a conventional embedding process of a recess of a semiconductor wafer.
- the surface of the insulating layer 1 formed on the semiconductor wafer W such as an interlayer insulating film made of, for example, an SiO 2 film, has via holes, through-holes, and trenches (for example, a single damascene structure, a dual damascene structure, a three-dimensional mounting structure).
- a recess 2 corresponding to a trench) is formed, and a lower wiring layer 3 made of, for example, copper is formed in an exposed state at the bottom of the recess 2.
- the recess 2 is composed of a groove (trench) 2A having an elongated cross section and a hole 2B formed in a part of the bottom of the groove 2A.
- the hole 2B is a via hole or a through hole. It becomes.
- the wiring layer 3 is exposed at the bottom of the hole 2B, and is electrically connected to a lower wiring layer and an element such as a transistor. Note that illustration of elements such as lower wiring layers and transistors is omitted.
- the width or inner diameter of the recess 2 is very small, for example, about 120 nm, and the aspect ratio is, for example, about 2 to 4.
- the diffusion prevention film, the etching stop film, and the like are not shown and simplified in shape.
- a barrier layer 4 made of a laminated structure of, for example, a TaN film and a Ta film is formed in advance in a plasma sputtering apparatus, including the inner surface of the recess 2 (FIG. 12A). )reference).
- a seed film 6 made of a thin copper film is formed as a metal film over the entire wafer surface including the surface in the recess 2 by a plasma sputtering apparatus (see FIG. 12B).
- the recess 2 is filled with a metal film 8 made of, for example, a copper film by performing a copper plating process on the wafer surface (see FIG. 12C). Thereafter, the excess metal film 8, seed film 6 and barrier layer 4 on the wafer surface are removed by polishing using the above-described CMP process or the like.
- a self-formed barrier layer using a Mn film or a CuMn alloy film instead of the Ta film or TaN film has attracted attention.
- the Mn film or CuMn alloy film is formed by sputtering, and the Mn film or CuMn alloy film itself becomes a seed film. Therefore, a Cu plating layer can be directly formed thereon, and annealing can be performed after plating.
- MnSixOy (x, y: arbitrary positive number) film or Mn and SiO 2 is formed at the boundary between the SiO 2 layer and the Mn film or CuMn alloy film. Since a barrier film called a manganese oxide MnOx (x: arbitrary positive number) film formed by reaction with oxygen in the layer is formed, there is an advantage that the number of manufacturing steps can be reduced. Manganese oxides are classified into MnO, Mn 3 O 4, Mn 2 O 3 , MnO 2, and the like depending on the valence of Mn. In this specification, these are collectively referred to as MnOx.
- TEOS is used as a material for the interlayer insulating film.
- a low-k film made of, for example, SiOC or SiCOH containing an organic group such as a methyl group is considered as a material having a lower relative dielectric constant.
- the relative dielectric constant of the silicon oxide film formed using the TEOS is about 4.1
- the relative dielectric constant of SiOC is about 3.0.
- a Mn-containing film is formed by CVD on the surface of the interlayer insulating film having a low relative dielectric constant including the exposed surface in the recess.
- a MnOx film is hardly deposited, there is a problem that a barrier layer cannot be formed.
- the present invention provides a technique for efficiently forming a thin film having a barrier property on an object to be processed on which an insulating layer made of a low-k film having a recess with a metal layer exposed on the bottom surface is formed on the surface.
- the present inventor As a result of earnest research on a method of depositing a Mn-containing film such as Mn or MnOx on the surface of the insulating layer having a low relative dielectric constant, the present inventor, for example, on the surface of the insulating layer before performing the film forming process of the Mn-containing film.
- the present invention has been achieved by obtaining the knowledge that a Mn-containing film can be deposited efficiently by applying a metal film such as Ru as a base film.
- a film forming method for performing a film forming process on an object to be processed on which an insulating layer made of a low-k film having a recess with a metal layer exposed on a bottom surface is formed.
- a barrier layer for the buried metal embedded in the recess which is performed after the first metal-containing film forming step of forming the first metal-containing film containing the first metal and the first metal-containing film forming step.
- a second metal-containing film forming step of forming a second metal-containing film containing the second metal having the second metal, wherein the second metal is Mn.
- the first metal-containing film forming step and the second metal-containing film forming step may be alternately performed. In this case, the first metal-containing film formation is finally performed. A process can be performed. In the film forming method according to the first aspect, the first metal-containing film forming step and the second metal-containing film forming step can be continuously performed in the same processing container.
- a film forming method for performing a film forming process on an object to be processed having an insulating layer made of a low-k film having a recess with a metal layer exposed on the bottom surface.
- Forming an alloy film comprising: a first metal; a second metal having a barrier property with respect to the embedded metal embedded in the recess; and a third metal which is a material of the embedded metal.
- a film forming method including a step, wherein the second metal is Mn.
- the film forming method according to the first and second aspects may further include a filling step of filling the concave portion with the buried metal.
- the low-k film includes an SiOC film, an SiCOH film, an SiCN film, a porous silica film, a porous methylsilsesquioxane film, a polyarylene film, and SiLK (registered trademark). ) One or more films selected from the group consisting of a film and a fluorocarbon film.
- the first metal is Ru, Fe, Co, Ni, Rh, Pd, Os, Ir, Pt, Ti, Ta, Zr, W, Al,
- One or more elements selected from the group consisting of V and Cr can be used.
- the embedded metal can be copper.
- the inside of the concave portion of the object to be processed in which the insulating layer made of the low-k film having the concave portion where the metal layer is exposed on the bottom surface is formed, is buried with the buried metal,
- a barrier layer interposed below the buried metal a first metal-containing film containing a first metal and a second metal formed on the first metal-containing film and having a barrier property with respect to the buried metal
- a barrier layer comprising a second metal-containing film containing, wherein the second metal is Mn.
- the first metal-containing film and the second metal-containing film can be alternately stacked.
- the uppermost layer is the first metal-containing film. Is preferred.
- the fourth aspect of the present invention when the inside of the concave portion of the object to be processed, in which the insulating layer made of the low-k film having the concave portion where the metal layer is exposed on the bottom surface is formed, is buried with the buried metal, An alloy containing a first metal, a second metal having a barrier property with respect to the buried metal, and a third metal that is a material of the buried metal in a barrier layer interposed below the buried metal A barrier layer is provided comprising a film, wherein the second metal is Mn.
- a processing system for performing a film forming process on an object to be processed having an insulating layer formed of a low-k film having a recess with a metal layer exposed on a bottom surface.
- a processing apparatus for forming a first metal-containing film containing a first metal on the surface of the object to be processed, and a second metal having a barrier property against the embedded metal embedded in the recess on the surface of the object to be processed A processing apparatus for forming a second metal-containing film containing Mn as a processing apparatus, a processing apparatus for forming a thin film of a third metal that is a material of the embedded metal on the surface of the object to be processed, and the processing apparatuses And a film forming method according to the first aspect described above, and a transfer mechanism provided in the common transfer chamber for transferring the object to be processed into the processing apparatuses.
- System that controls the entire processing system Processing system comprising a control unit, is provided.
- a processing system for performing a film forming process on an object to be processed having an insulating layer formed of a low-k film having a concave portion in which a metal layer is exposed on a bottom surface.
- a processing apparatus that performs a film forming process for forming a metal-containing film, a processing apparatus that forms a thin film of a third metal that is a material of the embedded metal on the surface of the object to be processed, and the processing apparatuses are connected.
- a common transfer chamber a transfer mechanism provided in the common transfer chamber for transferring the object to be processed into each processing apparatus, and the film forming method according to the first aspect described above.
- System control to control the entire processing system When, the processing system further comprising: a is provided.
- a seventh aspect of the present invention in a processing system for performing a film forming process on an object to be processed having an insulating layer formed of a low-k film having a recess with a metal layer exposed on a bottom surface.
- a processing apparatus for forming an alloy film a common transport chamber to which the processing apparatus is connected, a transport mechanism that is provided in the common transport chamber and transports the object to be processed into each processing apparatus,
- a processing system including a system control unit that controls the entire processing system so as to perform the film forming method according to the second aspect.
- FIG. 1 is a schematic configuration diagram showing a first embodiment of the processing system of the present invention
- FIG. 2 is a schematic configuration diagram showing a second embodiment of the processing system of the present invention.
- the processing system 10 includes a plurality of, for example, four processing apparatuses 12A, 12B, 12C, and 12D, a substantially hexagonal common transfer chamber 14, and a load lock.
- the first and second load lock chambers 16 ⁇ / b> A and 16 ⁇ / b> B having a function and an elongated introduction-side transfer chamber 18 are mainly included.
- the first processing apparatus for example, the processing apparatus 12A is configured as a first processing apparatus 12A that performs a pre-processing on a semiconductor wafer that is an object to be processed.
- the second processing apparatus for example, the processing apparatus 12B is configured as a second processing apparatus 12B that forms a first metal-containing film containing a first metal such as Ru on the semiconductor wafer W.
- the third processing apparatus The apparatus, for example, the processing apparatus 12C forms a second metal-containing film containing, for example, a second metal such as Mn having a barrier property with respect to a buried metal embedded in a recess of the wafer described later with respect to the semiconductor wafer W.
- the fourth processing apparatus for example, the processing apparatus 12D is configured to deposit, for example, a copper film as a third metal that is a material of an embedded metal on the semiconductor wafer W. It is configured as a processing device 12D.
- first and fourth processing devices 12A and 12D do not have to be provided here, and each processing in the first and fourth processing devices in another processing device other than the processing system 10 is provided. May be performed. Further, a general cleaning apparatus is used as the first processing apparatus 12A, and a thermal film forming apparatus such as thermal CVD is used as the fourth processing apparatus 12D.
- the processing apparatuses 12A to 12D are joined to four sides of the substantially hexagonal common transfer chamber 14, and the first and second load lock chambers 16A and 16B are joined to the other two sides. Each is joined.
- the introduction-side transfer chamber 18 is commonly connected to the first and second load lock chambers 16A and 16B.
- the common transfer chamber 14 and the four processing devices 12A to 12D and the common transfer chamber 14 and the first and second load lock chambers 16A and 16B can be opened and closed in an airtight manner.
- a gate valve G is joined to form a cluster tool, and can communicate with the common transfer chamber 14 as necessary.
- the common transfer chamber 14 is evacuated.
- first and second load lock chambers 16 ⁇ / b> A and 16 ⁇ / b> B and the introduction-side transfer chamber 18 gate valves G that can be opened and closed in an airtight manner are interposed.
- the first and second load lock chambers 16A and 16B are repeatedly evacuated and returned to atmospheric pressure as the wafer is carried in and out.
- a transfer mechanism comprising an articulated arm that can be bent and extended at a position accessible to the two load lock chambers 16A and 16B and the four processing devices 12A to 12D. 20, which has two picks 20A and 20B that can bend and stretch independently in opposite directions, so that two wafers can be handled at a time.
- the conveyance mechanism 20 having only one pick can be used.
- the introduction-side transfer chamber 18 is formed by a horizontally long box, and one or a plurality of, in the illustrated example, three carry-in portions for introducing a semiconductor wafer as an object to be processed are formed on one side of the horizontally long.
- An opening is provided, and an opening / closing door 22 that can be opened and closed is provided at each carry-in entrance.
- An introduction port 24 is provided in correspondence with each carry-in port, and one cassette container 26 can be placed on each of the introduction ports 24.
- a plurality of, for example, 25 wafers W can be placed and accommodated in multiple stages at an equal pitch.
- the cassette container 26 is sealed, for example, and is filled with an atmosphere of an inert gas such as N 2 gas.
- an introduction-side transfer mechanism 28 for transferring the wafer W along its longitudinal direction is provided.
- the introduction-side transport mechanism 28 has two picks 28A and 28B that can be bent and stretched and swiveled, and can handle two wafers W at a time.
- This introduction side conveyance mechanism 28 is supported in the introduction side conveyance chamber 18 so as to be slidable on a guide rail 30 provided so as to extend along the length direction thereof.
- an orienter 32 for aligning the wafer is provided at one end of the introduction-side transfer chamber 18.
- the orienter 32 has a turntable 32A that is rotated by a drive motor, and rotates with the wafer W mounted thereon.
- An optical sensor 32B for detecting the peripheral edge of the wafer W is provided on the outer periphery of the turntable 32A, whereby the positioning notch of the wafer W, for example, the position direction of the notch or the orientation flat or the position of the center of the wafer W is provided. The amount of deviation can be detected.
- the processing system 10 has a system control unit 34 composed of, for example, a computer in order to control the operation of the entire system.
- a program necessary for controlling the operation of the entire processing system is stored in a storage medium 36 such as a flexible disk, a CD (Compact Disc), a hard disk, or a flash memory.
- a storage medium 36 such as a flexible disk, a CD (Compact Disc), a hard disk, or a flash memory.
- the start, stop and flow control of each gas control of process temperature (wafer temperature), process pressure (pressure in the processing container of each processing apparatus), A wafer transfer operation or the like is performed.
- an unprocessed semiconductor wafer W is taken into the introduction-side transfer chamber 18 from the cassette container 26 installed in the introduction port 24 by the introduction-side transfer mechanism 28, and the acquired wafer W is introduced into the introduction-side transfer chamber 18. Is transferred to an orienter 32 provided at one end of the head, and positioning is performed here.
- the wafer W is made of, for example, a silicon substrate, and a concave portion 2 (see FIG. 12) is formed in advance on this surface.
- the positioned wafer W is transferred again by the introduction-side transfer mechanism 28 and is carried into one of the first or second load lock chambers 16A and 16B. After the load lock chamber is evacuated, the wafer W in the load lock chamber is taken into the common transfer chamber 14 using the transfer mechanism 20 in the common transfer chamber 14 that has been evacuated in advance.
- the unprocessed wafer taken into the common transfer chamber 14 is first loaded into the first processing apparatus 12A, where the wafer W is preprocessed.
- a general degas process or a cleaning process in the recess 2 on the wafer surface is performed.
- an H 2 plasma process, an Ar plasma process, a dry cleaning process using an organic acid, a cleaning process using Hot-Wire atomic hydrogen, or the like is performed.
- the wafer W that has been subjected to the pretreatment is then carried into the second processing apparatus 12B, where a first metal-containing film containing a first metal such as Ru is formed on the surface of the wafer W as described above.
- a first metal-containing film forming step is performed.
- film formation is performed by performing a heat treatment such as thermal CVD using the first metal-containing source gas containing the first metal, as will be described later.
- a Ru (ruthenium) film is formed.
- the wafer W on which the first metal-containing film forming step has been completed is then carried into the third processing apparatus 12C, where the second metal having a barrier property against the embedded metal in the recesses on the surface of the wafer W.
- a second metal-containing film forming step of forming a second metal-containing film containing As the second metal-containing film for example, a MnOx film or a Mn film is formed.
- a barrier layer for the Cu film is formed with the layer structure of the Ru film and the MnOx film or Mn film.
- the wafer W on which the second metal-containing film forming step has been completed is then carried into the fourth processing apparatus 12D, where, for example, a copper film is deposited on the surface of the wafer W as a third metal that is an embedded metal. Then, an embedding process for embedding the recess 2 is performed. Depending on the embodiment of the film forming method, the first metal-containing film forming step and the second metal-containing film forming step may be repeated. And if the said embedding process is completed, the process in this processing system 10 will be completed.
- the processed wafer W is accommodated in the processed wafer cassette container 26 of the introduction port 24 via one of the load lock chambers 16A or 16B and the introduction-side transfer chamber 18.
- the common transfer chamber 14 is made in a reduced pressure state in an atmosphere of inert gas of a rare gas or the like dry N 2, such as Ar or He.
- the second processing apparatus 12B and the third processing apparatus 12C are provided separately, and the first metal-containing film forming process and the second metal-containing film forming process are performed. Each process is performed in different processing apparatuses, but both of these steps may be performed in the same processing apparatus.
- Such a processing system is the second embodiment shown in FIG. In FIG. 2, the same components as those shown in FIG. 1 are denoted by the same reference numerals, and the description thereof is omitted.
- a fifth processing device 12E is provided as a fifth processing device in place of the second processing device 12B in FIG. 1, and a third processing device 12C (see FIG. 1) is provided. ) Is not installed.
- a first metal-containing film for example, a Ru film and a second metal-containing film, for example, a MnOx film are continuously formed.
- the equipment cost can be reduced by the amount that the number of installed processing apparatuses is reduced by one.
- another fourth processing device 12D may be provided at the position where the third processing device 12C was present in order to improve throughput.
- FIG. 3 is a block diagram showing an example of the second processing apparatus.
- the second processing apparatus 12B is an apparatus that forms a first metal-containing film on the wafer surface by heat treatment using the first metal-containing source gas containing the first metal.
- a metal film made of a Ru film is formed as a containing film by a thermal CVD method will be described as an example.
- the second processing apparatus 12B includes, for example, an aluminum processing container 132 having a substantially circular cross section.
- a shower head portion 134 serving as a gas introduction means is provided on the ceiling portion in the processing container 123 to introduce a necessary processing gas, for example, a film forming gas, and a large number of gas is provided on the lower surface of the gas injection surface.
- the processing gas is jetted from the gas jet holes 136 toward the processing space S.
- a hollow gas diffusion chamber 138 is formed in the shower head section 134. After the processing gas introduced therein is diffused in the plane direction, the gas injection hole 136 communicated with the gas diffusion chamber 138 is used. It comes to blow out.
- the entire shower head portion 134 is formed of, for example, a nickel alloy such as nickel or Hastelloy (registered trademark), aluminum, or an aluminum alloy.
- a sealing member 140 made of, for example, an O-ring or the like is interposed at the joint between the shower head part 134 and the upper end opening of the processing container 132, so that the airtightness in the processing container 132 is maintained. ing.
- a loading / unloading port 142 for loading / unloading a semiconductor wafer W as an object to be processed is provided on the side wall of the processing chamber 132, and the loading / unloading port 142 can be opened and closed in an airtight manner.
- a gate valve G is provided.
- An exhaust space 146 is formed in the bottom 144 of the processing container 132. Specifically, a large opening 148 is formed in the central portion of the container bottom 144, and a cylindrical partition wall 150 having a bottomed cylindrical shape extending downward is connected to the opening 148 and the above-described inside is connected to the inside. An exhaust space 146 is formed. A cylindrical column 150 is provided on the bottom 152 of the cylindrical partition wall 150 that partitions the exhaust space 146. A mounting table on which the semiconductor wafer W is mounted on the upper end of the column 154. 156 is fixed.
- the mounting table 156 is made of, for example, a ceramic material or quartz glass.
- a resistance heater 158 made of, for example, a carbon wire heater that generates heat when energized is accommodated as a heating unit.
- the semiconductor wafer W mounted on the upper surface of the mounting table 156 can be heated.
- a plurality of, for example, three pin insertion holes 160 are formed in the mounting table 156 so as to penetrate in the vertical direction (only two are shown in FIG. 3), and can be moved up and down in each of the pin insertion holes 160.
- the push-up pin 162 inserted in the loosely fitted state is disposed.
- a push-up ring 164 made of a ceramic such as alumina formed in a circular ring shape is disposed at the lower end of the push-up pin 162, and the push-down ring 164 supports the lower end of each push-up pin 162. Yes.
- the arm portion 166 extending from the push-up ring 164 is connected to a retracting rod 168 provided through the container bottom portion 144, and the retracting rod 168 can be moved up and down by an actuator 170.
- an extendable bellows 172 is interposed in a through-hole portion of the container bottom of the retractable rod 168 of the actuator 170, so that the retractable rod 168 can be moved up and down while maintaining the airtightness in the processing container 132. ing.
- the opening 148 on the inlet side of the exhaust space 146 is set to be smaller than the diameter of the mounting table 156, and the gas flowing down outside the periphery of the mounting table 156 wraps around the mounting table 156. It flows into the opening 148.
- An exhaust port 174 is formed in the lower side wall of the cylindrical partition wall 150 so as to face the exhaust space 146, and a vacuum exhaust system 176 is connected to the exhaust port 174.
- the vacuum exhaust system 176 has an exhaust passage 178 connected to the exhaust port 174, and a pressure regulating valve 180, a vacuum pump 182 and an abatement device (not shown) are sequentially passed through the exhaust passage 178.
- the atmosphere in the processing container 132 and the exhaust space 146 is evacuated by evacuating while controlling the pressure.
- the shower head unit 134 is connected to a source gas supply system 88 for supplying a first metal-containing source gas in order to supply a predetermined gas thereto.
- the source gas supply system 88 for supplying the first metal-containing source gas has a source gas flow path 94 connected to the gas inlet 186 of the gas diffusion chamber 138.
- the other end of the source gas channel 94 is connected to a first source tank 100 that houses a solid source 110 here. Further, an on-off valve 112 and a pressure gauge 114 for measuring the pressure in the source gas channel 94 are provided in the source gas channel 94. As the pressure gauge 114, for example, a capacitance manometer can be used.
- the raw material gas flow path 94 has a relatively large diameter in order to promote vaporization because the vapor pressure of the raw material 110 used here is very low and difficult to vaporize, and the flow path length is set as short as possible.
- the pressure in the first raw material tank 100 is set to be close to the pressure in the processing container 132.
- a bubbling gas pipe 116 whose tip is located near the bottom of the first raw material tank 100 is inserted.
- the bubbling gas pipe 116 is sequentially provided with a flow rate controller 118 such as a mass flow controller and an on-off valve 120.
- the bubbling gas is introduced into the first raw material tank 100 while controlling the flow rate of the bubbling gas. Is gasified into raw material gas.
- the generated raw material gas is supplied along with the bubbling gas.
- N 2 gas is an inert gas
- CO carbon monoxide oxygen
- He may be used a noble gas such as Ar.
- the first raw material tank 100 is provided with a tank heating unit 122 that heats the raw material 110 in order to promote vaporization.
- granular solid ruthenium carbonyl (Ru 3 (CO) 12 ) containing ruthenium (Ru) is used as an example of the first metal.
- the raw material gas flow path 94 and the on-off valve 122 interposed therein are provided with a tape heater, an aluminum block heater, a mantle heater, a silicon rubber heater or the like (not shown) to prevent the raw material gas from being condensed again. ) Is wound and these are heated.
- an inert gas supply means for purging is connected to the shower head unit 134 so as to supply purge gas as necessary.
- an inert gas such as N 2 gas, Ar gas, He gas, or Ne gas can be used.
- a control unit 206 made of, for example, a computer is provided.
- the gas supply start and stop control, the supply amount control, Pressure control, temperature control of the wafer W, and the like are performed.
- the control unit 206 includes a storage medium 208 for storing a computer program for performing the above-described control.
- the relationship between the flow rate of the bubbling gas, the flow rate of the raw material gas, and the measured value of the pressure gauge 114 at that time is stored in advance in the storage medium 208 as reference data.
- the supply amount of the raw material gas is controlled by controlling the flow rate of the bubbling gas based on the measurement value of the pressure gauge 114.
- the storage medium 208 for example, a flexible disk, a flash memory, a hard disk, a CD (Compact Disc), or the like can be used.
- the controller 206 operates under the control of the system controller 34 (see FIG. 1).
- the semiconductor wafer W is loaded into the processing container 132 through the gate valve G and the loading / unloading port 142 held by a transfer arm (not shown) and opened, and the wafer W is moved to the raised push-up pins 162. After the transfer, the push-up pins 162 are lowered to place the wafer W on the upper surface of the mounting table 156 and support it.
- the raw material gas supply system 88 is operated to supply the raw material gas to the shower head unit 134 while controlling the flow rate, and this gas is injected from the gas injection hole 136 and introduced into the processing space S.
- this gas is injected from the gas injection hole 136 and introduced into the processing space S.
- the atmosphere in the processing container 132 and the exhaust space 146 is evacuated, and the opening degree of the pressure regulating valve 180 is adjusted to perform processing.
- the atmosphere of the space S is maintained at a predetermined process pressure.
- the temperature of the wafer W is heated by a resistance heater 158 provided in the mounting table 156 and maintained at a predetermined process temperature.
- the first metal-containing film, that is, the Ru film here is formed on the surface of the semiconductor wafer W.
- FIG. 4 is a configuration diagram illustrating an example of a third processing apparatus.
- the third processing apparatus 12C is an apparatus for forming a second metal-containing film containing a second metal having a barrier property against the embedded metal on the wafer surface.
- the second metal is Mn and the second metal-containing film is a MnOx film (sometimes including a Mn film).
- the third processing apparatus 12C has substantially the same configuration as the second processing apparatus 12B, and only the raw material gas supply system is different. Therefore, the description will be made mainly with the source gas supply system, and the same components as those in the apparatus shown in FIG.
- the source gas supply system 131 for supplying the source gas of the second metal-containing film is connected to the shower head unit 134 in order to supply a predetermined gas thereto.
- the source gas supply system 131 has a source gas channel 133 connected to the gas inlet 186 of the gas diffusion chamber 138.
- the raw material gas flow path 133 is connected to a second raw material tank 149 that accommodates the second metal-containing raw material 145 by sequentially providing an on-off valve 135 and a flow rate controller 137 such as a mass flow controller on the way.
- a bubbling gas pipe 139 whose tip is located near the bottom of the second raw material tank 149 is inserted.
- the bubbling gas pipe 139 is sequentially provided with a flow rate controller 141 such as a mass flow controller and an opening / closing valve 143.
- the bubbling gas is introduced into the second raw material tank 149 while controlling the flow rate of the raw material 145. Is gasified to supply a raw material gas.
- the generated raw material gas is supplied along with the bubbling gas.
- H 2 gas which is a reducing gas is used as the bubbling gas.
- the second raw material tank 149 is provided with a tank heating unit 147 for heating the raw material 145 in order to promote vaporization.
- a liquid material (EtCp) 2 Mn (precursor) containing manganese (Mn) as examples of the raw material 145, the second metal.
- an inert gas made of a rare gas such as N 2 , He, Ne, or Ar can be used as the reducing inert gas for bubbling instead of H 2 gas.
- the raw material gas passage 133, the on-off valve 135 and the flow rate controller 137 provided in the raw material gas passage 133 are provided with a tape heater, an aluminum block heater, a mantle heater or a silicon rubber to prevent the raw material gas from being condensed again.
- a heater or the like (not shown) is wound to heat these.
- a purge gas supply means is connected to the shower head unit 134 so as to supply a purge gas as required.
- a purge gas an inert gas such as N 2 gas, Ar gas, He gas, Ne gas, or a reducing gas such as H 2 can be used.
- a control unit 206 made of, for example, a computer is provided, and the start and stop of the supply of each gas, the control of the supply amount, and the inside of the processing container 132 Pressure control, temperature control of the wafer W, and the like are performed.
- the control unit 206 includes a storage medium 208 for storing a computer program for performing the above-described control.
- a storage medium 208 for example, a flexible disk, a flash memory, a hard disk, a CD (Compact Disc), or the like can be used.
- the controller 206 operates under the control of the system controller 34 (see FIG. 1).
- the semiconductor wafer W is loaded into the processing chamber 132 through the gate valve G and the loading / unloading port 142 held by the transfer mechanism 20 (see FIG. 1) and opened, and the wafer W is raised. After being transferred to the push-up pins 160, the push-up pins 160 are lowered to place the wafer W on the upper surface of the mounting table 156 and support it.
- the source gas supply system 131 of the second metal-containing source is operated to supply the film forming gas to the shower head unit 134 while controlling the flow rate, and this gas is injected from the gas injection hole 136, thereby processing space S. To introduce.
- the atmosphere in the processing container 132 and the exhaust space 146 is evacuated, and the opening degree of the pressure regulating valve 180 is adjusted to perform processing.
- the atmosphere of the space S is maintained at a predetermined process pressure.
- the temperature of the wafer W is heated by a resistance heater 158 provided in the mounting table 156 and maintained at a predetermined process temperature.
- a desired second metal-containing film that is, a MnOx film (including the Mn film in part) is formed on the surface of the semiconductor wafer W.
- FIG. 5 is a block diagram showing an example of a fifth processing apparatus.
- the same components as those shown in FIGS. 3 and 4 are denoted by the same reference numerals, and the description thereof is omitted.
- the fifth processing apparatus 12E forms a Ru film, which is an example of the first metal-containing film, and a MnOx film (including the Mn film), which is an example of the second metal-containing film, with one processing apparatus. Be able to.
- the fifth processing apparatus 12E includes, for example, an aluminum processing container 132 having a substantially circular cross section.
- a shower head portion 42 serving as a gas introduction means is provided on the ceiling portion in the processing container 132 to introduce a necessary processing gas, for example, a film forming gas, and a large number of gas is provided on the lower surface of the gas injection surface.
- the processing gas is injected toward the processing space S from the gas injection holes 42A and 42B.
- the shower head portion 42 is formed with two hollow gas diffusion chambers 44A and 44B. After the processing gas introduced therein is diffused in the plane direction, each gas diffusion chamber 44A is diffused. , 44B are blown out from the gas injection holes 42A, 42B respectively communicated with each other. That is, the gas injection holes 42A and 42B are arranged in a matrix, and the gases injected from the gas injection holes 42A and 42B are mixed in the processing space S.
- the entire shower head portion 42 is formed of nickel alloy such as nickel or Hastelloy (registered trademark), aluminum, or aluminum alloy, for example.
- a sealing member 140 made of, for example, an O-ring or the like is interposed at the joint between the shower head portion 42 and the upper end opening of the processing container 132, so that the airtightness in the processing container 132 is maintained. ing.
- the shower head unit 42 is supplied with a source gas supply system 88 for supplying a first metal-containing source gas and a source gas supply system 131 for supplying a second metal-containing source gas in order to supply a predetermined gas thereto.
- the first metal-containing source gas supply system 88 includes a source gas flow path 94 connected to the gas inlet 102 of one of the two gas diffusion chambers.
- the source gas supply system 131 for supplying the second metal-containing source gas has a source gas channel 133 connected to the gas inlet 92 of the other gas diffusion chamber 44A in the gas diffusion chamber.
- an inert gas supply system for purging is connected to the shower head unit 42, and purge gas is supplied as necessary.
- purge gas an inert gas such as N 2 gas, Ar gas, He gas, or Ne gas can be used.
- the gas flow path 94 of the first metal-containing gas supply system 88 and the gas flow path 133 of the source gas supply system 131 of the second metal-containing raw material are connected to separate gas inlets 102 and 92 ( In the postmix method, these gas flow paths may be connected (premix method) to a shower head portion having only one gas diffusion chamber as shown in FIGS.
- the MnOx film (including the Mn film) and the Ru film can be formed with this one processing apparatus.
- FIG. 6 is a diagram showing an example of the state of thin film deposition in each step of the first embodiment of the method of the present invention
- FIG. 7 is a flowchart showing the basic steps of the method of the present invention
- FIG. 8 is for implementing the embedding step.
- FIG. 9 is a timing chart showing the supply state of each gas when the first metal-containing film forming step is performed
- FIG. 10 is an explanatory view for explaining a second embodiment of the method of the present invention. .
- One of the features of the present invention is that when the second metal-containing film containing manganese (Mn) as the second metal is formed on the surface of the insulating layer made of the low-k film having a low relative dielectric constant,
- the second metal-containing film is efficiently formed by interposing a first metal-containing film containing a first metal such as Ru.
- the first metal-containing film can be a Ru film formed by the second processing apparatus 12B or the fifth processing apparatus 12E
- the second metal-containing film is the third processing apparatus 12C or It is a MnOx film or a Mn film formed by the fifth processing apparatus 12E.
- the Low-k material means a material having a relative dielectric constant lower than 4.1, which is the relative dielectric constant of the SiO 2 film.
- the surface of the insulating layer 1 such as an interlayer insulating film formed on the wafer W as shown in FIG. 6A.
- a recess 2 such as a trench or a hole is formed, and a lower wiring layer 3 made of copper or the like as a metal layer is exposed at the bottom of the recess 2.
- the recess 2 is composed of a groove (trench) 2A having an elongated cross section and a hole 2B formed in a part of the bottom of the groove 2A.
- the hole 2B is a contact hole or through hole. It becomes a hall.
- the wiring layer 3 is exposed as a metal layer at the bottom of the hole 2B, and is electrically connected to a lower wiring layer and an element such as a transistor. Note that illustration of elements such as lower wiring layers and transistors is omitted.
- the insulating layer 1 serving as a base film is made of a low-k film, such as SiOC, which is a low dielectric constant material having a relative dielectric constant lower than 4.1.
- the surface of the semiconductor wafer W in such a state is first subjected to degassing or cleaning as pretreatment to clean the surface in the recess 2.
- This cleaning process is performed by the first processing apparatus 12A (see FIG. 1). This as a washing process, H 2 plasma treatment as described above, Ar plasma treatment, dry cleaning process using an organic acid, or a cleaning process using the Hot-Wire atomic hydrogen is applied.
- the film forming method of the present invention includes a first embodiment and a second embodiment.
- FIG. 7 shows a flowchart of the first embodiment of the film forming method.
- a first metal-containing film containing a first metal for example, Ru
- a metal-containing film forming step is performed (S1).
- a second metal-containing film forming step for forming a second metal-containing film containing Mn as a second metal having a barrier property with respect to the embedded metal, for example, Cu, embedded in the recess 2 is performed (S2).
- the barrier layer of the present invention consisting of one layer of the first metal-containing film and one layer of the second metal-containing film is formed.
- a first metal-containing film forming step for forming a first metal-containing film 210 is performed on the surface of the wafer W after the pretreatment as shown in FIG. 6B (S1 in FIG. 7). This step is performed by the second processing apparatus 12B or the fifth processing apparatus 12E (see FIG. 2). As a result, the first metal-containing film 210 is formed on the entire wafer surface including the surface in the recess 2.
- the first metal-containing film 210 is made of a Ru film.
- the formation of the first metal-containing film 210 is preferably a CVD (Chemical Vapor Deposition) method, but may be an ALD method (Atomic Layered Deposition) method.
- the ALD method refers to a film forming method in which different film forming gases are alternately supplied to repeatedly form and stack atomic or molecular level thin films one by one.
- the Ru film that is the first metal-containing film 210 is very excellent in wettability, and the Mn-containing film is efficiently deposited by the subsequent process to efficiently deposit the Mn-containing film. It becomes possible.
- the first metal-containing film 210 may be formed using any of CVD, ALD, and sputtering. In the case of performing the sputtering method, a sputtering film forming apparatus that performs sputtering using Ru metal as a target is used as the second processing apparatus 12B.
- a second metal-containing film forming step (S2 in FIG. 7) is then performed to fill the surface of the wafer W as shown in FIG. A second metal-containing film 212 having a barrier property against the metal is formed. This step is performed by the third processing apparatus 12C or the fifth processing apparatus 12E. As a result, the second metal-containing film 212 is formed on the entire wafer surface including the surface in the recess 2.
- the second metal-containing film 212 is made of a MnOx film (a part of which contains a Mn film). Specifically, the Mn film deposited on the side wall in the recess 2 or on the upper surface of the wafer reacts with the oxygen component in the insulating layer 1 penetrating the underlying first metal-containing film (Ru film) to react with the MnOx film. The Ru film deposited on the wiring layer (Cu) 3 exposed at the bottom surface in the recess 2 exists as a metal film as it is.
- the barrier layer 214 is formed by the first metal-containing film (Ru film) and the second metal-containing film (MnOx film, Mn film).
- the second metal-containing film can be formed by CVD, ALD, or the like.
- an embedding step is then performed as shown in FIG. 6D to fill the recess 2 with the embedded metal 216 (S3 in FIG. 7).
- This embedding process is performed by the fourth processing apparatus 12D.
- the buried metal 216 is formed on the entire wafer surface at the same time as the recess 2 is completely buried.
- Cu Cu film
- the buried metal 216 may be formed by any of CVD, ALD, PVD (sputtering), supercritical CO 2 and plating.
- CVD chemical vapor deposition
- ALD atomic layer deposition
- PVD vapor deposition
- supercritical CO 2 plating
- the film forming process is completed, and thereafter, the excess embedded metal 216 and the like on the wafer surface are scraped off by the CMP process.
- the first metal-containing film containing the first metal such as Ru as the base film is formed prior to the formation of the second metal-containing film 212 containing the second metal having a barrier property with respect to the buried metal, that is, Mn.
- the second metal-containing film can be formed efficiently. Therefore, the barrier property of the formed barrier layer 214 can be increased.
- the first metal-containing film forming step (S1) for forming the first metal-containing film 210 (Ru film) is performed by three methods: CVD, ALD, and sputtering. Any of the above can be used.
- the CVD method which is the first method, is performed by a method as shown in FIG. 9A or 9B. That is, the film formation method illustrated in FIG. 9A is performed using the second film formation apparatus 12B illustrated in FIG. 3 or the fifth film formation apparatus 12E illustrated in FIG.
- a Ru-containing source gas made by vaporizing a source material 110 made of ruthenium carbonyl is flowed together with a bubbling gas and thermally decomposed by a CVD method to contain the first metal made of a Ru film.
- a film 210 is formed.
- the process conditions at this time are a process pressure within a range of 0.1 mTorr to 200 mTorr, more preferably within a range of 2 mTorr to 50 mTorr, and a process temperature within a range of 50 to 500 ° C., more preferably 150 ° C. to 350 ° C. .
- the gas flow rate is controlled by controlling the flow rate of the bubbling gas based on the measured value of the pressure gauge 114 as described above.
- the flow rate of the bubbling gas is in the range of 0.1 to 1000 sccm.
- H 2 is used as a reducing gas.
- the CVD method using H 2 uses a processing apparatus in which an additional H 2 gas supply system is additionally connected to the shower head unit 134 in the second processing apparatus 12B shown in FIG.
- flow of the material gas and H 2 gas containing Ru simultaneously forming a first metal-containing layer 210 made of Ru film so as to accelerate the decomposition or reduction of the source gas including Ru with H 2 gas To do.
- there is an effect of improving the material properties of the Ru film by the amount of supply of the reducing gas for example, reduction of the electric resistance of the Ru film.
- the process conditions such as process pressure and process temperature at this time are the same as those described with reference to FIG.
- the ALD method is a second method shown in FIG. 9 (C), using the processing device described in FIG. 9 (B), the intermittent and H 2 gas as a reducing gas as a raw material gas containing Ru alternately
- the first metal-containing film 210 made of a Ru film is formed by laminating and thinly depositing thin films at the atomic level or molecular level.
- the reducing gas is not limited to H 2 , and CO, silicon-containing gas, boron-containing gas, nitrogen-containing gas, or the like can be used.
- the silicon-containing gas include SiH 4 , Si 2 H 6 , SiCl 2 H 2, etc.
- examples of the boron-containing gas include BH 3 , B 2 H 5 , B 3 H 9
- examples of the nitrogen-containing gas include NH 3 .
- a sputtering film forming apparatus is used as the second processing apparatus 12B, and a Ru film is formed on the surface of the wafer W by sputtering using Ru metal as a target. 1 A metal-containing film 210 is formed.
- the second metal-containing film formation step (S2) for forming the second metal-containing film 212 (MnOx film, Mn-containing film including Mn film) using the third processing apparatus 12C is performed by the CVD method.
- a raw material 145 containing Mn is supplied by bubbling with hydrogen as a reducing gas, and the second metal-containing film 212 containing Mn is formed by a thermal CVD method.
- the Mn film reacts with the oxygen component of the insulating layer 1 penetrating the underlying Ru film, and finally the MnOx film is formed.
- the process conditions at this time are a process temperature (wafer temperature “hereinafter the same”) of 70 to 450 ° C. and a process pressure of about 1 to 13 kPa.
- the flow rate of the source gas containing Mn is not particularly limited, but is about 0.1 to 10 sccm in consideration of the film forming speed and the like.
- the filling step (S3) for filling the recess 2 is one of five methods: CVD, ALD, PVD (sputtering), plating, and supercritical CO 2 . Can be used. In the case of using a plating method or a supercritical CO 2 method, a seed film made of a conductive metal such as Cu may be formed before the embedding process. Further, it is preferable to perform an annealing process after the embedding process.
- a Cu-containing source gas and a H 2 gas as a reducing gas are simultaneously flowed, a Cu film is formed by the CVD method, and the recess 2 is embedded.
- the Cu-containing source gas and the H 2 gas are alternately and repeatedly flowed, for example, as described with reference to FIG. 9C.
- H 2 gas is not flowing, intermittently flowing Cu-containing source gas may be formed Cu film made of Cu film by simple thermal decomposition reaction.
- the process conditions at this time are a process temperature of about 70 to 350 ° C. and a process pressure of about 1 Pa to 13 kPa.
- the flow rate of the Cu-containing source gas is about 1 to 100 sccm, and the flow rate of H 2 gas is about 5 to 500 sccm.
- a thin film is more easily deposited on the inner wall of the fine recess than in the plating method, so even if the recess is further miniaturized, the recess is formed without causing voids or the like inside. Can be embedded.
- the annealing treatment is intended to reliably form the Mn barrier film, and is therefore performed at a sufficiently high temperature for the self-formation of the Mn barrier film in the previous step, for example, at a high process temperature of 100 to 150 ° C. or higher. In other words, since the Mn barrier film is already formed with a sufficient thickness, the annealing treatment can be dispensed with.
- the Cu-containing source gas Cu (I) hfac TMVS (copper complex), Cu (hfac) 2 , Cu (divm) 2, etc. as disclosed in JP 2001-053030 A are used. Can do.
- the surface is formed.
- a second metal having a barrier property with respect to the buried metal embedded in the recess that is, a second metal-containing film containing Mn
- the first metal containing a first metal such as Ru as a base film
- the first embodiment of the method of the present invention is an example in which the barrier layer 214 is formed by laminating the first metal-containing film 210 made of Ru film and the second metal-containing film 212 made of MnOx film one by one.
- the present invention is not limited to this, and the first metal-containing film 210 and the second metal-containing film 212 are alternately stacked, and the uppermost layer is the first metal-containing film 210 and the barrier layer 214 is formed as a whole. You may make it form. That is, more first metal-containing films 210 are formed.
- FIG. 10 is an explanatory diagram for explaining a second embodiment of the film forming method of the present invention.
- FIG. 10 (A) shows a flowchart
- FIG. 10 (B) shows an example of a laminated structure of barrier layers.
- FIG. The same parts as those shown in FIGS. 6 and 7 are denoted by the same reference numerals, and the description thereof is omitted.
- the first metal-containing film forming step S1 and the second metal-containing film forming step S2 are performed alternately so that the first metal-containing film forming step S1 is predetermined. If the predetermined number of times has been performed (YES in S1-1), the recess embedding step (S3) is performed without performing the second metal-containing film forming step S2.
- “n” is a positive integer greater than or equal to 2, such as 2, 3, 4, 5,.
- FIG. 10B shows a case where the predetermined number n is “2”, and the barrier layer 214 includes one second metal-containing film (MnOx film or the like) between two first metal-containing films (Ru films) 210. ) 212 is interposed.
- the Ru film of the first metal-containing film 210 as the uppermost layer has a seed function with respect to Cu that is a buried metal of the recess 2.
- the recess 2 when the recess 2 is embedded by Cu plating, it is not necessary to form a Cu seed film by sputtering or the like prior to that, and the Cu plating process can be directly performed using the uppermost Ru film as a seed film. it can.
- the first metal-containing film 210 and the second metal-containing film 212 may be alternately and repeatedly laminated a plurality of times. In this case, the uppermost layer is formed on the first metal-containing film 210.
- the barrier layer 214 is formed as described above as described above.
- the barrier layer 214 is formed by laminating the first metal-containing film 210 and the second metal-containing film 212.
- the present invention is not limited to this.
- an alloy film including the first metal, the second metal, and the third metal which is a material of the buried metal may be formed and used as a barrier layer.
- FIG. 11 is an explanatory diagram for explaining a third embodiment of the film forming method of the present invention.
- FIG. 11 (A) shows a flowchart
- FIG. 11 (B) shows an example of the structure of the barrier layer. It is sectional drawing shown.
- the same parts as those shown in FIGS. 6 and 7 are denoted by the same reference numerals, and the description thereof is omitted. As shown in FIGS.
- An alloy film forming step S1-2 to be formed is performed.
- the alloy film 220 formed in this way becomes the barrier layer 214.
- This barrier layer 214 has a barrier property against Cu because the constituent material contains a Mn material, and at the same time has a seed property against Cu since it also contains Cu element. When the treatment is performed, the formation of the Cu seed film can be omitted.
- a source gas supply system containing Cu is additionally connected to the shower head portion 42 of the fifth processing apparatus 12E shown in FIG. do it.
- a barrier layer made of an alloy film containing a first metal such as Ru, Mn as the second metal, and a third metal such as Cu.
- Ru is used as the first metal
- the present invention is not limited to this, and other metals such as Fe, Co, Ni, Rh, Pd, Os, Ir, Pt
- One selected from the group consisting of Ti, Ta, Zr, W, Al, V, and Cr, or an alloy thereof can also be used.
- the film forming method using thermal CVD and thermal ALD has been described as an example.
- the present invention is not limited to this, and plasma CVD, plasma ALD, optical CVD using ultraviolet rays or laser light, optical ALD, or the like is used.
- a membrane method may be used.
- the present invention is not limited to this, and other low-k materials, for example, O (oxygen) in the film ) Or C (carbon) -containing SiOC film, SiCOH film, SiCN film, porous silica film, porous methylsilsesquioxane film, polyarylene film, SiLK (registered trademark) film, and fluorocarbon film. In some cases, it may be formed of one or more films.
- each processing apparatus described here is merely an example.
- a heating lamp such as a halogen lamp may be used as a heating unit instead of a resistance heater, and the heat treatment apparatus is a single wafer type. It may be of a batch type as well.
- the present invention is not limited to film formation by heat treatment.
- the shower heads 42 and 134 are used as upper electrodes
- the mounting table 156 is used as a lower electrode
- high-frequency power is applied between both electrodes as necessary to generate plasma.
- plasma assistance may be applied during film formation.
- a semiconductor wafer has been described as an example of an object to be processed here, the present invention is not limited to this, and the present invention can be applied to a glass substrate, an LCD substrate, a ceramic substrate, and the like.
- a sufficiently thin and uniform barrier layer can be formed in all the concave portions even if various sizes of trenches and holes are mixed on the semiconductor wafer. Therefore, in the Cu multilayer wiring, the technology of the present invention can be applied from the lower local wiring to the upper global wiring, and the Cu multilayer wiring can be miniaturized. As an effect obtained by this, it is possible to make a small and high-speed and reliable electronic device by increasing the speed and miniaturization of a semiconductor device (device).
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
上記第1の観点に係る成膜方法において、前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とは同一の処理容器内で連続的に行うことができる。
<処理システム>
まず、本発明の成膜方法を実施するための処理システムについて説明する。図1は本発明の処理システムの第1実施例を示す概略構成図、図2は本発明の処理システムの第2実施例を示す概略構成図である。
上記共通搬送室14と上記4つの各処理装置12A~12Dとの間及び上記共通搬送室14と上記第1及び第2ロードロック室16A、16Bとの間は、それぞれ気密に開閉可能になされたゲートバルブGが介在して接合されて、クラスタツール化されており、必要に応じて共通搬送室14内と連通可能になされている。ここで、この共通搬送室14内は真空引きされている。また、上記第1及び第2各ロードロック室16A、16Bと上記導入側搬送室18との間にも、それぞれ気密に開閉可能になされたゲートバルブGが介在されている。この第1及び第2のロードロック室16A、16Bは真空引き、及び大気圧復帰がウエハの搬出入に伴って繰り返される。
前述したように、第1の処理装置12Aは一般的な洗浄処理装置なので、その説明は省略し、上記第2の処理装置12Bについて説明する。図3は第2の処理装置の一例を示す構成図である。この第2の処理装置12Bは、前述したように第1の金属を含む第1金属含有原料ガスを用いて熱処理によりウエハ表面に第1金属含有膜を形成する装置であり、ここでは第1金属含有膜としてRu膜よりなる金属膜を熱CVD法により形成する場合を例にとって説明する。
次に、上記第3の処理装置12Cについて説明する。尚、前述したように、第4の処理装置12Dは一般的な熱成膜処理装置なので、その説明は省略する。図4は第3の処理装置の一例を示す構成図である。この第3の処理装置12Cは、ウエハ表面に埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜を形成する装置である。例示された実施形態においては、第2の金属はMnであり、第2金属含有膜はMnOx膜(一部にMn膜を含む場合もある)である。
次に、上記第5の処理装置12E(図2参照)について説明する。図5は第5の処理装置の一例を示す構成図である。尚、図3及び図4に示す構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
次に、図1乃至図5に示したような処理システムや処理装置を用いて行われる本発明の成膜方法について具体的に説明する。図6は本発明方法の第1実施例の各工程における薄膜の堆積状況の一例を示す図、図7は本発明方法の基本的な各工程を示すフローチャート、図8は埋め込み工程を実施するための各種態様を示す図、図9は第1金属含有膜形成工程を実施する時の各ガスの供給状態を示すタイミングチャート、図10は本発明方法の第2実施例を説明する説明図である。
このように、埋め込み金属に対してバリヤ性を有する第2の金属すなわちMnを含む第2金属含有膜212を形成するに先立ち、下地膜としてRu等の第1の金属を含む第1金属含有膜をすることにより、第2金属含有膜を効率的に形成することができる。従って、形成されるバリヤ層214のバリヤ性を高くすることができる。
次に、本発明方法の第2実施例について説明する。上記本発明方法の第1実施例では、Ru膜よりなる第1金属含有膜210とMnOx膜等よりなる第2金属含有膜212とを1層ずつ積層してバリヤ層214を形成した場合を例にとって説明したが、これに限定されず、上記第1金属含有膜210と第2金属含有膜212とを交互に積層させて、且つ最上層は第1金属含有膜210として全体でバリヤ層214を形成するようにしてもよい。すなわち、第1金属含有膜210が一層多く形成されている。図10はこのような本発明の成膜方法の第2実施例を説明するための説明図であり、図10(A)はフローチャートを示し、図10(B)はバリヤ層の積層構造の一例を示す断面図である。尚、図6及び図7に示す構成と同一部分については同一参照符号を付してその説明を省略する。
次に、本発明方法の第3実施例について説明する。先に説明した本発明方法の第1実施例及び第2実施例では、第1金属含有膜210と第2金属含有膜212とを積層してバリヤ層214を形成したが、これに限定されず、上記第1の金属と第2の金属と埋め込み金属の材料である第3の金属とを含む合金膜を形成してこれをバリヤ層としてもよい。
Claims (20)
- 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す成膜方法において、
第1の金属を含む第1金属含有膜を形成する第1金属含有膜形成工程と、
前記第1金属含有膜形成工程の後に行われ、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜を形成する第2金属含有膜形成工程と、
を有し、
前記第2の金属がMnである、
ことを特徴とする成膜方法。 - 前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とは交互に行われて、最後に前記第1金属含有膜形成工程が行われることを特徴とする請求項1記載の成膜方法。
- 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す成膜方法において、
第1の金属と、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属と、前記埋め込み金属の材料である第3の金属と、を含む合金膜を形成する合金膜形成工程を有し、
前記第2の金属がMnである、
ことを特徴とする成膜方法。 - 前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とは同一の処理容器内で連続的に行われることを特徴とする請求項1又は2記載の成膜方法。
- 前記凹部内を前記埋め込み金属で埋め込む埋め込み工程を有することを特徴とする請求項1乃至4のいずれか一項に記載の成膜方法。
- 前記low-k膜は、SiOC膜とSiCOH膜とSiCN膜とポーラスシリカ膜とポーラスメチルシルセスキオキサン膜とポリアリレン膜とSiLK(登録商標)膜とフロロカーボン膜とよりなる群から選択される1つ以上の膜よりなることを特徴とする請求項1乃至5のいずれか一項に記載の成膜方法。
- 前記第1の金属は、Ru、Fe、Co、Ni、Rh、Pd、Os、Ir、Pt、Ti、Ta、Zr、W、Al、V、Crよりなる群から選択される1以上の元素であることを特徴とする請求項1乃至6のいずれか一項に記載の成膜方法。
- 前記第2金属含有膜形成工程においてCp2 Mn[=Mn(C5 H5)2]、(MeCp)2 Mn[=Mn(CH3C5H4)2]、(EtCp)2 Mn[=Mn(C2H5C5H4)2]、(i-PrCp)2Mn[=Mn(C3H7C5H4)2]、MeCpMn(CO)3[=(CH3C5H4)Mn(CO)3]、(t-BuCp)2 Mn[=Mn(C4H9C5H4)2]、CH3Mn(CO)5 、Mn(DPM)3[= Mn(C11H19O2)3]、Mn(DMPD)(EtCp)[=Mn(C7H11C2H5C5H4)]、Mn(acac)2[=Mn(C5H7O2 )2 ]、Mn(DPM)2[=Mn(C11H19O2)2]、Mn(acac)3[=Mn(C5H7O2)3]、Mn(hfac)2[=Mn(C5HF6O2)3]、(( CH3)5Cp)2Mn[=Mn((CH3)5C5H4)2]、[Mn(iPr-AMD)2][=Mn(C3H7NC(CH3)NC3H7)2]、[ Mn(tBu-AMD)2][=Mn(C4H9NC(CH3)NC4H9)2]よりなる群から選択される1以上の材料が原料として用いられることを特徴とす る請求項1乃至7のいずれか一項に記載の成膜方法。
- 前記埋め込み金属は、銅であることを特徴とする請求項1乃至8のいずれか一項に記載の成膜方法。
- 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体の前記凹部内を埋め込み金属で埋め込む際に前記埋め込み金属の下層に介在されるバリヤ層において、
第1の金属を含む第1金属含有膜と、前記第1金属含有膜上に形成されて前記埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜とからなり、前記第2の金属がMnであることを特徴とするバリヤ層。 - 前記第1金属含有膜と前記第2金属含有膜とは交互に積層されており、最上層は前記第1金属含有膜になされていることを特徴とする請求項10記載のバリヤ層。
- 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体の前記凹部内を埋め込み金属で埋め込む際に前記埋め込み金属の下層に介在されるバリヤ層において、
第1の金属と、前記埋め込み金属に対してバリヤ性を有する第2の金属と、前記埋め込み金属の材料である第3の金属と、を含む合金膜よりなり、前記第2の金属がMnであることを特徴とするバリヤ層。 - 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、
前記被処理体の表面に第1の金属を含む第1金属含有膜を形成する処理装置と、前記被処理体の表面に前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する処理装置と、
前記被処理体の表面に前記埋め込み金属の材料である第3の金属の薄膜を形成する処理装置と、前記各処理装置が連結された共通搬送室と、
前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、
請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように処理システム全体を制御するシステム制御部と、
を備えたことを特徴とする処理システム。 - 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、
前記被処理体の表面に第1の金属を含む第1金属含有膜を形成する成膜処理と前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する成膜処理とを行う処理装置と、
前記被処理体の表面に前記埋め込み金属の材料である第3の金属の薄膜を形成する処理装置と、前記各処理装置が連結された共通搬送室と、
前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、
請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように処理システム全体を制御するシステム制御部と、
を備えたことを特徴とする処理システム。 - 底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、
前記被処理体の表面に第1の金属と、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnと、前記埋め込み金属の材料である第3の金属と、を含む合金膜を形成する処理装置と、
前記処理装置が連結された共通搬送室と、
前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、
請求項3記載の成膜方法を実施するように処理システム全体を制御するシステム制御部と、
を備えたことを特徴とする処理システム。 - 請求項13記載の処理システムを用いて請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように制御するコンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
- 請求項14記載の処理システムを用いて請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように制御するコンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
- 請求項15記載の処理システムを用いて請求項3に記載の成膜方法を実施するように制御するコンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
- 請求項1乃至12のいずれか一項に記載の成膜方法によって形成された膜構造を有することを特徴とする半導体装置。
- 請求項1乃至12のいずれか一項に記載の成膜方法によって形成された膜構造を有することを特徴とする電子機器。
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN2010800253429A CN102804351A (zh) | 2009-06-16 | 2010-06-16 | 阻挡层、成膜方法以及处理系统 |
US13/378,513 US8653665B2 (en) | 2009-06-16 | 2010-06-16 | Barrier layer, film forming method, and processing system |
KR1020117030046A KR101275679B1 (ko) | 2009-06-16 | 2010-06-16 | 배리어층, 성막 방법 및 처리 시스템 |
US14/149,955 US20140117551A1 (en) | 2009-06-16 | 2014-01-08 | Processing system for forming film on target object |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2009142964A JP5487748B2 (ja) | 2009-06-16 | 2009-06-16 | バリヤ層、成膜方法及び処理システム |
JP2009-142964 | 2009-06-16 |
Related Child Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/378,513 A-371-Of-International US8653665B2 (en) | 2009-06-16 | 2010-06-16 | Barrier layer, film forming method, and processing system |
US14/149,955 Division US20140117551A1 (en) | 2009-06-16 | 2014-01-08 | Processing system for forming film on target object |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2010147140A1 true WO2010147140A1 (ja) | 2010-12-23 |
Family
ID=43356456
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2010/060190 WO2010147140A1 (ja) | 2009-06-16 | 2010-06-16 | バリヤ層、成膜方法及び処理システム |
Country Status (5)
Country | Link |
---|---|
US (2) | US8653665B2 (ja) |
JP (1) | JP5487748B2 (ja) |
KR (1) | KR101275679B1 (ja) |
CN (1) | CN102804351A (ja) |
WO (1) | WO2010147140A1 (ja) |
Families Citing this family (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5353109B2 (ja) * | 2008-08-15 | 2013-11-27 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP5481989B2 (ja) * | 2009-07-22 | 2014-04-23 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US8461683B2 (en) * | 2011-04-01 | 2013-06-11 | Intel Corporation | Self-forming, self-aligned barriers for back-end interconnects and methods of making same |
KR20150005533A (ko) * | 2012-04-11 | 2015-01-14 | 도쿄엘렉트론가부시키가이샤 | 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치 |
JP5969306B2 (ja) * | 2012-08-08 | 2016-08-17 | 東京エレクトロン株式会社 | Cu配線の形成方法 |
CN110066984B (zh) * | 2013-09-27 | 2021-06-08 | 应用材料公司 | 实现无缝钴间隙填充的方法 |
KR102264160B1 (ko) | 2014-12-03 | 2021-06-11 | 삼성전자주식회사 | 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법 |
KR102279757B1 (ko) * | 2015-03-06 | 2021-07-21 | 에스케이하이닉스 주식회사 | 확산 방지막의 형성 방법, 상기 확산 방지막을 포함하는 반도체 소자의 금속 배선 및 이의 제조 방법 |
US10002834B2 (en) * | 2015-03-11 | 2018-06-19 | Applied Materials, Inc. | Method and apparatus for protecting metal interconnect from halogen based precursors |
JP5952461B1 (ja) * | 2015-05-12 | 2016-07-13 | 田中貴金属工業株式会社 | 異種複核錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法 |
JP6043835B1 (ja) | 2015-05-12 | 2016-12-14 | 田中貴金属工業株式会社 | 異種複核錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法 |
JP2017050304A (ja) * | 2015-08-31 | 2017-03-09 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR101721931B1 (ko) * | 2015-09-30 | 2017-04-03 | (주)아이작리서치 | 원자층 증착 장치 및 원자층 증착 방법 |
US10176999B2 (en) | 2015-12-31 | 2019-01-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having a multi-layer, metal-containing film |
US10157784B2 (en) | 2016-02-12 | 2018-12-18 | Tokyo Electron Limited | Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization |
JP6785130B2 (ja) * | 2016-07-06 | 2020-11-18 | 東京エレクトロン株式会社 | ルテニウム配線およびその製造方法 |
US10522467B2 (en) * | 2016-07-06 | 2019-12-31 | Tokyo Electron Limited | Ruthenium wiring and manufacturing method thereof |
US9679804B1 (en) * | 2016-07-29 | 2017-06-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-patterning to form vias with straight profiles |
KR102616489B1 (ko) | 2016-10-11 | 2023-12-20 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
JP6807251B2 (ja) * | 2017-03-02 | 2021-01-06 | 東京エレクトロン株式会社 | ルテニウム配線の製造方法 |
JP7262287B2 (ja) * | 2019-04-25 | 2023-04-21 | 株式会社アルバック | 成膜方法 |
US11004736B2 (en) * | 2019-07-19 | 2021-05-11 | International Business Machines Corporation | Integrated circuit having a single damascene wiring network |
US11527476B2 (en) * | 2020-09-11 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure of semiconductor device |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007067107A (ja) * | 2005-08-30 | 2007-03-15 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2008013848A (ja) * | 2006-06-08 | 2008-01-24 | Tokyo Electron Ltd | 成膜装置及び成膜方法 |
JP2008205177A (ja) * | 2007-02-20 | 2008-09-04 | Renesas Technology Corp | 半導体装置及びその製造方法 |
JP2008218659A (ja) * | 2007-03-02 | 2008-09-18 | Tokyo Electron Ltd | 半導体装置の製造方法、半導体製造装置及びプログラム |
JP2009111156A (ja) * | 2007-10-30 | 2009-05-21 | Renesas Technology Corp | 半導体装置およびその製造方法 |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001053030A (ja) | 1999-08-11 | 2001-02-23 | Tokyo Electron Ltd | 成膜装置 |
JP3495033B1 (ja) | 2002-09-19 | 2004-02-09 | 東京エレクトロン株式会社 | 無電解メッキ装置、および無電解メッキ方法 |
JP4478038B2 (ja) | 2004-02-27 | 2010-06-09 | 株式会社半導体理工学研究センター | 半導体装置及びその製造方法 |
TW200810019A (en) * | 2006-06-08 | 2008-02-16 | Tokyo Electron Ltd | Film forming apparatus, film forming method, computer program and storage medium |
JP5215852B2 (ja) | 2006-07-31 | 2013-06-19 | 東京エレクトロン株式会社 | 基板処理装置およびコンディショニング要否決定方法 |
US8013445B2 (en) * | 2008-02-29 | 2011-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low resistance high reliability contact via and metal line structure for semiconductor device |
JP5326558B2 (ja) * | 2008-12-26 | 2013-10-30 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
-
2009
- 2009-06-16 JP JP2009142964A patent/JP5487748B2/ja not_active Expired - Fee Related
-
2010
- 2010-06-16 US US13/378,513 patent/US8653665B2/en not_active Expired - Fee Related
- 2010-06-16 KR KR1020117030046A patent/KR101275679B1/ko not_active IP Right Cessation
- 2010-06-16 WO PCT/JP2010/060190 patent/WO2010147140A1/ja active Application Filing
- 2010-06-16 CN CN2010800253429A patent/CN102804351A/zh active Pending
-
2014
- 2014-01-08 US US14/149,955 patent/US20140117551A1/en not_active Abandoned
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007067107A (ja) * | 2005-08-30 | 2007-03-15 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2008013848A (ja) * | 2006-06-08 | 2008-01-24 | Tokyo Electron Ltd | 成膜装置及び成膜方法 |
JP2008205177A (ja) * | 2007-02-20 | 2008-09-04 | Renesas Technology Corp | 半導体装置及びその製造方法 |
JP2008218659A (ja) * | 2007-03-02 | 2008-09-18 | Tokyo Electron Ltd | 半導体装置の製造方法、半導体製造装置及びプログラム |
JP2009111156A (ja) * | 2007-10-30 | 2009-05-21 | Renesas Technology Corp | 半導体装置およびその製造方法 |
Also Published As
Publication number | Publication date |
---|---|
CN102804351A (zh) | 2012-11-28 |
US8653665B2 (en) | 2014-02-18 |
JP5487748B2 (ja) | 2014-05-07 |
KR101275679B1 (ko) | 2013-06-17 |
JP2011001568A (ja) | 2011-01-06 |
US20120091588A1 (en) | 2012-04-19 |
US20140117551A1 (en) | 2014-05-01 |
KR20120016652A (ko) | 2012-02-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5487748B2 (ja) | バリヤ層、成膜方法及び処理システム | |
JP5417754B2 (ja) | 成膜方法及び処理システム | |
JP5683038B2 (ja) | 成膜方法 | |
US8207061B2 (en) | Semiconductor device manufacturing method using valve metal and nitride of valve metal | |
KR101188503B1 (ko) | 카보닐 원료를 사용한 금속막의 성막 방법, 다층 배선 구조의 형성 방법, 반도체 장치의 제조 방법 및 성막 장치 | |
JP2008013848A (ja) | 成膜装置及び成膜方法 | |
JP5522979B2 (ja) | 成膜方法及び処理システム | |
WO2011010660A1 (ja) | 成膜装置及び成膜方法 | |
JP5429078B2 (ja) | 成膜方法及び処理システム | |
US10490443B2 (en) | Selective film forming method and method of manufacturing semiconductor device | |
KR101882991B1 (ko) | 루테늄막의 성막 방법, 성막 장치 및 반도체 장치의 제조 방법 | |
KR101730229B1 (ko) | 루테늄막의 성막 방법 및 성막 장치와 반도체 장치의 제조 방법 | |
US20120211890A1 (en) | Method for forming metal thin film, semiconductor device and manufacturing method thereof |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 201080025342.9 Country of ref document: CN |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 10789514 Country of ref document: EP Kind code of ref document: A1 |
|
ENP | Entry into the national phase |
Ref document number: 20117030046 Country of ref document: KR Kind code of ref document: A |
|
WWE | Wipo information: entry into national phase |
Ref document number: 13378513 Country of ref document: US |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 10789514 Country of ref document: EP Kind code of ref document: A1 |