WO2010147140A1 - バリヤ層、成膜方法及び処理システム - Google Patents

バリヤ層、成膜方法及び処理システム Download PDF

Info

Publication number
WO2010147140A1
WO2010147140A1 PCT/JP2010/060190 JP2010060190W WO2010147140A1 WO 2010147140 A1 WO2010147140 A1 WO 2010147140A1 JP 2010060190 W JP2010060190 W JP 2010060190W WO 2010147140 A1 WO2010147140 A1 WO 2010147140A1
Authority
WO
WIPO (PCT)
Prior art keywords
metal
film
film forming
embedded
processed
Prior art date
Application number
PCT/JP2010/060190
Other languages
English (en)
French (fr)
Inventor
三好 秀典
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to CN2010800253429A priority Critical patent/CN102804351A/zh
Priority to US13/378,513 priority patent/US8653665B2/en
Priority to KR1020117030046A priority patent/KR101275679B1/ko
Publication of WO2010147140A1 publication Critical patent/WO2010147140A1/ja
Priority to US14/149,955 priority patent/US20140117551A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Definitions

  • the present invention relates to a technique for performing a film forming process on an object to be processed, for example, a semiconductor wafer, on which an insulating layer made of a low-k film having a concave portion in which a metal layer is exposed on the bottom surface.
  • a semiconductor device is repeatedly subjected to various processes such as a film forming process and a pattern etching process to manufacture a desired device.
  • the line width and hole diameter are becoming increasingly finer than requested.
  • copper which has a very low electric resistance and is inexpensive because it is necessary to reduce the electric resistance by miniaturizing various dimensions.
  • tantalum metal (Ta), tantalum nitride film (TaN) or the like is generally used as the barrier in consideration of the diffusion barrier property of copper to the lower layer. Used as a layer.
  • a thin seed film made of a copper film is formed on the entire wafer surface including the entire wall surface in the recess, and then the copper is formed on the entire wafer surface.
  • the inside of the recess is completely embedded.
  • an excessive copper thin film on the wafer surface is removed by polishing by CMP (Chemical Chemical Mechanical) processing or the like.
  • FIG. 12 is a view showing a conventional embedding process of a recess of a semiconductor wafer.
  • the surface of the insulating layer 1 formed on the semiconductor wafer W such as an interlayer insulating film made of, for example, an SiO 2 film, has via holes, through-holes, and trenches (for example, a single damascene structure, a dual damascene structure, a three-dimensional mounting structure).
  • a recess 2 corresponding to a trench) is formed, and a lower wiring layer 3 made of, for example, copper is formed in an exposed state at the bottom of the recess 2.
  • the recess 2 is composed of a groove (trench) 2A having an elongated cross section and a hole 2B formed in a part of the bottom of the groove 2A.
  • the hole 2B is a via hole or a through hole. It becomes.
  • the wiring layer 3 is exposed at the bottom of the hole 2B, and is electrically connected to a lower wiring layer and an element such as a transistor. Note that illustration of elements such as lower wiring layers and transistors is omitted.
  • the width or inner diameter of the recess 2 is very small, for example, about 120 nm, and the aspect ratio is, for example, about 2 to 4.
  • the diffusion prevention film, the etching stop film, and the like are not shown and simplified in shape.
  • a barrier layer 4 made of a laminated structure of, for example, a TaN film and a Ta film is formed in advance in a plasma sputtering apparatus, including the inner surface of the recess 2 (FIG. 12A). )reference).
  • a seed film 6 made of a thin copper film is formed as a metal film over the entire wafer surface including the surface in the recess 2 by a plasma sputtering apparatus (see FIG. 12B).
  • the recess 2 is filled with a metal film 8 made of, for example, a copper film by performing a copper plating process on the wafer surface (see FIG. 12C). Thereafter, the excess metal film 8, seed film 6 and barrier layer 4 on the wafer surface are removed by polishing using the above-described CMP process or the like.
  • a self-formed barrier layer using a Mn film or a CuMn alloy film instead of the Ta film or TaN film has attracted attention.
  • the Mn film or CuMn alloy film is formed by sputtering, and the Mn film or CuMn alloy film itself becomes a seed film. Therefore, a Cu plating layer can be directly formed thereon, and annealing can be performed after plating.
  • MnSixOy (x, y: arbitrary positive number) film or Mn and SiO 2 is formed at the boundary between the SiO 2 layer and the Mn film or CuMn alloy film. Since a barrier film called a manganese oxide MnOx (x: arbitrary positive number) film formed by reaction with oxygen in the layer is formed, there is an advantage that the number of manufacturing steps can be reduced. Manganese oxides are classified into MnO, Mn 3 O 4, Mn 2 O 3 , MnO 2, and the like depending on the valence of Mn. In this specification, these are collectively referred to as MnOx.
  • TEOS is used as a material for the interlayer insulating film.
  • a low-k film made of, for example, SiOC or SiCOH containing an organic group such as a methyl group is considered as a material having a lower relative dielectric constant.
  • the relative dielectric constant of the silicon oxide film formed using the TEOS is about 4.1
  • the relative dielectric constant of SiOC is about 3.0.
  • a Mn-containing film is formed by CVD on the surface of the interlayer insulating film having a low relative dielectric constant including the exposed surface in the recess.
  • a MnOx film is hardly deposited, there is a problem that a barrier layer cannot be formed.
  • the present invention provides a technique for efficiently forming a thin film having a barrier property on an object to be processed on which an insulating layer made of a low-k film having a recess with a metal layer exposed on the bottom surface is formed on the surface.
  • the present inventor As a result of earnest research on a method of depositing a Mn-containing film such as Mn or MnOx on the surface of the insulating layer having a low relative dielectric constant, the present inventor, for example, on the surface of the insulating layer before performing the film forming process of the Mn-containing film.
  • the present invention has been achieved by obtaining the knowledge that a Mn-containing film can be deposited efficiently by applying a metal film such as Ru as a base film.
  • a film forming method for performing a film forming process on an object to be processed on which an insulating layer made of a low-k film having a recess with a metal layer exposed on a bottom surface is formed.
  • a barrier layer for the buried metal embedded in the recess which is performed after the first metal-containing film forming step of forming the first metal-containing film containing the first metal and the first metal-containing film forming step.
  • a second metal-containing film forming step of forming a second metal-containing film containing the second metal having the second metal, wherein the second metal is Mn.
  • the first metal-containing film forming step and the second metal-containing film forming step may be alternately performed. In this case, the first metal-containing film formation is finally performed. A process can be performed. In the film forming method according to the first aspect, the first metal-containing film forming step and the second metal-containing film forming step can be continuously performed in the same processing container.
  • a film forming method for performing a film forming process on an object to be processed having an insulating layer made of a low-k film having a recess with a metal layer exposed on the bottom surface.
  • Forming an alloy film comprising: a first metal; a second metal having a barrier property with respect to the embedded metal embedded in the recess; and a third metal which is a material of the embedded metal.
  • a film forming method including a step, wherein the second metal is Mn.
  • the film forming method according to the first and second aspects may further include a filling step of filling the concave portion with the buried metal.
  • the low-k film includes an SiOC film, an SiCOH film, an SiCN film, a porous silica film, a porous methylsilsesquioxane film, a polyarylene film, and SiLK (registered trademark). ) One or more films selected from the group consisting of a film and a fluorocarbon film.
  • the first metal is Ru, Fe, Co, Ni, Rh, Pd, Os, Ir, Pt, Ti, Ta, Zr, W, Al,
  • One or more elements selected from the group consisting of V and Cr can be used.
  • the embedded metal can be copper.
  • the inside of the concave portion of the object to be processed in which the insulating layer made of the low-k film having the concave portion where the metal layer is exposed on the bottom surface is formed, is buried with the buried metal,
  • a barrier layer interposed below the buried metal a first metal-containing film containing a first metal and a second metal formed on the first metal-containing film and having a barrier property with respect to the buried metal
  • a barrier layer comprising a second metal-containing film containing, wherein the second metal is Mn.
  • the first metal-containing film and the second metal-containing film can be alternately stacked.
  • the uppermost layer is the first metal-containing film. Is preferred.
  • the fourth aspect of the present invention when the inside of the concave portion of the object to be processed, in which the insulating layer made of the low-k film having the concave portion where the metal layer is exposed on the bottom surface is formed, is buried with the buried metal, An alloy containing a first metal, a second metal having a barrier property with respect to the buried metal, and a third metal that is a material of the buried metal in a barrier layer interposed below the buried metal A barrier layer is provided comprising a film, wherein the second metal is Mn.
  • a processing system for performing a film forming process on an object to be processed having an insulating layer formed of a low-k film having a recess with a metal layer exposed on a bottom surface.
  • a processing apparatus for forming a first metal-containing film containing a first metal on the surface of the object to be processed, and a second metal having a barrier property against the embedded metal embedded in the recess on the surface of the object to be processed A processing apparatus for forming a second metal-containing film containing Mn as a processing apparatus, a processing apparatus for forming a thin film of a third metal that is a material of the embedded metal on the surface of the object to be processed, and the processing apparatuses And a film forming method according to the first aspect described above, and a transfer mechanism provided in the common transfer chamber for transferring the object to be processed into the processing apparatuses.
  • System that controls the entire processing system Processing system comprising a control unit, is provided.
  • a processing system for performing a film forming process on an object to be processed having an insulating layer formed of a low-k film having a concave portion in which a metal layer is exposed on a bottom surface.
  • a processing apparatus that performs a film forming process for forming a metal-containing film, a processing apparatus that forms a thin film of a third metal that is a material of the embedded metal on the surface of the object to be processed, and the processing apparatuses are connected.
  • a common transfer chamber a transfer mechanism provided in the common transfer chamber for transferring the object to be processed into each processing apparatus, and the film forming method according to the first aspect described above.
  • System control to control the entire processing system When, the processing system further comprising: a is provided.
  • a seventh aspect of the present invention in a processing system for performing a film forming process on an object to be processed having an insulating layer formed of a low-k film having a recess with a metal layer exposed on a bottom surface.
  • a processing apparatus for forming an alloy film a common transport chamber to which the processing apparatus is connected, a transport mechanism that is provided in the common transport chamber and transports the object to be processed into each processing apparatus,
  • a processing system including a system control unit that controls the entire processing system so as to perform the film forming method according to the second aspect.
  • FIG. 1 is a schematic configuration diagram showing a first embodiment of the processing system of the present invention
  • FIG. 2 is a schematic configuration diagram showing a second embodiment of the processing system of the present invention.
  • the processing system 10 includes a plurality of, for example, four processing apparatuses 12A, 12B, 12C, and 12D, a substantially hexagonal common transfer chamber 14, and a load lock.
  • the first and second load lock chambers 16 ⁇ / b> A and 16 ⁇ / b> B having a function and an elongated introduction-side transfer chamber 18 are mainly included.
  • the first processing apparatus for example, the processing apparatus 12A is configured as a first processing apparatus 12A that performs a pre-processing on a semiconductor wafer that is an object to be processed.
  • the second processing apparatus for example, the processing apparatus 12B is configured as a second processing apparatus 12B that forms a first metal-containing film containing a first metal such as Ru on the semiconductor wafer W.
  • the third processing apparatus The apparatus, for example, the processing apparatus 12C forms a second metal-containing film containing, for example, a second metal such as Mn having a barrier property with respect to a buried metal embedded in a recess of the wafer described later with respect to the semiconductor wafer W.
  • the fourth processing apparatus for example, the processing apparatus 12D is configured to deposit, for example, a copper film as a third metal that is a material of an embedded metal on the semiconductor wafer W. It is configured as a processing device 12D.
  • first and fourth processing devices 12A and 12D do not have to be provided here, and each processing in the first and fourth processing devices in another processing device other than the processing system 10 is provided. May be performed. Further, a general cleaning apparatus is used as the first processing apparatus 12A, and a thermal film forming apparatus such as thermal CVD is used as the fourth processing apparatus 12D.
  • the processing apparatuses 12A to 12D are joined to four sides of the substantially hexagonal common transfer chamber 14, and the first and second load lock chambers 16A and 16B are joined to the other two sides. Each is joined.
  • the introduction-side transfer chamber 18 is commonly connected to the first and second load lock chambers 16A and 16B.
  • the common transfer chamber 14 and the four processing devices 12A to 12D and the common transfer chamber 14 and the first and second load lock chambers 16A and 16B can be opened and closed in an airtight manner.
  • a gate valve G is joined to form a cluster tool, and can communicate with the common transfer chamber 14 as necessary.
  • the common transfer chamber 14 is evacuated.
  • first and second load lock chambers 16 ⁇ / b> A and 16 ⁇ / b> B and the introduction-side transfer chamber 18 gate valves G that can be opened and closed in an airtight manner are interposed.
  • the first and second load lock chambers 16A and 16B are repeatedly evacuated and returned to atmospheric pressure as the wafer is carried in and out.
  • a transfer mechanism comprising an articulated arm that can be bent and extended at a position accessible to the two load lock chambers 16A and 16B and the four processing devices 12A to 12D. 20, which has two picks 20A and 20B that can bend and stretch independently in opposite directions, so that two wafers can be handled at a time.
  • the conveyance mechanism 20 having only one pick can be used.
  • the introduction-side transfer chamber 18 is formed by a horizontally long box, and one or a plurality of, in the illustrated example, three carry-in portions for introducing a semiconductor wafer as an object to be processed are formed on one side of the horizontally long.
  • An opening is provided, and an opening / closing door 22 that can be opened and closed is provided at each carry-in entrance.
  • An introduction port 24 is provided in correspondence with each carry-in port, and one cassette container 26 can be placed on each of the introduction ports 24.
  • a plurality of, for example, 25 wafers W can be placed and accommodated in multiple stages at an equal pitch.
  • the cassette container 26 is sealed, for example, and is filled with an atmosphere of an inert gas such as N 2 gas.
  • an introduction-side transfer mechanism 28 for transferring the wafer W along its longitudinal direction is provided.
  • the introduction-side transport mechanism 28 has two picks 28A and 28B that can be bent and stretched and swiveled, and can handle two wafers W at a time.
  • This introduction side conveyance mechanism 28 is supported in the introduction side conveyance chamber 18 so as to be slidable on a guide rail 30 provided so as to extend along the length direction thereof.
  • an orienter 32 for aligning the wafer is provided at one end of the introduction-side transfer chamber 18.
  • the orienter 32 has a turntable 32A that is rotated by a drive motor, and rotates with the wafer W mounted thereon.
  • An optical sensor 32B for detecting the peripheral edge of the wafer W is provided on the outer periphery of the turntable 32A, whereby the positioning notch of the wafer W, for example, the position direction of the notch or the orientation flat or the position of the center of the wafer W is provided. The amount of deviation can be detected.
  • the processing system 10 has a system control unit 34 composed of, for example, a computer in order to control the operation of the entire system.
  • a program necessary for controlling the operation of the entire processing system is stored in a storage medium 36 such as a flexible disk, a CD (Compact Disc), a hard disk, or a flash memory.
  • a storage medium 36 such as a flexible disk, a CD (Compact Disc), a hard disk, or a flash memory.
  • the start, stop and flow control of each gas control of process temperature (wafer temperature), process pressure (pressure in the processing container of each processing apparatus), A wafer transfer operation or the like is performed.
  • an unprocessed semiconductor wafer W is taken into the introduction-side transfer chamber 18 from the cassette container 26 installed in the introduction port 24 by the introduction-side transfer mechanism 28, and the acquired wafer W is introduced into the introduction-side transfer chamber 18. Is transferred to an orienter 32 provided at one end of the head, and positioning is performed here.
  • the wafer W is made of, for example, a silicon substrate, and a concave portion 2 (see FIG. 12) is formed in advance on this surface.
  • the positioned wafer W is transferred again by the introduction-side transfer mechanism 28 and is carried into one of the first or second load lock chambers 16A and 16B. After the load lock chamber is evacuated, the wafer W in the load lock chamber is taken into the common transfer chamber 14 using the transfer mechanism 20 in the common transfer chamber 14 that has been evacuated in advance.
  • the unprocessed wafer taken into the common transfer chamber 14 is first loaded into the first processing apparatus 12A, where the wafer W is preprocessed.
  • a general degas process or a cleaning process in the recess 2 on the wafer surface is performed.
  • an H 2 plasma process, an Ar plasma process, a dry cleaning process using an organic acid, a cleaning process using Hot-Wire atomic hydrogen, or the like is performed.
  • the wafer W that has been subjected to the pretreatment is then carried into the second processing apparatus 12B, where a first metal-containing film containing a first metal such as Ru is formed on the surface of the wafer W as described above.
  • a first metal-containing film forming step is performed.
  • film formation is performed by performing a heat treatment such as thermal CVD using the first metal-containing source gas containing the first metal, as will be described later.
  • a Ru (ruthenium) film is formed.
  • the wafer W on which the first metal-containing film forming step has been completed is then carried into the third processing apparatus 12C, where the second metal having a barrier property against the embedded metal in the recesses on the surface of the wafer W.
  • a second metal-containing film forming step of forming a second metal-containing film containing As the second metal-containing film for example, a MnOx film or a Mn film is formed.
  • a barrier layer for the Cu film is formed with the layer structure of the Ru film and the MnOx film or Mn film.
  • the wafer W on which the second metal-containing film forming step has been completed is then carried into the fourth processing apparatus 12D, where, for example, a copper film is deposited on the surface of the wafer W as a third metal that is an embedded metal. Then, an embedding process for embedding the recess 2 is performed. Depending on the embodiment of the film forming method, the first metal-containing film forming step and the second metal-containing film forming step may be repeated. And if the said embedding process is completed, the process in this processing system 10 will be completed.
  • the processed wafer W is accommodated in the processed wafer cassette container 26 of the introduction port 24 via one of the load lock chambers 16A or 16B and the introduction-side transfer chamber 18.
  • the common transfer chamber 14 is made in a reduced pressure state in an atmosphere of inert gas of a rare gas or the like dry N 2, such as Ar or He.
  • the second processing apparatus 12B and the third processing apparatus 12C are provided separately, and the first metal-containing film forming process and the second metal-containing film forming process are performed. Each process is performed in different processing apparatuses, but both of these steps may be performed in the same processing apparatus.
  • Such a processing system is the second embodiment shown in FIG. In FIG. 2, the same components as those shown in FIG. 1 are denoted by the same reference numerals, and the description thereof is omitted.
  • a fifth processing device 12E is provided as a fifth processing device in place of the second processing device 12B in FIG. 1, and a third processing device 12C (see FIG. 1) is provided. ) Is not installed.
  • a first metal-containing film for example, a Ru film and a second metal-containing film, for example, a MnOx film are continuously formed.
  • the equipment cost can be reduced by the amount that the number of installed processing apparatuses is reduced by one.
  • another fourth processing device 12D may be provided at the position where the third processing device 12C was present in order to improve throughput.
  • FIG. 3 is a block diagram showing an example of the second processing apparatus.
  • the second processing apparatus 12B is an apparatus that forms a first metal-containing film on the wafer surface by heat treatment using the first metal-containing source gas containing the first metal.
  • a metal film made of a Ru film is formed as a containing film by a thermal CVD method will be described as an example.
  • the second processing apparatus 12B includes, for example, an aluminum processing container 132 having a substantially circular cross section.
  • a shower head portion 134 serving as a gas introduction means is provided on the ceiling portion in the processing container 123 to introduce a necessary processing gas, for example, a film forming gas, and a large number of gas is provided on the lower surface of the gas injection surface.
  • the processing gas is jetted from the gas jet holes 136 toward the processing space S.
  • a hollow gas diffusion chamber 138 is formed in the shower head section 134. After the processing gas introduced therein is diffused in the plane direction, the gas injection hole 136 communicated with the gas diffusion chamber 138 is used. It comes to blow out.
  • the entire shower head portion 134 is formed of, for example, a nickel alloy such as nickel or Hastelloy (registered trademark), aluminum, or an aluminum alloy.
  • a sealing member 140 made of, for example, an O-ring or the like is interposed at the joint between the shower head part 134 and the upper end opening of the processing container 132, so that the airtightness in the processing container 132 is maintained. ing.
  • a loading / unloading port 142 for loading / unloading a semiconductor wafer W as an object to be processed is provided on the side wall of the processing chamber 132, and the loading / unloading port 142 can be opened and closed in an airtight manner.
  • a gate valve G is provided.
  • An exhaust space 146 is formed in the bottom 144 of the processing container 132. Specifically, a large opening 148 is formed in the central portion of the container bottom 144, and a cylindrical partition wall 150 having a bottomed cylindrical shape extending downward is connected to the opening 148 and the above-described inside is connected to the inside. An exhaust space 146 is formed. A cylindrical column 150 is provided on the bottom 152 of the cylindrical partition wall 150 that partitions the exhaust space 146. A mounting table on which the semiconductor wafer W is mounted on the upper end of the column 154. 156 is fixed.
  • the mounting table 156 is made of, for example, a ceramic material or quartz glass.
  • a resistance heater 158 made of, for example, a carbon wire heater that generates heat when energized is accommodated as a heating unit.
  • the semiconductor wafer W mounted on the upper surface of the mounting table 156 can be heated.
  • a plurality of, for example, three pin insertion holes 160 are formed in the mounting table 156 so as to penetrate in the vertical direction (only two are shown in FIG. 3), and can be moved up and down in each of the pin insertion holes 160.
  • the push-up pin 162 inserted in the loosely fitted state is disposed.
  • a push-up ring 164 made of a ceramic such as alumina formed in a circular ring shape is disposed at the lower end of the push-up pin 162, and the push-down ring 164 supports the lower end of each push-up pin 162. Yes.
  • the arm portion 166 extending from the push-up ring 164 is connected to a retracting rod 168 provided through the container bottom portion 144, and the retracting rod 168 can be moved up and down by an actuator 170.
  • an extendable bellows 172 is interposed in a through-hole portion of the container bottom of the retractable rod 168 of the actuator 170, so that the retractable rod 168 can be moved up and down while maintaining the airtightness in the processing container 132. ing.
  • the opening 148 on the inlet side of the exhaust space 146 is set to be smaller than the diameter of the mounting table 156, and the gas flowing down outside the periphery of the mounting table 156 wraps around the mounting table 156. It flows into the opening 148.
  • An exhaust port 174 is formed in the lower side wall of the cylindrical partition wall 150 so as to face the exhaust space 146, and a vacuum exhaust system 176 is connected to the exhaust port 174.
  • the vacuum exhaust system 176 has an exhaust passage 178 connected to the exhaust port 174, and a pressure regulating valve 180, a vacuum pump 182 and an abatement device (not shown) are sequentially passed through the exhaust passage 178.
  • the atmosphere in the processing container 132 and the exhaust space 146 is evacuated by evacuating while controlling the pressure.
  • the shower head unit 134 is connected to a source gas supply system 88 for supplying a first metal-containing source gas in order to supply a predetermined gas thereto.
  • the source gas supply system 88 for supplying the first metal-containing source gas has a source gas flow path 94 connected to the gas inlet 186 of the gas diffusion chamber 138.
  • the other end of the source gas channel 94 is connected to a first source tank 100 that houses a solid source 110 here. Further, an on-off valve 112 and a pressure gauge 114 for measuring the pressure in the source gas channel 94 are provided in the source gas channel 94. As the pressure gauge 114, for example, a capacitance manometer can be used.
  • the raw material gas flow path 94 has a relatively large diameter in order to promote vaporization because the vapor pressure of the raw material 110 used here is very low and difficult to vaporize, and the flow path length is set as short as possible.
  • the pressure in the first raw material tank 100 is set to be close to the pressure in the processing container 132.
  • a bubbling gas pipe 116 whose tip is located near the bottom of the first raw material tank 100 is inserted.
  • the bubbling gas pipe 116 is sequentially provided with a flow rate controller 118 such as a mass flow controller and an on-off valve 120.
  • the bubbling gas is introduced into the first raw material tank 100 while controlling the flow rate of the bubbling gas. Is gasified into raw material gas.
  • the generated raw material gas is supplied along with the bubbling gas.
  • N 2 gas is an inert gas
  • CO carbon monoxide oxygen
  • He may be used a noble gas such as Ar.
  • the first raw material tank 100 is provided with a tank heating unit 122 that heats the raw material 110 in order to promote vaporization.
  • granular solid ruthenium carbonyl (Ru 3 (CO) 12 ) containing ruthenium (Ru) is used as an example of the first metal.
  • the raw material gas flow path 94 and the on-off valve 122 interposed therein are provided with a tape heater, an aluminum block heater, a mantle heater, a silicon rubber heater or the like (not shown) to prevent the raw material gas from being condensed again. ) Is wound and these are heated.
  • an inert gas supply means for purging is connected to the shower head unit 134 so as to supply purge gas as necessary.
  • an inert gas such as N 2 gas, Ar gas, He gas, or Ne gas can be used.
  • a control unit 206 made of, for example, a computer is provided.
  • the gas supply start and stop control, the supply amount control, Pressure control, temperature control of the wafer W, and the like are performed.
  • the control unit 206 includes a storage medium 208 for storing a computer program for performing the above-described control.
  • the relationship between the flow rate of the bubbling gas, the flow rate of the raw material gas, and the measured value of the pressure gauge 114 at that time is stored in advance in the storage medium 208 as reference data.
  • the supply amount of the raw material gas is controlled by controlling the flow rate of the bubbling gas based on the measurement value of the pressure gauge 114.
  • the storage medium 208 for example, a flexible disk, a flash memory, a hard disk, a CD (Compact Disc), or the like can be used.
  • the controller 206 operates under the control of the system controller 34 (see FIG. 1).
  • the semiconductor wafer W is loaded into the processing container 132 through the gate valve G and the loading / unloading port 142 held by a transfer arm (not shown) and opened, and the wafer W is moved to the raised push-up pins 162. After the transfer, the push-up pins 162 are lowered to place the wafer W on the upper surface of the mounting table 156 and support it.
  • the raw material gas supply system 88 is operated to supply the raw material gas to the shower head unit 134 while controlling the flow rate, and this gas is injected from the gas injection hole 136 and introduced into the processing space S.
  • this gas is injected from the gas injection hole 136 and introduced into the processing space S.
  • the atmosphere in the processing container 132 and the exhaust space 146 is evacuated, and the opening degree of the pressure regulating valve 180 is adjusted to perform processing.
  • the atmosphere of the space S is maintained at a predetermined process pressure.
  • the temperature of the wafer W is heated by a resistance heater 158 provided in the mounting table 156 and maintained at a predetermined process temperature.
  • the first metal-containing film, that is, the Ru film here is formed on the surface of the semiconductor wafer W.
  • FIG. 4 is a configuration diagram illustrating an example of a third processing apparatus.
  • the third processing apparatus 12C is an apparatus for forming a second metal-containing film containing a second metal having a barrier property against the embedded metal on the wafer surface.
  • the second metal is Mn and the second metal-containing film is a MnOx film (sometimes including a Mn film).
  • the third processing apparatus 12C has substantially the same configuration as the second processing apparatus 12B, and only the raw material gas supply system is different. Therefore, the description will be made mainly with the source gas supply system, and the same components as those in the apparatus shown in FIG.
  • the source gas supply system 131 for supplying the source gas of the second metal-containing film is connected to the shower head unit 134 in order to supply a predetermined gas thereto.
  • the source gas supply system 131 has a source gas channel 133 connected to the gas inlet 186 of the gas diffusion chamber 138.
  • the raw material gas flow path 133 is connected to a second raw material tank 149 that accommodates the second metal-containing raw material 145 by sequentially providing an on-off valve 135 and a flow rate controller 137 such as a mass flow controller on the way.
  • a bubbling gas pipe 139 whose tip is located near the bottom of the second raw material tank 149 is inserted.
  • the bubbling gas pipe 139 is sequentially provided with a flow rate controller 141 such as a mass flow controller and an opening / closing valve 143.
  • the bubbling gas is introduced into the second raw material tank 149 while controlling the flow rate of the raw material 145. Is gasified to supply a raw material gas.
  • the generated raw material gas is supplied along with the bubbling gas.
  • H 2 gas which is a reducing gas is used as the bubbling gas.
  • the second raw material tank 149 is provided with a tank heating unit 147 for heating the raw material 145 in order to promote vaporization.
  • a liquid material (EtCp) 2 Mn (precursor) containing manganese (Mn) as examples of the raw material 145, the second metal.
  • an inert gas made of a rare gas such as N 2 , He, Ne, or Ar can be used as the reducing inert gas for bubbling instead of H 2 gas.
  • the raw material gas passage 133, the on-off valve 135 and the flow rate controller 137 provided in the raw material gas passage 133 are provided with a tape heater, an aluminum block heater, a mantle heater or a silicon rubber to prevent the raw material gas from being condensed again.
  • a heater or the like (not shown) is wound to heat these.
  • a purge gas supply means is connected to the shower head unit 134 so as to supply a purge gas as required.
  • a purge gas an inert gas such as N 2 gas, Ar gas, He gas, Ne gas, or a reducing gas such as H 2 can be used.
  • a control unit 206 made of, for example, a computer is provided, and the start and stop of the supply of each gas, the control of the supply amount, and the inside of the processing container 132 Pressure control, temperature control of the wafer W, and the like are performed.
  • the control unit 206 includes a storage medium 208 for storing a computer program for performing the above-described control.
  • a storage medium 208 for example, a flexible disk, a flash memory, a hard disk, a CD (Compact Disc), or the like can be used.
  • the controller 206 operates under the control of the system controller 34 (see FIG. 1).
  • the semiconductor wafer W is loaded into the processing chamber 132 through the gate valve G and the loading / unloading port 142 held by the transfer mechanism 20 (see FIG. 1) and opened, and the wafer W is raised. After being transferred to the push-up pins 160, the push-up pins 160 are lowered to place the wafer W on the upper surface of the mounting table 156 and support it.
  • the source gas supply system 131 of the second metal-containing source is operated to supply the film forming gas to the shower head unit 134 while controlling the flow rate, and this gas is injected from the gas injection hole 136, thereby processing space S. To introduce.
  • the atmosphere in the processing container 132 and the exhaust space 146 is evacuated, and the opening degree of the pressure regulating valve 180 is adjusted to perform processing.
  • the atmosphere of the space S is maintained at a predetermined process pressure.
  • the temperature of the wafer W is heated by a resistance heater 158 provided in the mounting table 156 and maintained at a predetermined process temperature.
  • a desired second metal-containing film that is, a MnOx film (including the Mn film in part) is formed on the surface of the semiconductor wafer W.
  • FIG. 5 is a block diagram showing an example of a fifth processing apparatus.
  • the same components as those shown in FIGS. 3 and 4 are denoted by the same reference numerals, and the description thereof is omitted.
  • the fifth processing apparatus 12E forms a Ru film, which is an example of the first metal-containing film, and a MnOx film (including the Mn film), which is an example of the second metal-containing film, with one processing apparatus. Be able to.
  • the fifth processing apparatus 12E includes, for example, an aluminum processing container 132 having a substantially circular cross section.
  • a shower head portion 42 serving as a gas introduction means is provided on the ceiling portion in the processing container 132 to introduce a necessary processing gas, for example, a film forming gas, and a large number of gas is provided on the lower surface of the gas injection surface.
  • the processing gas is injected toward the processing space S from the gas injection holes 42A and 42B.
  • the shower head portion 42 is formed with two hollow gas diffusion chambers 44A and 44B. After the processing gas introduced therein is diffused in the plane direction, each gas diffusion chamber 44A is diffused. , 44B are blown out from the gas injection holes 42A, 42B respectively communicated with each other. That is, the gas injection holes 42A and 42B are arranged in a matrix, and the gases injected from the gas injection holes 42A and 42B are mixed in the processing space S.
  • the entire shower head portion 42 is formed of nickel alloy such as nickel or Hastelloy (registered trademark), aluminum, or aluminum alloy, for example.
  • a sealing member 140 made of, for example, an O-ring or the like is interposed at the joint between the shower head portion 42 and the upper end opening of the processing container 132, so that the airtightness in the processing container 132 is maintained. ing.
  • the shower head unit 42 is supplied with a source gas supply system 88 for supplying a first metal-containing source gas and a source gas supply system 131 for supplying a second metal-containing source gas in order to supply a predetermined gas thereto.
  • the first metal-containing source gas supply system 88 includes a source gas flow path 94 connected to the gas inlet 102 of one of the two gas diffusion chambers.
  • the source gas supply system 131 for supplying the second metal-containing source gas has a source gas channel 133 connected to the gas inlet 92 of the other gas diffusion chamber 44A in the gas diffusion chamber.
  • an inert gas supply system for purging is connected to the shower head unit 42, and purge gas is supplied as necessary.
  • purge gas an inert gas such as N 2 gas, Ar gas, He gas, or Ne gas can be used.
  • the gas flow path 94 of the first metal-containing gas supply system 88 and the gas flow path 133 of the source gas supply system 131 of the second metal-containing raw material are connected to separate gas inlets 102 and 92 ( In the postmix method, these gas flow paths may be connected (premix method) to a shower head portion having only one gas diffusion chamber as shown in FIGS.
  • the MnOx film (including the Mn film) and the Ru film can be formed with this one processing apparatus.
  • FIG. 6 is a diagram showing an example of the state of thin film deposition in each step of the first embodiment of the method of the present invention
  • FIG. 7 is a flowchart showing the basic steps of the method of the present invention
  • FIG. 8 is for implementing the embedding step.
  • FIG. 9 is a timing chart showing the supply state of each gas when the first metal-containing film forming step is performed
  • FIG. 10 is an explanatory view for explaining a second embodiment of the method of the present invention. .
  • One of the features of the present invention is that when the second metal-containing film containing manganese (Mn) as the second metal is formed on the surface of the insulating layer made of the low-k film having a low relative dielectric constant,
  • the second metal-containing film is efficiently formed by interposing a first metal-containing film containing a first metal such as Ru.
  • the first metal-containing film can be a Ru film formed by the second processing apparatus 12B or the fifth processing apparatus 12E
  • the second metal-containing film is the third processing apparatus 12C or It is a MnOx film or a Mn film formed by the fifth processing apparatus 12E.
  • the Low-k material means a material having a relative dielectric constant lower than 4.1, which is the relative dielectric constant of the SiO 2 film.
  • the surface of the insulating layer 1 such as an interlayer insulating film formed on the wafer W as shown in FIG. 6A.
  • a recess 2 such as a trench or a hole is formed, and a lower wiring layer 3 made of copper or the like as a metal layer is exposed at the bottom of the recess 2.
  • the recess 2 is composed of a groove (trench) 2A having an elongated cross section and a hole 2B formed in a part of the bottom of the groove 2A.
  • the hole 2B is a contact hole or through hole. It becomes a hall.
  • the wiring layer 3 is exposed as a metal layer at the bottom of the hole 2B, and is electrically connected to a lower wiring layer and an element such as a transistor. Note that illustration of elements such as lower wiring layers and transistors is omitted.
  • the insulating layer 1 serving as a base film is made of a low-k film, such as SiOC, which is a low dielectric constant material having a relative dielectric constant lower than 4.1.
  • the surface of the semiconductor wafer W in such a state is first subjected to degassing or cleaning as pretreatment to clean the surface in the recess 2.
  • This cleaning process is performed by the first processing apparatus 12A (see FIG. 1). This as a washing process, H 2 plasma treatment as described above, Ar plasma treatment, dry cleaning process using an organic acid, or a cleaning process using the Hot-Wire atomic hydrogen is applied.
  • the film forming method of the present invention includes a first embodiment and a second embodiment.
  • FIG. 7 shows a flowchart of the first embodiment of the film forming method.
  • a first metal-containing film containing a first metal for example, Ru
  • a metal-containing film forming step is performed (S1).
  • a second metal-containing film forming step for forming a second metal-containing film containing Mn as a second metal having a barrier property with respect to the embedded metal, for example, Cu, embedded in the recess 2 is performed (S2).
  • the barrier layer of the present invention consisting of one layer of the first metal-containing film and one layer of the second metal-containing film is formed.
  • a first metal-containing film forming step for forming a first metal-containing film 210 is performed on the surface of the wafer W after the pretreatment as shown in FIG. 6B (S1 in FIG. 7). This step is performed by the second processing apparatus 12B or the fifth processing apparatus 12E (see FIG. 2). As a result, the first metal-containing film 210 is formed on the entire wafer surface including the surface in the recess 2.
  • the first metal-containing film 210 is made of a Ru film.
  • the formation of the first metal-containing film 210 is preferably a CVD (Chemical Vapor Deposition) method, but may be an ALD method (Atomic Layered Deposition) method.
  • the ALD method refers to a film forming method in which different film forming gases are alternately supplied to repeatedly form and stack atomic or molecular level thin films one by one.
  • the Ru film that is the first metal-containing film 210 is very excellent in wettability, and the Mn-containing film is efficiently deposited by the subsequent process to efficiently deposit the Mn-containing film. It becomes possible.
  • the first metal-containing film 210 may be formed using any of CVD, ALD, and sputtering. In the case of performing the sputtering method, a sputtering film forming apparatus that performs sputtering using Ru metal as a target is used as the second processing apparatus 12B.
  • a second metal-containing film forming step (S2 in FIG. 7) is then performed to fill the surface of the wafer W as shown in FIG. A second metal-containing film 212 having a barrier property against the metal is formed. This step is performed by the third processing apparatus 12C or the fifth processing apparatus 12E. As a result, the second metal-containing film 212 is formed on the entire wafer surface including the surface in the recess 2.
  • the second metal-containing film 212 is made of a MnOx film (a part of which contains a Mn film). Specifically, the Mn film deposited on the side wall in the recess 2 or on the upper surface of the wafer reacts with the oxygen component in the insulating layer 1 penetrating the underlying first metal-containing film (Ru film) to react with the MnOx film. The Ru film deposited on the wiring layer (Cu) 3 exposed at the bottom surface in the recess 2 exists as a metal film as it is.
  • the barrier layer 214 is formed by the first metal-containing film (Ru film) and the second metal-containing film (MnOx film, Mn film).
  • the second metal-containing film can be formed by CVD, ALD, or the like.
  • an embedding step is then performed as shown in FIG. 6D to fill the recess 2 with the embedded metal 216 (S3 in FIG. 7).
  • This embedding process is performed by the fourth processing apparatus 12D.
  • the buried metal 216 is formed on the entire wafer surface at the same time as the recess 2 is completely buried.
  • Cu Cu film
  • the buried metal 216 may be formed by any of CVD, ALD, PVD (sputtering), supercritical CO 2 and plating.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PVD vapor deposition
  • supercritical CO 2 plating
  • the film forming process is completed, and thereafter, the excess embedded metal 216 and the like on the wafer surface are scraped off by the CMP process.
  • the first metal-containing film containing the first metal such as Ru as the base film is formed prior to the formation of the second metal-containing film 212 containing the second metal having a barrier property with respect to the buried metal, that is, Mn.
  • the second metal-containing film can be formed efficiently. Therefore, the barrier property of the formed barrier layer 214 can be increased.
  • the first metal-containing film forming step (S1) for forming the first metal-containing film 210 (Ru film) is performed by three methods: CVD, ALD, and sputtering. Any of the above can be used.
  • the CVD method which is the first method, is performed by a method as shown in FIG. 9A or 9B. That is, the film formation method illustrated in FIG. 9A is performed using the second film formation apparatus 12B illustrated in FIG. 3 or the fifth film formation apparatus 12E illustrated in FIG.
  • a Ru-containing source gas made by vaporizing a source material 110 made of ruthenium carbonyl is flowed together with a bubbling gas and thermally decomposed by a CVD method to contain the first metal made of a Ru film.
  • a film 210 is formed.
  • the process conditions at this time are a process pressure within a range of 0.1 mTorr to 200 mTorr, more preferably within a range of 2 mTorr to 50 mTorr, and a process temperature within a range of 50 to 500 ° C., more preferably 150 ° C. to 350 ° C. .
  • the gas flow rate is controlled by controlling the flow rate of the bubbling gas based on the measured value of the pressure gauge 114 as described above.
  • the flow rate of the bubbling gas is in the range of 0.1 to 1000 sccm.
  • H 2 is used as a reducing gas.
  • the CVD method using H 2 uses a processing apparatus in which an additional H 2 gas supply system is additionally connected to the shower head unit 134 in the second processing apparatus 12B shown in FIG.
  • flow of the material gas and H 2 gas containing Ru simultaneously forming a first metal-containing layer 210 made of Ru film so as to accelerate the decomposition or reduction of the source gas including Ru with H 2 gas To do.
  • there is an effect of improving the material properties of the Ru film by the amount of supply of the reducing gas for example, reduction of the electric resistance of the Ru film.
  • the process conditions such as process pressure and process temperature at this time are the same as those described with reference to FIG.
  • the ALD method is a second method shown in FIG. 9 (C), using the processing device described in FIG. 9 (B), the intermittent and H 2 gas as a reducing gas as a raw material gas containing Ru alternately
  • the first metal-containing film 210 made of a Ru film is formed by laminating and thinly depositing thin films at the atomic level or molecular level.
  • the reducing gas is not limited to H 2 , and CO, silicon-containing gas, boron-containing gas, nitrogen-containing gas, or the like can be used.
  • the silicon-containing gas include SiH 4 , Si 2 H 6 , SiCl 2 H 2, etc.
  • examples of the boron-containing gas include BH 3 , B 2 H 5 , B 3 H 9
  • examples of the nitrogen-containing gas include NH 3 .
  • a sputtering film forming apparatus is used as the second processing apparatus 12B, and a Ru film is formed on the surface of the wafer W by sputtering using Ru metal as a target. 1 A metal-containing film 210 is formed.
  • the second metal-containing film formation step (S2) for forming the second metal-containing film 212 (MnOx film, Mn-containing film including Mn film) using the third processing apparatus 12C is performed by the CVD method.
  • a raw material 145 containing Mn is supplied by bubbling with hydrogen as a reducing gas, and the second metal-containing film 212 containing Mn is formed by a thermal CVD method.
  • the Mn film reacts with the oxygen component of the insulating layer 1 penetrating the underlying Ru film, and finally the MnOx film is formed.
  • the process conditions at this time are a process temperature (wafer temperature “hereinafter the same”) of 70 to 450 ° C. and a process pressure of about 1 to 13 kPa.
  • the flow rate of the source gas containing Mn is not particularly limited, but is about 0.1 to 10 sccm in consideration of the film forming speed and the like.
  • the filling step (S3) for filling the recess 2 is one of five methods: CVD, ALD, PVD (sputtering), plating, and supercritical CO 2 . Can be used. In the case of using a plating method or a supercritical CO 2 method, a seed film made of a conductive metal such as Cu may be formed before the embedding process. Further, it is preferable to perform an annealing process after the embedding process.
  • a Cu-containing source gas and a H 2 gas as a reducing gas are simultaneously flowed, a Cu film is formed by the CVD method, and the recess 2 is embedded.
  • the Cu-containing source gas and the H 2 gas are alternately and repeatedly flowed, for example, as described with reference to FIG. 9C.
  • H 2 gas is not flowing, intermittently flowing Cu-containing source gas may be formed Cu film made of Cu film by simple thermal decomposition reaction.
  • the process conditions at this time are a process temperature of about 70 to 350 ° C. and a process pressure of about 1 Pa to 13 kPa.
  • the flow rate of the Cu-containing source gas is about 1 to 100 sccm, and the flow rate of H 2 gas is about 5 to 500 sccm.
  • a thin film is more easily deposited on the inner wall of the fine recess than in the plating method, so even if the recess is further miniaturized, the recess is formed without causing voids or the like inside. Can be embedded.
  • the annealing treatment is intended to reliably form the Mn barrier film, and is therefore performed at a sufficiently high temperature for the self-formation of the Mn barrier film in the previous step, for example, at a high process temperature of 100 to 150 ° C. or higher. In other words, since the Mn barrier film is already formed with a sufficient thickness, the annealing treatment can be dispensed with.
  • the Cu-containing source gas Cu (I) hfac TMVS (copper complex), Cu (hfac) 2 , Cu (divm) 2, etc. as disclosed in JP 2001-053030 A are used. Can do.
  • the surface is formed.
  • a second metal having a barrier property with respect to the buried metal embedded in the recess that is, a second metal-containing film containing Mn
  • the first metal containing a first metal such as Ru as a base film
  • the first embodiment of the method of the present invention is an example in which the barrier layer 214 is formed by laminating the first metal-containing film 210 made of Ru film and the second metal-containing film 212 made of MnOx film one by one.
  • the present invention is not limited to this, and the first metal-containing film 210 and the second metal-containing film 212 are alternately stacked, and the uppermost layer is the first metal-containing film 210 and the barrier layer 214 is formed as a whole. You may make it form. That is, more first metal-containing films 210 are formed.
  • FIG. 10 is an explanatory diagram for explaining a second embodiment of the film forming method of the present invention.
  • FIG. 10 (A) shows a flowchart
  • FIG. 10 (B) shows an example of a laminated structure of barrier layers.
  • FIG. The same parts as those shown in FIGS. 6 and 7 are denoted by the same reference numerals, and the description thereof is omitted.
  • the first metal-containing film forming step S1 and the second metal-containing film forming step S2 are performed alternately so that the first metal-containing film forming step S1 is predetermined. If the predetermined number of times has been performed (YES in S1-1), the recess embedding step (S3) is performed without performing the second metal-containing film forming step S2.
  • “n” is a positive integer greater than or equal to 2, such as 2, 3, 4, 5,.
  • FIG. 10B shows a case where the predetermined number n is “2”, and the barrier layer 214 includes one second metal-containing film (MnOx film or the like) between two first metal-containing films (Ru films) 210. ) 212 is interposed.
  • the Ru film of the first metal-containing film 210 as the uppermost layer has a seed function with respect to Cu that is a buried metal of the recess 2.
  • the recess 2 when the recess 2 is embedded by Cu plating, it is not necessary to form a Cu seed film by sputtering or the like prior to that, and the Cu plating process can be directly performed using the uppermost Ru film as a seed film. it can.
  • the first metal-containing film 210 and the second metal-containing film 212 may be alternately and repeatedly laminated a plurality of times. In this case, the uppermost layer is formed on the first metal-containing film 210.
  • the barrier layer 214 is formed as described above as described above.
  • the barrier layer 214 is formed by laminating the first metal-containing film 210 and the second metal-containing film 212.
  • the present invention is not limited to this.
  • an alloy film including the first metal, the second metal, and the third metal which is a material of the buried metal may be formed and used as a barrier layer.
  • FIG. 11 is an explanatory diagram for explaining a third embodiment of the film forming method of the present invention.
  • FIG. 11 (A) shows a flowchart
  • FIG. 11 (B) shows an example of the structure of the barrier layer. It is sectional drawing shown.
  • the same parts as those shown in FIGS. 6 and 7 are denoted by the same reference numerals, and the description thereof is omitted. As shown in FIGS.
  • An alloy film forming step S1-2 to be formed is performed.
  • the alloy film 220 formed in this way becomes the barrier layer 214.
  • This barrier layer 214 has a barrier property against Cu because the constituent material contains a Mn material, and at the same time has a seed property against Cu since it also contains Cu element. When the treatment is performed, the formation of the Cu seed film can be omitted.
  • a source gas supply system containing Cu is additionally connected to the shower head portion 42 of the fifth processing apparatus 12E shown in FIG. do it.
  • a barrier layer made of an alloy film containing a first metal such as Ru, Mn as the second metal, and a third metal such as Cu.
  • Ru is used as the first metal
  • the present invention is not limited to this, and other metals such as Fe, Co, Ni, Rh, Pd, Os, Ir, Pt
  • One selected from the group consisting of Ti, Ta, Zr, W, Al, V, and Cr, or an alloy thereof can also be used.
  • the film forming method using thermal CVD and thermal ALD has been described as an example.
  • the present invention is not limited to this, and plasma CVD, plasma ALD, optical CVD using ultraviolet rays or laser light, optical ALD, or the like is used.
  • a membrane method may be used.
  • the present invention is not limited to this, and other low-k materials, for example, O (oxygen) in the film ) Or C (carbon) -containing SiOC film, SiCOH film, SiCN film, porous silica film, porous methylsilsesquioxane film, polyarylene film, SiLK (registered trademark) film, and fluorocarbon film. In some cases, it may be formed of one or more films.
  • each processing apparatus described here is merely an example.
  • a heating lamp such as a halogen lamp may be used as a heating unit instead of a resistance heater, and the heat treatment apparatus is a single wafer type. It may be of a batch type as well.
  • the present invention is not limited to film formation by heat treatment.
  • the shower heads 42 and 134 are used as upper electrodes
  • the mounting table 156 is used as a lower electrode
  • high-frequency power is applied between both electrodes as necessary to generate plasma.
  • plasma assistance may be applied during film formation.
  • a semiconductor wafer has been described as an example of an object to be processed here, the present invention is not limited to this, and the present invention can be applied to a glass substrate, an LCD substrate, a ceramic substrate, and the like.
  • a sufficiently thin and uniform barrier layer can be formed in all the concave portions even if various sizes of trenches and holes are mixed on the semiconductor wafer. Therefore, in the Cu multilayer wiring, the technology of the present invention can be applied from the lower local wiring to the upper global wiring, and the Cu multilayer wiring can be miniaturized. As an effect obtained by this, it is possible to make a small and high-speed and reliable electronic device by increasing the speed and miniaturization of a semiconductor device (device).

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

 底面に金属層(3)が露出する凹部(2)を有するlow-k膜からなる絶縁層(1)が表面に形成された被処理体に対して成膜処理を施す成膜方法は、第1の金属例えばルテニウム(Ru)を含む第1金属含有膜を形成する第1金属含有膜形成工程と、前記第1金属含有膜形成工程の後に行われ、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属例えばマンガン(Mn)を含む第2金属含有膜を形成する第2金属含有膜形成工程とを有する。

Description

バリヤ層、成膜方法及び処理システム
 本発明は、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体、例えば半導体ウエハに対して成膜処理を施すための技術に関する。
 一般に、半導体デバイスを製造するには、半導体ウエハに成膜処理やパターンエッチング処理等の各種の処理を繰り返し行って所望のデバイスを製造するが、半導体デバイスの更なる高集積化及び高微細化の要請より、線幅やホール径が益々微細化されている。そして、配線材料や、トレンチ、ホールなどの凹部内への埋め込み材料としては、各種寸法の微細化により、より電気抵抗を小さくする必要から電気抵抗が非常に小さくて且つ安価である銅を用いる傾向にある(特開2004-107747号公報を参照)。そして、この配線材料や埋め込み材料として銅を用いる場合には、その下層への銅の拡散バリヤ性等を考慮して、一般的にはタンタル金属(Ta)やタンタル窒化膜(TaN)等がバリヤ層として用いられる。 
 そして、上記凹部内を銅で埋め込むには、まずプラズマスパッタ装置内にて、この凹部内の壁面全体を含むウエハ表面全面に銅膜よりなる薄いシード膜を形成し、次にウエハ表面全体に銅メッキ処理を施すことにより、凹部内を完全に埋め込むようになっている。その後、ウエハ表面の余分な銅薄膜をCMP(Chemical MechanicalPolishing)処理等により研磨処理して取り除くようになっている。 
 この点については図12を参照して説明する。図12は半導体ウエハの凹部の従来の埋め込み工程を示す図である。この半導体ウエハWに形成された、例えばSiO膜よりなる層間絶縁膜などの絶縁層1の表面には、Single Damascene構造、Dual Damascene構造、三次元実装構造等により、ビアホールやスルーホールや溝(トレンチ)等に対応する凹部2が形成されており、この凹部2の底部には、例えば銅よりなる下層の配線層3が露出状態で形成されている。 
 具体的には、この凹部2は、細長く形成された断面凹状の溝(トレンチ)2Aと、この溝2Aの底部の一部に形成されたホール2Bとよりなり、このホール2Bがビアホールやスルーホールとなる。そして、このホール2Bの底部に上記配線層3が露出しており、下層の配線層やトランジスタ等の素子と電気的な接続を行うようになっている。なお、下層の配線層やトランジスタ等の素子については図示を省略している。上記凹部2は設計ルールの微細化に伴ってその幅、或いは内径は例えば120nm程度と非常に小さくなっており、アスペクト比は例えば2~4程度になっている。なお、拡散防止膜およびエッチングストップ膜等については、図示を省略し形状を単純化して記載している。
 この半導体ウエハWの表面には上記凹部2内の内面も含めて略均一に例えばTaN膜及びTa膜の積層構造よりなるバリヤ層4がプラズマスパッタ装置にて予め形成されている(図12(A)参照)。そして、プラズマスパッタ装置にて上記凹部2内の表面を含むウエハ表面全体に亘って金属膜として薄い銅膜よりなるシード膜6を形成する(図12(B)参照)。上記ウエハ表面に銅メッキ処理を施すことにより上記凹部2内を例えば銅膜よりなる金属膜8で埋め込むようになっている(図12(C)参照)。その後は、上記ウエハ表面の余分な金属膜8、シード膜6及びバリヤ層4を上記したCMP処理等を用いて研磨処理して取り除くことになる。
 そして、上記バリヤ層の更なる信頼性の向上を目標として種々の開発がなされており、中でも上記Ta膜やTaN膜に代えてMn膜やCuMn合金膜を用いた自己形成バリヤ層が注目されている(特開2005-277390号公報を参照)。このMn膜やCuMn合金膜は、スパッタリングにより成膜されて、更にこのMn膜やCuMn合金膜自体がシード膜となるので、この上方にCuメッキ層を直接形成できメッキ後にアニールを施すことで自己整合的に下層の絶縁膜であるSiO層と反応して、このSiO層とMn膜やCuMn合金膜との境界部分にMnSixOy(x、y:任意の正数)膜、或いはMnとSiO2 層の酸素とが反応することにより生ずるマンガン酸化物MnOx(x:任意の正数)膜というバリヤ膜が形成されるため、製造工程数も削減できる、という利点を有する。なおマンガン酸化物は、Mnの価数によってMnO、MnO4、Mn、MnO等の種類が存在するが、本明細書中では、これらを総称してMnOxと記述する。またスパッタ法に比べて微細な線幅やホール径に対して良好な段差被覆性で膜を堆積することができるCVD法によりMnSixOy膜、あるいはMnOx膜の成膜をおこなうことが検討されている(特開2008-013848号公報を参照)。
 ところで、最近にあっては、半導体装置の更なる高速動作の要請から層間絶縁膜の比誘電率をより低くすることが求められており、このような要請から、層間絶縁膜の材料としてTEOSにより形成したシリコン酸化膜から、より比誘電率の低い材料として例えばメチル基等の有機基を含んだSiOC、SiCOHなどからなるlow-k膜を用いることが検討されている。ここで上記TEOSを用いて形成したシリコン酸化膜の比誘電率は4.1程度であり、SiOCの比誘電率は3.0程度である。しかしながら、層間絶縁膜としてlow-k膜を用いた場合には、この凹部内の露出面を含めて比誘電率の低い層間絶縁膜の表面にCVD法によりMn含有膜の成膜処理を施してもMnOx膜がほとんど堆積しないので、バリヤ層を形成することができない、といった問題がある。
 本発明は、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対してバリヤ性を有する薄膜を効率的に形成する技術を提供する。
 本発明者は、比誘電率の低い絶縁層の表面にMnやMnOx等のMn含有膜を堆積させる方法について鋭意研究した結果、Mn含有膜の成膜処理を行う前に絶縁層の表面に例えばRu等の金属膜を下地膜として施しておくことにより、Mn含有膜を効率的に堆積させることができる、という知見を得ることにより本発明に至ったものである。
 本発明の第1の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す成膜方法において、第1の金属を含む第1金属含有膜を形成する第1金属含有膜形成工程と、前記第1金属含有膜形成工程の後に行われ、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜を形成する第2金属含有膜形成工程と、を有し、前記第2の金属がMnである、成膜方法が提供される。
 上記第1の観点に係る成膜方法において、前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とを交互に行ってもよく、この場合、最後に前記第1金属含有膜形成工程を行うことができる。
 上記第1の観点に係る成膜方法において、前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とは同一の処理容器内で連続的に行うことができる。
 本発明の第2の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す成膜方法において、第1の金属と、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属と、前記埋め込み金属の材料である第3の金属と、を含む合金膜を形成する合金膜形成工程を有し、前記第2の金属がMnである、成膜方法が提供される。
 上記第1および第2の観点に係る成膜方法は、前記凹部内を前記埋め込み金属で埋め込む埋め込み工程をさらに有することができる。
 上記第1および第2の観点に係る成膜方法において、前記low-k膜は、SiOC膜とSiCOH膜とSiCN膜とポーラスシリカ膜とポーラスメチルシルセスキオキサン膜とポリアリレン膜とSiLK(登録商標)膜とフロロカーボン膜とよりなる群から選択される1つ以上の膜より構成することができる。
 上記第1および第2の観点に係る成膜方法において、前記第1の金属は、Ru、Fe、Co、Ni、Rh、Pd、Os、Ir、Pt、Ti、Ta、Zr、W、Al、V、Crよりなる群から選択される1以上の元素とすることができる。 
 上記第1および第2の観点に係る成膜方法において、前記第2の金属含有膜の形成に用いるMn含有原料として、Cp Mn[=Mn(C H]、(MeCp) Mn[=Mn(CH]、(EtCp) Mn[=Mn(C]、(i-PrCp)Mn[=Mn(C]、MeCpMn(CO)[=(CH)Mn(CO)]、(t-BuCp) Mn[=Mn(C]、CHMn(CO) 、Mn(DPM)[= Mn(C1119]、Mn(DMPD)(EtCp)[=Mn(C11)]、Mn(acac)[=Mn(C ) ]、Mn(DPM)[=Mn(C1119]、Mn(acac)[=Mn(C]、Mn(hfac)[=Mn(CHF]、(( CHCp)Mn[=Mn((CH]、[Mn(iPr-AMD)][=Mn(CNC(CH)NC]、[ Mn(tBu-AMD)][=Mn(CNC(CH)NC]よりなる群から選択される1以上の材料を用いることができる。
 上記第1および第2の観点に係る成膜方法において、前記埋め込み金属は、銅とすることができる。
 本発明の第3の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体の前記凹部内を埋め込み金属で埋め込む際に前記埋め込み金属の下層に介在されるバリヤ層において、第1の金属を含む第1金属含有膜と、前記第1金属含有膜上に形成されて前記埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜とからなり、前記第2の金属がMnであるバリヤ層が提供される。
 上記第3の観点に係るバリヤ層において、前記第1金属含有膜と前記第2金属含有膜とを交互に積層することができ、この場合、最上層は前記第1金属含有膜とすることが好適である。
 本発明の第4の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体の前記凹部内を埋め込み金属で埋め込む際に前記埋め込み金属の下層に介在されるバリヤ層において、第1の金属と、前記埋め込み金属に対してバリヤ性を有する第2の金属と、前記埋め込み金属の材料である第3の金属と、を含む合金膜よりなり、前記第2の金属がMnであるバリヤ層が提供される。
 本発明の第5の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、前記被処理体の表面に第1の金属を含む第1金属含有膜を形成する処理装置と、前記被処理体の表面に前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する処理装置と、前記被処理体の表面に前記埋め込み金属の材料である第3の金属の薄膜を形成する処理装置と、前記各処理装置が連結された共通搬送室と、前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、上記の第1の観点に係る成膜方法を実施するように処理システム全体を制御するシステム制御部と、を備えた処理システムが提供される。
 本発明の第6の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、前記被処理体の表面に第1の金属を含む第1金属含有膜を形成する成膜処理と前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する成膜処理とを行う処理装置と、前記被処理体の表面に前記埋め込み金属の材料である第3の金属の薄膜を形成する処理装置と、前記各処理装置が連結された共通搬送室と、前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、上記の第1の観点に係る成膜方法を実施するように処理システム全体を制御するシステム制御部と、を備えたことを処理システムが提供される。
 本発明の第7の観点によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、 前記被処理体の表面に第1の金属と、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnと、前記埋め込み金属の材料である第3の金属と、を含む合金膜を形成する処理装置と、前記処理装置が連結された共通搬送室と、前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、 上記の第2の観点に係る成膜方法を実施するように処理システム全体を制御するシステム制御部と、を備えた処理システムが提供される。
本発明の処理システムの第1実施例を示す概略構成図である。 本発明の処理システムの第2実施例を示す概略構成図である。 第2の処理装置の一例を示す構成図である。 第3の処理装置の一例を示す構成図である。 第5の処理装置の一例を示す構成図である。 本発明方法の第1実施例の各工程における薄膜の堆積状況の一例を示す図である。 本発明方法の基本的な各工程を示すフローチャートである。 埋め込み工程を実施するための各種態様を示す図である。 第1金属含有膜形成工程を実施する時の各ガスの供給状態を示すタイミングチャートである。 本発明方法の第2実施例を説明する説明図である。 本発明の成膜方法の第3実施例を説明するための説明図である。 半導体ウエハの凹部の従来の埋め込み工程を示す図である。
 以下に、本発明に係るバリヤ層、成膜方法及び処理システムの一実施例を添付図面に基づいて詳述する。
 <処理システム>
 まず、本発明の成膜方法を実施するための処理システムについて説明する。図1は本発明の処理システムの第1実施例を示す概略構成図、図2は本発明の処理システムの第2実施例を示す概略構成図である。
 まず第1実施例について説明すると、図1に示すように、この処理システム10は、複数、例えば4つの処理装置12A、12B、12C、12Dと、略六角形状の共通搬送室14と、ロードロック機能を有する第1及び第2ロードロック室16A、16Bと、細長い導入側搬送室18とを主に有している。
 ここでは、上記4つの処理装置12A~12Dの内、1つ目の処理装置、例えば処理装置12Aは被処理体である半導体ウエハに対して前処理を行う第1の処理装置12Aとして構成され、2つ目の処理装置、例えば処理装置12Bは半導体ウエハWに対してRu等の第1の金属を含む第1金属含有膜を形成する第2の処理装置12Bとして構成され、3つ目の処理装置、例えば処理装置12Cは半導体ウエハWに対して後述するウエハの凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する例えばMn等の第2の金属を含む第2金属含有膜を形成する第3の処理装置12Cとして構成され、4つ目の処理装置、例えば処理装置12Dは半導体ウエハWに対して埋め込み金属の材料である第3の金属として例えば銅膜を堆積させる第4の処理装置12Dとして構成されている。
 ここで、上記第1及び第4の処理装置12A、12Dは、ここに設けなくてもよく、この処理システム10以外に設けた別の処理装置において上記第1及び第4の処理装置における各処理を行うようにしてもよい。また上記第1の処理装置12Aとしては、一般的な清浄処理装置が用いられ、第4の処理装置12Dとしては熱CVD等の熱成膜処理装置が用いられる。
 具体的には、略六角形状の上記共通搬送室14の4辺に上記各処理装置12A~12Dが接合され、他側の2つの辺に、上記第1及び第2ロードロック室16A、16Bがそれぞれ接合される。そして、この第1及び第2ロードロック室16A、16Bに、上記導入側搬送室18が共通に接続される。
 上記共通搬送室14と上記4つの各処理装置12A~12Dとの間及び上記共通搬送室14と上記第1及び第2ロードロック室16A、16Bとの間は、それぞれ気密に開閉可能になされたゲートバルブGが介在して接合されて、クラスタツール化されており、必要に応じて共通搬送室14内と連通可能になされている。ここで、この共通搬送室14内は真空引きされている。また、上記第1及び第2各ロードロック室16A、16Bと上記導入側搬送室18との間にも、それぞれ気密に開閉可能になされたゲートバルブGが介在されている。この第1及び第2のロードロック室16A、16Bは真空引き、及び大気圧復帰がウエハの搬出入に伴って繰り返される。
 そして、この共通搬送室14内においては、上記2つの各ロードロック室16A、16B及び4つの各処理装置12A~12Dにアクセスできる位置に、屈伸及び旋回可能になされた多関節アームよりなる搬送機構20が設けられており、これは、互いに反対方向へ独立して屈伸できる2つのピック20A、20Bを有しており、一度に2枚のウエハを取り扱うことができるようになっている。尚、上記搬送機構20として1つのみのピックを有しているものも用いることができる。
 上記導入側搬送室18は、横長の箱体により形成されており、この横長の一側には、被処理体である半導体ウエハを導入するための1つ乃至複数の、図示例では3つの搬入口が設けられ、各搬入口には、開閉可能になされた開閉ドア22が設けられる。そして、この各搬入口に対応させて、導入ポート24がそれぞれ設けられ、ここにそれぞれ1つずつカセット容器26を載置できるようになっている。各カセット容器26には、複数枚、例えば25枚のウエハWを等ピッチで多段に載置して収容できるようになっている。このカセット容器26内は、例えば密閉状態になされており、内部にはNガス等の不活性ガスの雰囲気に満たされている。
 この導入側搬送室18内には、ウエハWをその長手方向に沿って搬送するための導入側搬送機構28が設けられる。この導入側搬送機構28は、屈伸及び旋回可能になされた2つのピック28A、28Bを有しており、一度に2枚のウエハWを取り扱い得るようになっている。この導入側搬送機構28は、導入側搬送室18内に、その長さ方向に沿って延びるように設けた案内レール30上にスライド移動可能に支持されている。
 また、導入側搬送室18の一方の端部には、ウエハの位置合わせを行なうオリエンタ32が設けられる。上記オリエンタ32は、駆動モータによって回転される回転台32Aを有しており、この上にウエハWを載置した状態で回転するようになっている。この回転台32Aの外周には、ウエハWの周縁部を検出するための光学センサ32Bが設けられ、これによりウエハWの位置決め切り欠き、例えばノッチやオリエンテーションフラットの位置方向やウエハWの中心の位置ずれ量を検出できるようになっている。
 この処理システム10はシステム全体の動作を制御するために、例えばコンピュータ等よりなるシステム制御部34を有している。そして、この処理システム全体の動作制御に必要なプログラムはフレキシブルディスクやCD(Compact Disc)やハードディスクやフラッシュメモリ等の記憶媒体36に記憶されている。具体的には、このシステム制御部34からの指令により、各ガスの供給の開始、停止や流量制御、プロセス温度(ウエハ温度)、プロセス圧力(各処理装置の処理容器内の圧力)の制御、ウエハの搬送作業等が行われる。
 このように、構成された処理システム10における概略的な動作について説明する。まず、導入ポート24に設置されたカセット容器26からは、未処理の半導体ウエハWが導入側搬送機構28により導入側搬送室18内に取り込まれ、この取り込まれたウエハWは導入側搬送室18の一端に設けたオリエンタ32へ搬送されて、ここで位置決めがなされる。上記ウエハWは例えばシリコン基板よりなり、この表面には凹部2(図12参照)が予め形成されている。
 位置決めがなされたウエハWは、上記導入側搬送機構28により再度搬送され、第1或いは第2のロードロック室16A、16Bの内のいずれか一方のロードロック室内へ搬入される。このロードロック室内が真空引きされた後に、予め真空引きされた共通搬送室14内の搬送機構20を用いて、上記ロードロック室内のウエハWが共通搬送室14内に取り込まれる。
 そして、この共通搬送室14内へ取り込まれた未処理のウエハは、まず第1の処理装置12A内に搬入され、ここでウエハWに対して前処理が行われる。この前処理としては、一般的なデガス(degas)処理やウエハ表面の凹部2内の洗浄処理が行われる。この洗浄処理としては、Hプラズマ処理、Arプラズマ処理、有機酸を用いたドライクリーニング処理、或いはHot-Wire原子状水素を用いたクリーニング処理等が行われる。
 この前処理が完了したウエハWは、次に第2の処理装置12B内へ搬入され、ここでウエハWの表面に上述したようにRu等の第1の金属を含む第1金属含有膜を形成する第1金属含有膜形成工程が行われる。この第1金属含有膜形成工程では、後述するように、第1の金属を含む第1金属含有原料ガスを用いて熱CVD等の熱処理を行うことにより成膜が行われる。この成膜としては例えばRu(ルテニウム)膜が形成される。
 この第1金属含有膜形成工程が完了したウエハWは、次に第3の処理装置12C内へ搬入され、ここでウエハWの表面に凹部の埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜を形成する第2金属含有膜形成工程が行われる。この第2金属含有膜としては、例えばMnOx膜やMn膜等が形成される。このように、上記Ru膜とMnOx膜やMn膜との層構造でCu膜に対するバリヤ層が形成されることになる。
 この第2金属含有膜形成工程が完了したウエハWは、次に第4の処理装置12D内へ搬入され、ここでウエハWの表面に埋め込み金属である第3の金属として、例えば銅膜を堆積して上記凹部2内を埋め込む埋め込み工程が行われる。尚、成膜方法の実施例によっては、第1金属含有膜形成工程や第2金属含有膜形成工程を繰り返す場合もある。そして、上記埋め込み工程が完了したならば、この処理システム10での処理は完了することになる。この処理済みのウエハWは、いずれか一方のロードロック室16A又は16B、導入側搬送室18を経由して導入ポート24の処理済みウエハ用のカセット容器26内へ収容されることになる。尚、共通搬送室14内は、ArやHe等の希ガスやドライN等の不活性ガスの雰囲気で減圧状態になされている。
 上記処理システムの第1実施例の場合には、第2の処理装置12Bと第3の処理装置12Cとを別々に設けて、第1金属含有膜形成工程と第2金属含有膜形成工程とをそれぞれ別の処理装置で行うようにしたが、これらの両工程を同一の処理装置内で行うようにしてもよい。このような処理システムが図2に示す第2実施例である。尚、図2においては、図1に示した構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
 図2に示すように、ここでは図1中の第2の処理装置12Bに替えて5つ目の処理装置として第5の処理装置12Eを設けており、第3の処理装置12C(図1参照)は設置していない。この第5の処理装置12Eにおいて、後述するように第1金属含有膜である、例えばRu膜と第2金属含有膜である例えばMnOx膜等とが連続的に成膜されることになる。この場合には、処理装置の設置台数が一台減少した分だけ、設備コストを削減することができる。また第3の処理装置12Cがあった位置に、スループット向上のため第4の処理装置12Dをもう一台設けてもよい。
 <第2の処理装置12Bの説明>
 前述したように、第1の処理装置12Aは一般的な洗浄処理装置なので、その説明は省略し、上記第2の処理装置12Bについて説明する。図3は第2の処理装置の一例を示す構成図である。この第2の処理装置12Bは、前述したように第1の金属を含む第1金属含有原料ガスを用いて熱処理によりウエハ表面に第1金属含有膜を形成する装置であり、ここでは第1金属含有膜としてRu膜よりなる金属膜を熱CVD法により形成する場合を例にとって説明する。
 この第2の処理装置12Bは、例えば断面の内部が略円形状になされたアルミニウム製の処理容器132を有している。この処理容器123内の天井部には必要な処理ガス、例えば成膜ガス等を導入するためにガス導入手段であるシャワーヘッド部134が設けられており、この下面のガス噴射面に設けた多数のガス噴射孔136から処理空間Sに向けて処理ガスを噴射するようになっている。
 このシャワーヘッド部134内には、中空状のガス拡散室138が形成されており、ここに導入された処理ガスを平面方向へ拡散した後、ガス拡散室138に連通されたガス噴射孔136より吹き出すようになっている。このシャワーヘッド部134の全体は、例えばニッケルやハステロイ(登録商標)等のニッケル合金、アルミニウム、或いはアルミニウム合金により形成されている。そして、このシャワーヘッド部134と処理容器132の上端開口部との接合部には、例えばOリング等よりなるシール部材140が介在されており、処理容器132内の気密性を維持するようになっている。
 また、処理容器132の側壁には、この処理容器132内に対して被処理体としての半導体ウエハWを搬入搬出するための搬出入口142が設けられると共に、この搬出入口142には気密に開閉可能になされたゲートバルブGが設けられている。
 そして、この処理容器132の底部144に排気空間146が形成されている。具体的には、この容器底部144の中央部には大きな開口148が形成されており、この開口148に、その下方へ延びる有底円筒体状の円筒区画壁150を連結してその内部に上記排気空間146を形成している。そして、この排気空間146を区画する円筒区画壁150の底部152には、これより起立させて円筒体状の支柱154が設けられ、この支柱154の上端部に半導体ウエハWを載置する載置台156が固定されている。
 また、上記載置台156は、例えばセラミック材や石英ガラスよりなり、この載置台156内には、加熱手段として通電により熱を発生する例えばカーボンワイヤヒータ等よりなる抵抗加熱ヒータ158が収容されて、この載置台156の上面に載置された半導体ウエハWを加熱し得るようになっている。
 上記載置台156には、この上下方向に貫通して複数、例えば3本のピン挿通孔160が形成されており(図3においては2つのみ示す)、上記各ピン挿通孔160に上下移動可能に遊嵌状態で挿通させた押し上げピン162を配置している。この押し上げピン162の下端には、円形リング形状に形成された例えばアルミナのようなセラミックス製の押し上げリング164が配置されており、この押し上げリング164に、上記各押し上げピン162の下端を支持させている。この押し上げリング164から延びるアーム部166は、容器底部144を貫通して設けられる出没ロッド168に連結されており、この出没ロッド168はアクチュエータ170により昇降可能になされている。
 これにより、上記各押し上げピン162をウエハWの受け渡し時に各ピン挿通孔160の上端から上方へ出没させるようになっている。また、アクチュエータ170の出没ロッド168の容器底部の貫通部には、伸縮可能なベローズ172が介設されており、上記出没ロッド168が処理容器132内の気密性を維持しつつ昇降できるようになっている。
 そして、上記排気空間146の入口側の開口148は、載置台156の直径よりも小さく設定されており、上記載置台156の周縁部の外側を流下するガスが載置台156の下方に回り込んで開口148へ流入するようになっている。そして、上記円筒区画壁150の下部側壁には、この排気空間146に臨ませて排気口174が形成されており、この排気口174には、真空排気系176が接続される。
 この真空排気系176は、上記排気口174に接続された排気通路178を有し、この排気通路178には、圧力調整弁180や真空ポンプ182や除害装置(図示せず)等が順次介設され、上記処理容器132内及び排気空間146の雰囲気を圧力制御しつつ真空引きして排気できるようになっている。
 そして、上記シャワーヘッド部134には、これに所定のガスを供給するために、第1金属含有原料ガスを供給する原料ガス供給系88が接続されている。具体的には、上記第1金属含有原料ガスを供給する原料ガス供給系88は、上記ガス拡散室138のガス入口186に接続された原料ガス流路94を有している。
 この原料ガス流路94の他端は、ここでは固体状の原料110を収容する第1の原料タンク100に接続されている。また、この原料ガス流路94の途中には、開閉弁112及びこの原料ガス流路94内の圧力を測定する圧力計114が設けられる。この圧力計114としては、例えばキャパシタンスマノメータを用いることができる。上記原料ガス流路94は、ここで用いる原料110の蒸気圧が非常に低くて気化し難いことから、気化を促進させるために比較的大口径になされ、且つ流路長もできるだけ短く設定して第1の原料タンク100内の圧力が処理容器132内の圧力に近くなるように設定している。
 そして、この第1の原料タンク100内には、その先端部が第1の原料タンク100の底部近傍に位置されたバブリングガス管116が挿入されている。そして、このバブリングガス管116にはマスフローコントローラのような流量制御器118及び開閉弁120が順次介設されており、バブリングガスを流量制御しつつ第1の原料タンク100内に導入して原料110をガス化して原料ガスとするようになっている。そして、発生したこの原料ガスはバブリングガスに随伴されて供給されることになる。
 上記バブリングガスとしては、ここでは不活性ガスであるNガスを用いているが、これに代えてCO(一酸化酸素)、或いはHe、Ar等の希ガスを用いてもよい。また、この第1の原料タンク100には、気化を促進させるために原料110を加熱するタンク加熱部122が設けられている。ここでは上記原料110としては、第1の金属の一例としてルテニウム(Ru)を含む粒状固体のルテニウムカルボニル(Ru(CO)12)を用いている。
 そして、上記原料ガス流路94、これに介設される開閉弁122には、原料ガスが再凝縮することを防止するためにテープヒータ、アルミブロックヒータ、マントルヒータ或いはシリコンラバーヒータ等(図示せず)が巻回され、これらを加熱するようになっている。
 また図示されないが、パージ用の不活性ガス供給手段が上記シャワーヘッド部134に接続されており、必要に応じてパージガスを供給するようになっている。このパージ用ガスとしては、Nガス、Arガス、Heガス、Neガス等の不活性ガスを用いることができる。
 そして、このような装置全体の動作を制御するために、例えばコンピュータ等よりなる制御部206を有しており、上記ガスの供給の開始と停止の制御、供給量の制御、処理容器132内の圧力制御、ウエハWの温度制御等を行うようになっている。そして、上記制御部206は、上記した制御を行うためのコンピュータプログラムを記憶するための記憶媒体208を有している。
 ここで原料ガスの流量を制御するために、バブリングガスの流量と、原料ガスの流量と、その時の圧力計114の測定値との関係が予め基準データとして記憶媒体208に記憶されており、成膜時には、圧力計114の測定値に基づいてバブリングガスの流量を制御することによって原料ガスの供給量を制御するようになっている。上記記憶媒体208としては、例えばフレキシブルディスク、フラッシュメモリ、ハードディスク、CD(Compact Disc)等を用いることができる。また上記制御部206は、システム制御部34(図1参照)の支配下で動作するようになっている。
 次に、以上のように構成された第2の処理装置12Bの動作について説明する。まず、半導体ウエハWは、図示しない搬送アームに保持されて開状態となったゲートバルブG、搬出入口142を介して処理容器132内へ搬入され、このウエハWは、上昇された押し上げピン162に受け渡された後に、この押し上げピン162を降下させることにより、ウエハWを載置台156の上面に載置してこれを支持する。
 次に、原料ガス供給系88を動作させて、シャワーヘッド部134へ原料ガスを流量制御しつつ供給して、このガスをガス噴射孔136より噴射し、処理空間Sへ導入する。このガスの供給態様については後述するように種々存在する。
 そして真空排気系176に設けた真空ポンプ182の駆動を継続することにより、処理容器132内や排気空間146内の雰囲気を真空引きし、そして、圧力調整弁180の弁開度を調整して処理空間Sの雰囲気を所定のプロセス圧力に維持する。この時、ウエハWの温度は、載置台156内に設けた抵抗加熱ヒータ158により加熱されて所定のプロセス温度に維持されている。これにより、半導体ウエハWの表面に第1金属含有膜、すなわちここではRu膜が形成されることになる。
 <第3の処理装置12Cの説明>
 次に、上記第3の処理装置12Cについて説明する。尚、前述したように、第4の処理装置12Dは一般的な熱成膜処理装置なので、その説明は省略する。図4は第3の処理装置の一例を示す構成図である。この第3の処理装置12Cは、ウエハ表面に埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜を形成する装置である。例示された実施形態においては、第2の金属はMnであり、第2金属含有膜はMnOx膜(一部にMn膜を含む場合もある)である。
 この第3の処理装置12Cは、その構成はほぼ第2の処理装置12Bと同じであり原料ガス供給系のみが異なっている。従って、原料ガス供給系を主体として説明し、図3に示す装置と同一構成部分については同一参照符号を付してその説明を省略する。
 すなわち、シャワーヘッド部134には、これに所定のガスを供給するために、第2金属含有膜の原料ガスを供給する原料ガス供給系131が接続されている。具体的には、上記原料ガス供給系131は、上記ガス拡散室138のガス入口186に接続された原料ガス流路133を有している。
 この原料ガス流路133は、途中に開閉弁135及びマスフローコントローラのような流量制御器137を順次介設して第2金属含有原料145を収容する第2の原料タンク149に接続されている。
 そして、この第2の原料タンク149内には、その先端部が第2の原料タンク149の底部近傍に位置されたバブリングガス管139が挿入されている。そして、このバブリングガス管139にはマスフローコントローラのような流量制御器141及び開閉弁143が順次介設されており、バブリングガスを流量制御しつつ第2の原料タンク149内に導入して原料145をガス化して原料ガスを供給するようになっている。そして、発生したこの原料ガスはバブリングガスに随伴されて供給されることになる。
 上記バブリングガスとしては、ここでは還元ガスであるHガスを用いている。また、この第2の原料タンク149には、気化を促進させるために原料145を加熱するタンク加熱部147が設けられている。ここでは上記原料145としては、第2の金属としてのマンガン(Mn)を含む液体原料である(EtCp)Mn(プリカーサ)を用いることができる。
 尚、上記バブリング用の上記還元不活性ガスとしてHガスに代えて、Nや He、Ne、Ar等の希ガスからなる不活性ガスを用いることができる。そして、上記原料ガス流路133、これに介設される開閉弁135、流量制御器137には、原料ガスが再凝縮することを防止するためにテープヒータ、アルミブロックヒータ、マントルヒータ或いはシリコンラバーヒータ等(図示せず)が巻回され、これらを加熱するようになっている。
 また図示されないが、パージ用のガス供給手段が上記シャワーヘッド部134に接続されており、必要に応じてパージガスを供給するようになっている。このパージ用ガスとしては、Nガス、Arガス、Heガス、Neガス等の不活性ガスやH2等の還元性ガスを用いることができる。
 そして、このような装置全体の動作を制御するために、例えばコンピュータ等よりなる制御部206を有しており、上記各ガスの供給の開始と停止の制御、供給量の制御、処理容器132内の圧力制御、ウエハWの温度制御等を行うようになっている。そして、上記制御部206は、上記した制御を行うためのコンピュータプログラムを記憶するための記憶媒体208を有している。上記記憶媒体208としては、例えばフレキシブルディスク、フラッシュメモリ、ハードディスク、CD(Compact Disc)等を用いることができる。また上記制御部206は、システム制御部34(図1参照)の支配下で動作するようになっている。
 次に、以上のように構成された第3の処理装置12Cの動作について説明する。まず、半導体ウエハWは、搬送機構20(図1参照)に保持されて開状態となったゲートバルブG、搬出入口142を介して処理容器132内へ搬入され、このウエハWは、上昇された押し上げピン160に受け渡された後に、この押し上げピン160を降下させることにより、ウエハWを載置台156の上面に載置してこれを支持する。
 次に、第2金属含有原料の原料ガス供給系131を動作させて、シャワーヘッド部134へ成膜ガスを流量制御しつつ供給して、このガスをガス噴射孔136より噴射し、処理空間Sへ導入する。
 そして真空排気系176に設けた真空ポンプ182の駆動を継続することにより、処理容器132内や排気空間146内の雰囲気を真空引きし、そして、圧力調整弁180の弁開度を調整して処理空間Sの雰囲気を所定のプロセス圧力に維持する。この時、ウエハWの温度は、載置台156内に設けた抵抗加熱ヒータ158により加熱されて所定のプロセス温度に維持されている。これにより、半導体ウエハWの表面に所望の第2金属含有膜、すなわちここではMnOx膜(Mn膜も一部に含まれる)が形成されることになる。
 <第5の処理装置12Eの説明>
 次に、上記第5の処理装置12E(図2参照)について説明する。図5は第5の処理装置の一例を示す構成図である。尚、図3及び図4に示す構成部分と同一構成部分については同一参照符号を付してその説明を省略する。
 この第5の処理装置12Eは、1台の処理装置で第1金属含有膜の一例であるRu膜と第2金属含有膜の一例であるMnOx膜(Mn膜も含まれる)とを成膜することができるようになっている。この第5の処理装置12Eは、例えば断面の内部が略円形状になされたアルミニウム製の処理容器132を有している。この処理容器132内の天井部には必要な処理ガス、例えば成膜ガス等を導入するためにガス導入手段であるシャワーヘッド部42が設けられており、この下面のガス噴射面に設けた多数のガス噴射孔42A、42Bから処理空間Sに向けて処理ガスを噴射するようになっている。
 このシャワーヘッド部42内には、中空状の2つに区画されたガス拡散室44A、44Bが形成されており、ここに導入された処理ガスを平面方向へ拡散した後、各ガス拡散室44A、44Bにそれぞれ連通された各ガス噴射孔42A、42Bより吹き出すようになっている。すなわち、ガス噴射孔42A、42Bはマトリクス状に配置されており、各ガスの噴射孔42A、42Bより噴射された各ガスを処理空間Sで混合するようになっている。
 尚、このようなガス供給形態をポストミックスと称す。このシャワーヘッド部42の全体は、例えばニッケルやハステロイ(登録商標)等のニッケル合金、アルミニウム、或いはアルミニウム合金により形成されている。そして、このシャワーヘッド部42と処理容器132の上端開口部との接合部には、例えばOリング等よりなるシール部材140が介在されており、処理容器132内の気密性を維持するようになっている。
 そして、上記シャワーヘッド部42には、これに所定のガスを供給するために、第1金属含有原料ガスを供給する原料ガス供給系88と第2金属含有原料ガスを供給する原料ガス供給系131が接続されている。具体的には、上記第1金属含有原料ガス供給系88は、上記2つのガス拡散室の内の一方のガス拡散室44Bのガス入口102に接続された原料ガス流路94を有している。また上記第2金属含有原料ガスを供給する原料ガス供給系131は、上記ガス拡散室の内の他方のガス拡散室44Aのガス入口92に接続された原料ガス流路133を有している。
 また図示されないが、パージ用の不活性ガス供給系が上記シャワーヘッド部42に接続されており、必要に応じてパージガスを供給するようになっている。このパージ用ガスとしては、Nガス、Arガス、Heガス、Neガス等の不活性ガスを用いることができる。
 この場合、上記第1金属含有ガス供給系88のガス流路94と上記第2金属含有原料の原料ガス供給系131のガス流路133を、別々のガス入口102と92に接続している(ポストミックス方式)が、図3、図4に示すようなガス拡散室を1つだけもったシャワーヘッド部に、これら両ガス流路を接続(プリミックス方式)してもよい。この第5の処理装置12Eによれば、この1台の処理装置で上述したようにMnOx膜(Mn膜も含む)とRu膜とをそれぞれ成膜することができる。
 <本発明の成膜方法>
 次に、図1乃至図5に示したような処理システムや処理装置を用いて行われる本発明の成膜方法について具体的に説明する。図6は本発明方法の第1実施例の各工程における薄膜の堆積状況の一例を示す図、図7は本発明方法の基本的な各工程を示すフローチャート、図8は埋め込み工程を実施するための各種態様を示す図、図9は第1金属含有膜形成工程を実施する時の各ガスの供給状態を示すタイミングチャート、図10は本発明方法の第2実施例を説明する説明図である。
 本発明の特徴の1つは、比誘電率の低いlow-k膜からなる絶縁層の表面に第2の金属としてのマンガン(Mn)を含む第2金属含有膜を形成する際に、下地膜としてRu等の第1の金属を含む第1金属含有膜を介在させることにより上記第2金属含有膜を効率的に形成することである。ここで第1金属含有膜とは、第2の処理装置12B或いは第5の処理装置12Eで成膜されるRu膜とすることができ、第2金属含有膜とは第3の処理装置12C或いは第5の処理装置12Eで成膜されるMnOx膜やMn膜である。なお、ここでLow-k材料とは、SiO膜の比誘電率である4.1より低い比誘電率を有する材料を意味している。
 まず、図1或いは図2に示す処理システム10内へウエハWが搬入される時には、図6(A)に示すように、ウエハWに形成された、例えば層間絶縁膜などの絶縁層1の表面には、トレンチやホールのような凹部2が形成されており、この凹部2の底部に金属層として銅等よりなる下層の配線層3が露出している。
 具体的には、この凹部2は、細長く形成された断面凹状の溝(トレンチ)2Aと、この溝2Aの底部の一部に形成されたホール2Bとよりなり、このホール2Bがコンタクトホールやスルーホールとなる。そして、このホール2Bの底部に金属層として上記配線層3が露出しており、下層の配線層やトランジスタ等の素子と電気的な接続を行うようになっている。なお下層の配線層やトランジスタ等の素子については図示を省略している。下地膜となる上記絶縁層1は、比誘電率が4.1よりも低い低誘電率材料であるLow-k膜、例えばSiOCよりなる。
 さて、本発明方法では、このような状態の半導体ウエハWの表面に、まず前処理としてデガス処理や洗浄処理を施して凹部2内の表面をクリーニングする。この洗浄処理は第1の処理装置12A(図1参照)にて行われる。この洗浄処理としては、前述したようにHプラズマ処理、Arプラズマ処理、有機酸を用いたドライクリーニング処理、或いはHot-Wire原子状水素を用いたクリーニング処理などが適用される。
 本発明の成膜方法は、ここでは第1実施例と第2実施例とがある。図7は成膜方法の第1実施例のフローチャートを示す。この成膜方法の第1実施例の場合は、図7に示すように、前処理の完了した被処理体に対して第1の金属、例えばRuを含む第1金属含有膜を形成する第1金属含有膜形成工程を行う(S1)。次に、上記凹部2に埋め込まれる埋め込み金属、例えばCuに対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する第2金属含有膜形成工程を行う(S2)。これにより、1層の第1金属含有膜と1層の第2金属含有膜とよりなる本発明のバリヤ層が形成されることになる。
 次に、上記ウエハW上の凹部2を埋め込み金属、例えばCuにより埋め込むことになる(S3)。以下、この成膜方法の第1実施例について詳しく説明する。まず、最初に上記前処理後のウエハWの表面に、図6(B)に示すように第1金属含有膜210を形成する第1金属含有膜形成工程を施す(図7中のS1)。この工程は第2の処理装置12B、或いは第5の処理装置12E(図2参照)で行う。これにより、上記凹部2内の表面を含むウエハ表面全体に第1金属含有膜210が形成される。この第1金属含有膜210は、ここではRu膜よりなる。
 また、上記第1金属含有膜210の形成は、CVD(Chemical VaporDeposition)法が望ましいが、ALD法(Atomic Layered Deposition)法でもよい。ここで、上記ALD法とは、異なる成膜用ガスを交互に供給して原子レベル、或いは分子レベルの薄膜を1層ずつ繰り返し形成して積層させる成膜方法をいう。この第1金属含有膜210であるRu膜は、濡れ性に非常に優れており、この後工程で行われるMn含有膜の形成を効率的に行って、このMn含有膜を効率的に堆積させることが可能となる。第1金属含有膜210の形成は、CVD法、ALD法、スパッタ法のいずれを用いてもよい。尚、スパッタ法を行う場合には、第2の処理装置12Bとしては、Ru金属をターゲットとしてスパッタリングを行うスパッタ成膜装置を用いる。
 上記第1金属含有膜形成工程が完了したならば、次に、図6(C)に示すように、第2金属含有膜形成工程(図7中のS2)を行ってウエハWの表面に埋め込み金属に対してバリヤ性を有する第2金属含有膜212を形成する。この工程は、第3の処理装置12C、或いは第5の処理装置12Eで行う。これにより、上記凹部2内の表面を含むウエハ表面全体に第2金属含有膜212が形成されることになる。
 この第2金属含有膜212は、MnOx膜(一部にMn膜が含まれる)よりなる。具体的には、凹部2内の側壁やウエハ上面に堆積したMn膜は、下地である第1金属含有膜(Ru膜)を浸透してくる絶縁層1中の酸素成分と反応してMnOx膜として存在することになり、凹部2内の底面に露出している配線層(Cu)3上に堆積したRu膜は、そのまま金属膜として存在することになる。そして、この第1金属含有膜(Ru膜)と第2金属含有膜(MnOx膜、Mn膜)とでバリヤ層214が形成されることになる。この第2金属含有膜の形成は、CVD法、ALD法等を用いることができる。
 上記第2金属含有膜形成工程が完了したならば、次に、図6(D)に示すように埋め込み工程を行って凹部2内を埋め込み金属216により埋め込む(図7中のS3)。この埋め込み工程は、第4の処理装置12Dで行う。これにより、上記凹部2内を完全に埋め込むと同時にウエハ表面全体に埋め込み金属216が形成されることになる。この埋め込み金属216としては、ここではCu(Cu膜)が用いられる。
 上記埋め込み金属216の形成は、CVD法、ALD法、PVD(スパッタ)法、超臨界CO法、メッキ法のいずれを用いてもよい。尚、メッキ法や超臨界CO法による埋め込み処理を行う場合には、第4の処理装置12DにてCuシード膜を堆積し、この処理システム10の外に設けた処理装置にてこの埋め込み処理を行ってもよい。
 以上のようにして、成膜処理は終了することになり、以後は、ウエハ表面上の余分な埋め込み金属216等をCMP処理により削り取ることになる。
 このように、埋め込み金属に対してバリヤ性を有する第2の金属すなわちMnを含む第2金属含有膜212を形成するに先立ち、下地膜としてRu等の第1の金属を含む第1金属含有膜をすることにより、第2金属含有膜を効率的に形成することができる。従って、形成されるバリヤ層214のバリヤ性を高くすることができる。
 ここで上記各工程について、詳しく説明する。まず、第1金属含有膜210(Ru膜)を形成する第1金属含有膜形成工程(S1)は、図7のS1において説明したように、CVD法とALD法とスパッタ法の3種類の方法のうちのいずれかを用いることができる。第1の方法であるCVD法は、図9(A)又は図9(B)に示すような方法で行われる。すなわち、図9(A)に示す成膜方法は図3に示す第2の成膜装置12B、或いは図5に示す第5の成膜装置12Eを用いて行われる。
 図9(A)に示す成膜方法では、ルテニウムカルボニルよりなる原料110を気化して作ったRu含有原料ガスを、バブリングガスと共に流し、CVD法により熱分解させてRu膜よりなる第1金属含有膜210を形成する。この時のプロセス条件は、プロセス圧力が0.1mTorr~200mTorrの範囲内、より好ましくは2mTorr~50mTorrの範囲内、プロセス温度が50~500℃の範囲内、より好ましくは150℃~350℃である。
 またガス流量の制御は、前述したように圧力計114の測定値に基づいてバブリングガスの流量を制御することによって行う。例えばバブリングガスの流量は0.1~1000sccmの範囲内である。
 また、図9(B)に示すCVD法では還元ガスとしてHを用いている。尚、このHを用いたCVD法は、図3に示す第2の処理装置12Bにおいて、更にHガス供給系をシャワーヘッド部134に追加して接続した処理装置を用いる。この場合には、Ruを含む原料ガスとHガスとを同時に流し、HガスによりRuを含む原料ガスの分解乃至還元を促進させるようにしてRu膜よりなる第1金属含有膜210を形成する。この場合には、還元ガスを供給した分だけRu膜の材料特性を改善させる効果、例えばRu膜の電気抵抗の低減等がある。この時のプロセス圧力やプロセス温度等のプロセス条件は、図9(A)において説明した内容と同じである。
 また図9(C)に示す第2の方法であるALD法では、図9(B)にて説明した処理装置を用い、Ruを含む原料ガスと還元ガスであるHガスとを交互に間欠的に流し、原子レベル、或いは分子レベルの薄膜を積層させてRu膜よりなる第1金属含有膜210を形成する。
 この時のプロセス圧力やプロセス温度等のプロセス条件は、図9(A)において説明した内容と同じである。尚、ここで上記還元ガスはHに限定されず、COやシリコン含有ガス、ボロン含有ガス、窒素含有ガス等を用いることができる。シリコン含有ガスとしては例えばSiH、Si 、SiCl等であり、ボロン含有ガスとしては例えばBH、B、B等であり、窒素含有ガスとしては例えばNHである。
 また第3の方法であるスパッタ法の場合には、前述したように第2の処理装置12Bとしてスパッタ成膜装置を用い、Ru金属をターゲットとしてスパッタリング処理によりウエハWの表面にRu膜よりなる第1金属含有膜210を形成する。
 次に、第3の処理装置12Cを用いて第2金属含有膜212(MnOx膜、Mn膜を含むMn含有膜)を形成する第2金属含有膜形成工程(S2)は、CVD法で行うのが好ましい。具体的には、Mnを含有する原料145を還元ガスである水素でバブリングして供給し、熱CVD法によりMnを含む第2金属含有膜212を形成する。この場合には、Mn膜は下地のRu膜を浸透してくる絶縁層1の酸素成分と反応して、最終的にMnOx膜が形成されることになる。
 ただし、凹部2のホール2Bの底面におけるRu膜の下層はCuよりなる配線層3となっているので酸化されないでMn膜として存在することになる。従って、凹部2のホール2Bの底部では、配線層3とRu膜とMn膜とが直接的に接続されて電気抵抗が小さくなって良好な状態となっている。また、この時のプロセス条件は、プロセス温度(ウエハ温度「以下同じ」)が70~450℃、プロセス圧力が1Pa~13kPa程度である。またMnを含む原料ガスの流量は特に制限はないが、成膜速度等を考慮すると0.1~10sccm程度である。
 次に、凹部2の埋め込みを行う埋め込み工程(S3)は、図8に示すようにCVD法とALD法とPVD(スパッタ)法とメッキ法と超臨界CO法の5種類の方法のいずれかを用いることができる。また、メッキ法や超臨界CO法を用いる場合には、埋め込み処理を行う前にCu等の導電性金属からなるシード膜を形成するようにしてもよい。また、埋め込み処理を行った後に、アニール処理を行うのが好ましい。
 第1の方法であるCVD法の場合には、Cu含有原料ガスと還元ガスとしてのHガスとを同時に流し、CVD法によりCu膜を形成して凹部2の埋め込みを行う。第2の方法であるALD法の場合には、Cu含有原料ガスとHガスとを、例えば図9(C)にて説明したと同様に交互に繰り返し流すようにする。あるいはHガスは流さずに、Cu含有原料ガスを間欠的に流し、単なる熱分解反応によりCu膜よりなるCu膜を形成してもよい。
 この時のプロセス条件は(CVD処理の場合も含む)、プロセス温度が70~350℃程度、プロセス圧力が1Pa~13kPa程度である。またCu含有原料ガスの流量は1~100sccm程度、Hガスの流量は5~500sccm程度である。特に、上記CVD法やALD法の場合には、メッキ法よりも微細な凹部の内壁に薄膜が堆積し易くなるので、凹部が更に微細化しても、内部にボイド等を生ぜしめることなく凹部の埋め込みを行うことができる。
 上記アニール処理は、上記Mnバリヤ膜を確実に形成することを目的としており、従って、前工程でMnバリヤ膜の自己形成にとって十分に高い温度、例えば100~150℃以上の高温のプロセス温度で行われていれば、上記Mnバリヤ膜はすでに十分な厚さで形成された状態となっているので、上記アニール処理を不要とすることができる。ここで上記Cu含有原料ガスとしては、特開2001-053030号公報に示されているようなCu(I)hfac TMVS(銅錯体)、Cu(hfac)、Cu(dibm)等を用いることができる。
 以上説明したように、第1実施例によれば、底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す際に、凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属すなわちMnを含む第2金属含有膜を形成するに先立ち、下地膜としてRu等の第1の金属を含む第1金属含有膜をすることにより、第2金属含有膜を効率的に形成することができる。
 また、上述した大部分の一連の処理を同一の処理システム内で、すなわちin-situで大気曝露することなく連続処理を行うことができるので、スループットを向上させることができるとともに膜質や密着性の向上を図ることができる。
 <本発明方法の第2実施例>
 次に、本発明方法の第2実施例について説明する。上記本発明方法の第1実施例では、Ru膜よりなる第1金属含有膜210とMnOx膜等よりなる第2金属含有膜212とを1層ずつ積層してバリヤ層214を形成した場合を例にとって説明したが、これに限定されず、上記第1金属含有膜210と第2金属含有膜212とを交互に積層させて、且つ最上層は第1金属含有膜210として全体でバリヤ層214を形成するようにしてもよい。すなわち、第1金属含有膜210が一層多く形成されている。図10はこのような本発明の成膜方法の第2実施例を説明するための説明図であり、図10(A)はフローチャートを示し、図10(B)はバリヤ層の積層構造の一例を示す断面図である。尚、図6及び図7に示す構成と同一部分については同一参照符号を付してその説明を省略する。
 図10(A)に示すように、ここでは第1金属含有膜形成工程S1と第2金属含有膜形成工程S2とを、交互に繰り返すように行い、第1金属含有膜形成工程S1が予め定められていた所定の回数だけ行ったならば(S1-1のYES)、第2金属含有膜形成工程S2を行わず、凹部の埋め込み工程(S3)を行うようにしている。ここで上記”n”は2、3、4、5…等の2以上の正の整数である。図10(B)は所定の回数nが”2”の場合を示し、バリヤ層214は、2つの第1金属含有膜(Ru膜)210の間に1つの第2金属含有膜(MnOx膜等)212を介在させた3層構造となっている。この場合、最上層の第1金属含有膜210のRu膜は、凹部2の埋め込み金属であるCuに対してシード機能を有している。
 従って、凹部2をCuメッキ処理で埋め込む場合には、それに先立ってCuシード膜をスパッタリング等によって形成する必要がなくなり、上記最上層のRu膜をシード膜として直接的にCuメッキ処理を行うことができる。尚、この第2実施例において、第1金属含有膜210と第2金属含有膜212とを更に交互に複数回繰り返し積層してもよく、この場合にも最上層は第1金属含有膜210になるようにしてバリヤ層214を形成するのは、上述した通りである。
 <本発明方法の第3実施例>
 次に、本発明方法の第3実施例について説明する。先に説明した本発明方法の第1実施例及び第2実施例では、第1金属含有膜210と第2金属含有膜212とを積層してバリヤ層214を形成したが、これに限定されず、上記第1の金属と第2の金属と埋め込み金属の材料である第3の金属とを含む合金膜を形成してこれをバリヤ層としてもよい。
 図11はこのような本発明の成膜方法の第3実施例を説明するための説明図であり、図11(A)はフローチャートを示し、図11(B)はバリヤ層の構造の一例を示す断面図である。尚、図6及び図7に示す構成と同一部分については同一参照符号を付してその説明を省略する。図11(A)及び図11(B)に示すように、ここでは第1実施例における第1金属含有膜形成工程S1と第2金属含有膜形成工程S2とに変えて、上記したように、第1の金属例えばRuと、凹部へ埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnと、上記埋め込み金属の材料である第3の金属例えばCuと、を含む合金膜を形成する合金膜形成工程S1-2を行う。このように形成された合金膜220がバリヤ層214となる。このバリヤ層214は、構成材料にMn材料が含有されているのでCuに対するバリヤ性を有すると同時に、Cu元素も含まれていることからCuに対するシード性を有しており、後工程でCuメッキ処理を行う時には、Cuシード膜の形成を省略することができる。
 また、この合金膜220を形成するための処理装置としては、例えば図5に示す第5の処理装置12Eのシャワーヘッド部42に、Cuを含む原料ガスの供給系を追加して接続するようにすればよい。これによれば、第1の金属例えばRuと、第2の金属としてのMnと、第3の金属例えばCuと、を含む合金膜よりなるバリヤ層を形成することができる。尚、上記各実施形態では、第1の金属としてRuを用いた場合を例にとって説明したが、これに限定されず、他の金属例えばFe、Co、Ni、Rh、Pd、Os、Ir、Pt、Ti、Ta、Zr、W、Al、V、Crとよりなる群から選択される1つ或いはこれらの合金も用いることができる。
 また上記各実施例では、熱CVD及び熱ALDによる成膜方法を例にとって説明したが、これに限定されず、プラズマCVD、プラズマALD、紫外線やレーザ光を用いた光CVD、光ALD等による成膜方法を用いてもよい。
 また、Mn含有材料としての有機金属材料は、Cp Mn[=Mn(C H]、(MeCp) Mn[=Mn(CH]、(EtCp) Mn[=Mn(C]、(i-PrCp)Mn[=Mn(C]、MeCpMn(CO)[=(CH)Mn(CO)]、(t-BuCp) Mn[=Mn(C]、CHMn(CO) 、Mn(DPM)[= Mn(C1119]、Mn(DMPD)(EtCp)[=Mn(C11)]、Mn(acac)[=Mn(C ) ]、Mn(DPM)[=Mn(C1119]、Mn(acac)[=Mn(C]、Mn(hfac)[=Mn(CHF]、(( CHCp)Mn[=Mn((CH]、[Mn(iPr-AMD)][=Mn(CNC(CH)NC]、[ Mn(tBu-AMD)][=Mn(CNC(CH)NC]よりなる群から選択される1以上の材料とすることができる。また、有機金属材料の他にも、金属錯体材料を用いることができる。
 また、ここでは下地膜であるlow-k材料からなる絶縁層1としてSiOC膜を用いた場合を例にとって説明したが、これに限定されず、その他のlow-k材料例えば膜中にO(酸素)またはC(炭素)を含むSiOC膜、SiCOH膜、SiCN膜、ポーラスシリカ膜、ポーラスメチルシルセスキオキサン膜、ポリアリレン膜、SiLK(登録商標)膜、およびフロロカーボン膜とよりなる群から選択される1つ以上の膜により形成する場合もある。
 また、ここで説明した各処理装置は単に一例を示したに過ぎず、例えば加熱手段として抵抗加熱ヒータに代えてハロゲンランプ等の加熱ランプを用いるようにしてもよいし、熱処理装置は枚葉式のみならずバッチ式のものであってもよい。
 更には、熱処理による成膜に限定されず、例えばシャワーヘッド部42、134を上部電極とし、載置台156を下部電極として両電極間に高周波電力を必要に応じて印加してプラズマを立てるようにし、成膜時にプラズマによるアシストを加えるようにしてもよい。更に、ここでは被処理体として半導体ウエハを例にとって説明したが、これに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
 また本願発明の成膜方法によれば、半導体ウエハ上に大小さまざまのトレンチ、ホールが混在していても、全ての凹部に対して十分に薄くて均一なバリヤ層が形成できる。このためCu多層配線において、下層のローカル配線から上層のグローバル配線に亘り本発明の技術を適用することができ、Cu多層配線の微細化が可能となる。これにより得られる効果として、半導体装置(デバイス)の高速化、微細化などにより、小型でありながら高速で信頼性のある電子機器を作ることが可能となる。

Claims (20)

  1.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す成膜方法において、
     第1の金属を含む第1金属含有膜を形成する第1金属含有膜形成工程と、
     前記第1金属含有膜形成工程の後に行われ、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜を形成する第2金属含有膜形成工程と、
    を有し、
     前記第2の金属がMnである、
    ことを特徴とする成膜方法。
  2.  前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とは交互に行われて、最後に前記第1金属含有膜形成工程が行われることを特徴とする請求項1記載の成膜方法。
  3.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す成膜方法において、
     第1の金属と、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属と、前記埋め込み金属の材料である第3の金属と、を含む合金膜を形成する合金膜形成工程を有し、
     前記第2の金属がMnである、
    ことを特徴とする成膜方法。
  4.  前記第1金属含有膜形成工程と前記第2金属含有膜形成工程とは同一の処理容器内で連続的に行われることを特徴とする請求項1又は2記載の成膜方法。
  5.  前記凹部内を前記埋め込み金属で埋め込む埋め込み工程を有することを特徴とする請求項1乃至4のいずれか一項に記載の成膜方法。
  6.  前記low-k膜は、SiOC膜とSiCOH膜とSiCN膜とポーラスシリカ膜とポーラスメチルシルセスキオキサン膜とポリアリレン膜とSiLK(登録商標)膜とフロロカーボン膜とよりなる群から選択される1つ以上の膜よりなることを特徴とする請求項1乃至5のいずれか一項に記載の成膜方法。
  7.  前記第1の金属は、Ru、Fe、Co、Ni、Rh、Pd、Os、Ir、Pt、Ti、Ta、Zr、W、Al、V、Crよりなる群から選択される1以上の元素であることを特徴とする請求項1乃至6のいずれか一項に記載の成膜方法。
  8.  前記第2金属含有膜形成工程においてCp Mn[=Mn(C H]、(MeCp) Mn[=Mn(CH]、(EtCp) Mn[=Mn(C]、(i-PrCp)Mn[=Mn(C]、MeCpMn(CO)[=(CH)Mn(CO)]、(t-BuCp) Mn[=Mn(C]、CHMn(CO) 、Mn(DPM)[= Mn(C1119]、Mn(DMPD)(EtCp)[=Mn(C11)]、Mn(acac)[=Mn(C ) ]、Mn(DPM)[=Mn(C1119]、Mn(acac)[=Mn(C]、Mn(hfac)[=Mn(CHF]、(( CHCp)Mn[=Mn((CH]、[Mn(iPr-AMD)][=Mn(CNC(CH)NC]、[ Mn(tBu-AMD)][=Mn(CNC(CH)NC]よりなる群から選択される1以上の材料が原料として用いられることを特徴とす る請求項1乃至7のいずれか一項に記載の成膜方法。
  9.  前記埋め込み金属は、銅であることを特徴とする請求項1乃至8のいずれか一項に記載の成膜方法。
  10.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体の前記凹部内を埋め込み金属で埋め込む際に前記埋め込み金属の下層に介在されるバリヤ層において、
     第1の金属を含む第1金属含有膜と、前記第1金属含有膜上に形成されて前記埋め込み金属に対してバリヤ性を有する第2の金属を含む第2金属含有膜とからなり、前記第2の金属がMnであることを特徴とするバリヤ層。
  11.  前記第1金属含有膜と前記第2金属含有膜とは交互に積層されており、最上層は前記第1金属含有膜になされていることを特徴とする請求項10記載のバリヤ層。
  12.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体の前記凹部内を埋め込み金属で埋め込む際に前記埋め込み金属の下層に介在されるバリヤ層において、
     第1の金属と、前記埋め込み金属に対してバリヤ性を有する第2の金属と、前記埋め込み金属の材料である第3の金属と、を含む合金膜よりなり、前記第2の金属がMnであることを特徴とするバリヤ層。
  13.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、
     前記被処理体の表面に第1の金属を含む第1金属含有膜を形成する処理装置と、前記被処理体の表面に前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する処理装置と、
     前記被処理体の表面に前記埋め込み金属の材料である第3の金属の薄膜を形成する処理装置と、前記各処理装置が連結された共通搬送室と、
     前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、
     請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように処理システム全体を制御するシステム制御部と、
    を備えたことを特徴とする処理システム。
  14.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、
     前記被処理体の表面に第1の金属を含む第1金属含有膜を形成する成膜処理と前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnを含む第2金属含有膜を形成する成膜処理とを行う処理装置と、
     前記被処理体の表面に前記埋め込み金属の材料である第3の金属の薄膜を形成する処理装置と、前記各処理装置が連結された共通搬送室と、
     前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、
     請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように処理システム全体を制御するシステム制御部と、
    を備えたことを特徴とする処理システム。
  15.  底面に金属層が露出する凹部を有するlow-k膜からなる絶縁層が表面に形成された被処理体に対して成膜処理を施す処理システムにおいて、
     前記被処理体の表面に第1の金属と、前記凹部に埋め込まれる埋め込み金属に対してバリヤ性を有する第2の金属としてのMnと、前記埋め込み金属の材料である第3の金属と、を含む合金膜を形成する処理装置と、
     前記処理装置が連結された共通搬送室と、
     前記共通搬送室内に設けられて、前記各処理装置内へ前記被処理体を搬送するための搬送機構と、
     請求項3記載の成膜方法を実施するように処理システム全体を制御するシステム制御部と、
    を備えたことを特徴とする処理システム。
  16.  請求項13記載の処理システムを用いて請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように制御するコンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
  17.  請求項14記載の処理システムを用いて請求項1、2および4乃至9のいずれか一項に記載の成膜方法を実施するように制御するコンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
  18.  請求項15記載の処理システムを用いて請求項3に記載の成膜方法を実施するように制御するコンピュータに読み取り可能なプログラムを記憶することを特徴とする記憶媒体。
  19.  請求項1乃至12のいずれか一項に記載の成膜方法によって形成された膜構造を有することを特徴とする半導体装置。
  20.  請求項1乃至12のいずれか一項に記載の成膜方法によって形成された膜構造を有することを特徴とする電子機器。
PCT/JP2010/060190 2009-06-16 2010-06-16 バリヤ層、成膜方法及び処理システム WO2010147140A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN2010800253429A CN102804351A (zh) 2009-06-16 2010-06-16 阻挡层、成膜方法以及处理系统
US13/378,513 US8653665B2 (en) 2009-06-16 2010-06-16 Barrier layer, film forming method, and processing system
KR1020117030046A KR101275679B1 (ko) 2009-06-16 2010-06-16 배리어층, 성막 방법 및 처리 시스템
US14/149,955 US20140117551A1 (en) 2009-06-16 2014-01-08 Processing system for forming film on target object

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009142964A JP5487748B2 (ja) 2009-06-16 2009-06-16 バリヤ層、成膜方法及び処理システム
JP2009-142964 2009-06-16

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/378,513 A-371-Of-International US8653665B2 (en) 2009-06-16 2010-06-16 Barrier layer, film forming method, and processing system
US14/149,955 Division US20140117551A1 (en) 2009-06-16 2014-01-08 Processing system for forming film on target object

Publications (1)

Publication Number Publication Date
WO2010147140A1 true WO2010147140A1 (ja) 2010-12-23

Family

ID=43356456

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/060190 WO2010147140A1 (ja) 2009-06-16 2010-06-16 バリヤ層、成膜方法及び処理システム

Country Status (5)

Country Link
US (2) US8653665B2 (ja)
JP (1) JP5487748B2 (ja)
KR (1) KR101275679B1 (ja)
CN (1) CN102804351A (ja)
WO (1) WO2010147140A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5353109B2 (ja) * 2008-08-15 2013-11-27 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5481989B2 (ja) * 2009-07-22 2014-04-23 富士通セミコンダクター株式会社 半導体装置の製造方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
KR20150005533A (ko) * 2012-04-11 2015-01-14 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치
JP5969306B2 (ja) * 2012-08-08 2016-08-17 東京エレクトロン株式会社 Cu配線の形成方法
CN110066984B (zh) * 2013-09-27 2021-06-08 应用材料公司 实现无缝钴间隙填充的方法
KR102264160B1 (ko) 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
KR102279757B1 (ko) * 2015-03-06 2021-07-21 에스케이하이닉스 주식회사 확산 방지막의 형성 방법, 상기 확산 방지막을 포함하는 반도체 소자의 금속 배선 및 이의 제조 방법
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
JP5952461B1 (ja) * 2015-05-12 2016-07-13 田中貴金属工業株式会社 異種複核錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
JP6043835B1 (ja) 2015-05-12 2016-12-14 田中貴金属工業株式会社 異種複核錯体からなる化学蒸着用原料及び該化学蒸着用原料を用いた化学蒸着法
JP2017050304A (ja) * 2015-08-31 2017-03-09 東京エレクトロン株式会社 半導体装置の製造方法
KR101721931B1 (ko) * 2015-09-30 2017-04-03 (주)아이작리서치 원자층 증착 장치 및 원자층 증착 방법
US10176999B2 (en) 2015-12-31 2019-01-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having a multi-layer, metal-containing film
US10157784B2 (en) 2016-02-12 2018-12-18 Tokyo Electron Limited Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization
JP6785130B2 (ja) * 2016-07-06 2020-11-18 東京エレクトロン株式会社 ルテニウム配線およびその製造方法
US10522467B2 (en) * 2016-07-06 2019-12-31 Tokyo Electron Limited Ruthenium wiring and manufacturing method thereof
US9679804B1 (en) * 2016-07-29 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-patterning to form vias with straight profiles
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
JP6807251B2 (ja) * 2017-03-02 2021-01-06 東京エレクトロン株式会社 ルテニウム配線の製造方法
JP7262287B2 (ja) * 2019-04-25 2023-04-21 株式会社アルバック 成膜方法
US11004736B2 (en) * 2019-07-19 2021-05-11 International Business Machines Corporation Integrated circuit having a single damascene wiring network
US11527476B2 (en) * 2020-09-11 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure of semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007067107A (ja) * 2005-08-30 2007-03-15 Fujitsu Ltd 半導体装置の製造方法
JP2008013848A (ja) * 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008205177A (ja) * 2007-02-20 2008-09-04 Renesas Technology Corp 半導体装置及びその製造方法
JP2008218659A (ja) * 2007-03-02 2008-09-18 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及びプログラム
JP2009111156A (ja) * 2007-10-30 2009-05-21 Renesas Technology Corp 半導体装置およびその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001053030A (ja) 1999-08-11 2001-02-23 Tokyo Electron Ltd 成膜装置
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
TW200810019A (en) * 2006-06-08 2008-02-16 Tokyo Electron Ltd Film forming apparatus, film forming method, computer program and storage medium
JP5215852B2 (ja) 2006-07-31 2013-06-19 東京エレクトロン株式会社 基板処理装置およびコンディショニング要否決定方法
US8013445B2 (en) * 2008-02-29 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance high reliability contact via and metal line structure for semiconductor device
JP5326558B2 (ja) * 2008-12-26 2013-10-30 富士通セミコンダクター株式会社 半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007067107A (ja) * 2005-08-30 2007-03-15 Fujitsu Ltd 半導体装置の製造方法
JP2008013848A (ja) * 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008205177A (ja) * 2007-02-20 2008-09-04 Renesas Technology Corp 半導体装置及びその製造方法
JP2008218659A (ja) * 2007-03-02 2008-09-18 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及びプログラム
JP2009111156A (ja) * 2007-10-30 2009-05-21 Renesas Technology Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN102804351A (zh) 2012-11-28
US8653665B2 (en) 2014-02-18
JP5487748B2 (ja) 2014-05-07
KR101275679B1 (ko) 2013-06-17
JP2011001568A (ja) 2011-01-06
US20120091588A1 (en) 2012-04-19
US20140117551A1 (en) 2014-05-01
KR20120016652A (ko) 2012-02-24

Similar Documents

Publication Publication Date Title
JP5487748B2 (ja) バリヤ層、成膜方法及び処理システム
JP5417754B2 (ja) 成膜方法及び処理システム
JP5683038B2 (ja) 成膜方法
US8207061B2 (en) Semiconductor device manufacturing method using valve metal and nitride of valve metal
KR101188503B1 (ko) 카보닐 원료를 사용한 금속막의 성막 방법, 다층 배선 구조의 형성 방법, 반도체 장치의 제조 방법 및 성막 장치
JP2008013848A (ja) 成膜装置及び成膜方法
JP5522979B2 (ja) 成膜方法及び処理システム
WO2011010660A1 (ja) 成膜装置及び成膜方法
JP5429078B2 (ja) 成膜方法及び処理システム
US10490443B2 (en) Selective film forming method and method of manufacturing semiconductor device
KR101882991B1 (ko) 루테늄막의 성막 방법, 성막 장치 및 반도체 장치의 제조 방법
KR101730229B1 (ko) 루테늄막의 성막 방법 및 성막 장치와 반도체 장치의 제조 방법
US20120211890A1 (en) Method for forming metal thin film, semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080025342.9

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10789514

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20117030046

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 13378513

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10789514

Country of ref document: EP

Kind code of ref document: A1