WO2011010660A1 - 成膜装置及び成膜方法 - Google Patents

成膜装置及び成膜方法 Download PDF

Info

Publication number
WO2011010660A1
WO2011010660A1 PCT/JP2010/062242 JP2010062242W WO2011010660A1 WO 2011010660 A1 WO2011010660 A1 WO 2011010660A1 JP 2010062242 W JP2010062242 W JP 2010062242W WO 2011010660 A1 WO2011010660 A1 WO 2011010660A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing container
gas
film forming
film
forming apparatus
Prior art date
Application number
PCT/JP2010/062242
Other languages
English (en)
French (fr)
Inventor
松本 賢治
三好 秀典
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US13/386,307 priority Critical patent/US8709541B2/en
Priority to KR1020127001744A priority patent/KR101361249B1/ko
Priority to CN2010800168676A priority patent/CN102395705A/zh
Publication of WO2011010660A1 publication Critical patent/WO2011010660A1/ja
Priority to US14/204,551 priority patent/US20140190409A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/406Oxides of iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Definitions

  • the present invention relates to a film forming apparatus and a film forming method for forming a thin film such as a manganese (Mn) containing film as a barrier / seed film on the surface of an object to be processed such as a semiconductor wafer.
  • a thin film such as a manganese (Mn) containing film as a barrier / seed film
  • tantalum metal Ti
  • tantalum nitride film TiN
  • a thin seed film made of a copper film is formed on the entire wafer surface including the entire wall surface in the recess in the plasma sputtering apparatus, and then the copper plating process is performed on the entire wafer surface. Is given. Thereby, the inside of the recess is completely filled. Thereafter, excess copper thin film on the wafer surface is removed by polishing by CMP (Chemical Chemical Polishing) or the like.
  • a self-formed barrier layer using a Mn film or a CuMn alloy film instead of the Ta film or TaN film has attracted attention (Japanese Patent Laid-Open No. 2005-277390).
  • a Mn film or CuMn alloy film is formed by sputtering, and the Mn film or CuMn alloy film itself becomes a seed film.
  • a Cu plating layer can be directly formed thereon, and by annealing after plating, it reacts with the SiO 2 layer, which is a lower insulating film, in a self-aligned manner, and this SiO 2 layer and the Mn film or CuMn alloy film
  • a barrier film such as a MnSi x O y (x, y: any positive integer) film or a manganese oxide MnO x (x: any positive integer) film is formed at the boundary portion. That is, there is an advantage that the number of manufacturing steps can be reduced.
  • the present invention has been devised to pay attention to the above problems and to effectively solve them.
  • the objective of this invention is providing the film-forming apparatus and film-forming method which can suppress that a deposit adheres to the surface of the member exposed to the atmosphere in a processing container.
  • the present invention relates to a member exposed to the atmosphere in a processing container in a film forming apparatus in which a thin film is formed on the surface of an object to be processed using an organometallic source gas in a processing container that can be evacuated.
  • the film forming apparatus is characterized in that a hydrophobic layer is provided on the surface.
  • hydrophobic layer is provided on the surface of the member exposed to the atmosphere in the processing container, it is possible to effectively suppress deposits from adhering to the surface of the member.
  • the present invention provides a film forming apparatus in which a thin film is formed on the surface of an object to be processed using an organic metal source gas and an oxygen-containing gas in a processing container that can be evacuated.
  • the film forming apparatus is characterized in that a hydrophobic layer is provided on the surface of a member exposed to the atmosphere inside.
  • hydrophobic layer is provided on the surface of the member exposed to the atmosphere in the processing container, it is possible to effectively suppress deposits from adhering to the surface of the member.
  • the member includes the processing container, a shower head unit that introduces gas into the processing container, a mounting table structure that supports the processing object, and the processing object is carried out into the processing container.
  • a gate valve that is opened and closed when entering is included.
  • the hydrophobic layer is also formed inside the exhaust pipe connected to the processing vessel.
  • the hydrophobic layer is composed of one layer selected from the group consisting of a SiOC layer, a fluorine resin layer, and a hydrophobized silicon layer.
  • the metal contained in the organometallic source gas is Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, or Re.
  • the present invention provides a film forming method in which a thin film is formed on the surface of an object to be processed using an organic metal source gas in a processing container that can be evacuated, and the object to be processed is accommodated in the processing container.
  • a hydrophobic process in which the surface of the processing container is hydrophobized by flowing a hydrophobizing gas in a state where the thin film is not formed, and the thin film is formed by flowing the organometallic source gas in a state in which the object to be processed is accommodated in the processing container And a thin film forming step.
  • the present invention provides a method for forming a thin film on the surface of an object to be processed using an organic metal source gas in a processing container that can be evacuated.
  • a silicon layer forming step for forming a silicon layer on the surface in advance, a hydrophobizing step for hydrophobizing the surface of the silicon layer by flowing a hydrophobizing gas without containing the object to be processed into the processing container, and the processing A thin film forming step of forming the thin film by flowing the organometallic raw material gas in a state in which the object to be processed is accommodated in a container.
  • the surface of the silicon layer is hydrophobized so that deposits can be effectively suppressed from adhering to the surface.
  • the hydrophobizing gas is HF (hydrofluoric acid), HMDS (Hexamethyldisilazane), TMDS (1,1,3,3-Tetramethyldisilazane), TMSDMA (Dimethylaminotrimethylsilane), DMSDMA (Dimethylsilyldimethylamine), TMMAS (Trimethylmethylaminosilane), TMICS.
  • TMSA Trimethyl (isocyanato) silane
  • TMSA Trimethylsilylacetylene
  • TMSC Trim ethylsilylcyanide5
  • 1,3,5,7-tetramethylcyclotetrasiloxane dimethylsilane, tetraethylcyclotetrasiloxane, 1,2,3- Triethyl-2,4,6-trimethylcyclotrisilazane, 1,2,3,4,5,6-hexamethylcyclotrisilazane, monomethylsilane, hexamethyldisilane, hexamethylsiloxane, trimethylsilane, tetramethylsilane, dimethyl Dimethoxysila , Octamethylcyclotetrasiloxane, trimethoxymethylsilane, hexaethyldisilazane, hexaphenyldisilazane, heptamethyldisilazane, dipropyl
  • FIG. 1 is a configuration diagram showing an example of a film forming apparatus according to the present invention.
  • FIG. 2 is a partially enlarged sectional view showing a hydrophobic layer formed on the surface of each member of the film forming apparatus.
  • 3A and 3B are process diagrams showing an example of the hydrophobization treatment.
  • FIG. 4 is a graph showing experimental results for evaluating the film thickness of a film deposited on the hydrophobic layer used in the film forming apparatus of the present invention.
  • FIG. 5 is a flowchart showing an example of the film forming method of the present invention.
  • FIG. 1 is a configuration diagram illustrating an example of a film forming apparatus according to the present invention
  • FIG. 2 is a partial enlarged cross-sectional view illustrating a hydrophobic layer formed on the surface of each member of the film forming apparatus of FIG.
  • FIG. 3 is a process diagram showing an example of the hydrophobization treatment.
  • the film forming apparatus is an apparatus for forming a Mn-containing film as a thin film using an organometallic source gas and an oxygen-containing gas.
  • an oxygen-containing gas a case where water vapor (H 2 O) is used as the oxygen-containing gas will be described as an example.
  • the film forming apparatus 2 includes a processing vessel 4 made of aluminum or aluminum alloy having an inner cross-section made substantially circular, for example.
  • a shower head portion 6 which is a gas introduction means for introducing a necessary gas, for example, a film forming gas, is provided on the ceiling portion in the processing container 4.
  • gases necessary for film formation are ejected toward the processing space S from a large number of gas ejection holes 10A and 10B provided on the gas ejection surface 8 on the lower surface.
  • gas diffusion chambers 12A and 12B divided into two hollow shapes are formed.
  • the processing gas introduced into the gas diffusion chambers 12A and 12B is diffused in the plane direction and then blown out from the gas injection holes 10A and 10B respectively connected to the gas diffusion chambers 12A and 12B. That is, the gas injection holes 10A and 10B are arranged in a matrix, and the gases injected from the gas injection holes 10A and 10B are mixed in the processing space S.
  • the entire shower head portion 6 is made of, for example, a nickel alloy such as nickel or Hastelloy (registered trademark), aluminum, or an aluminum alloy.
  • a structure mode in which only one gas diffusion chamber is used as the shower head unit 6 may be employed.
  • a sealing member 14 made of, for example, an O-ring or the like is interposed at the joint between the shower head unit 6 and the upper end opening of the processing container 4 so that the airtightness in the processing container 4 is maintained.
  • a loading / unloading port 16 is provided for loading or unloading the semiconductor wafer W as an object to be processed into the processing container 4.
  • the carry-in / out port 16 is provided with a gate valve 18 that can be opened and closed in an airtight manner.
  • the exhaust space 22 is formed in the bottom 20 of the processing container 4. Specifically, a large opening 24 is formed at the center of the container bottom 20, and a cylindrical partition wall 26 having a bottomed cylindrical shape that extends downward is connected to the opening 24. The interior of 26 forms (partitions) the exhaust space 22.
  • the mounting base structure 30 is provided in the bottom part 28 of the cylindrical partition wall 26 so that it may stand up from this. Specifically, the mounting table structure 30 is capable of mounting a cylindrical column 32 standing up from the bottom 28 and a semiconductor wafer W as an object to be processed fixed on the upper end of the column 32.
  • the main mounting table 34 is mainly configured.
  • the mounting table 34 is made of, for example, a ceramic material, quartz glass, or aluminum (including an alloy).
  • a resistance heater 36 made of, for example, a carbon wire heater that generates heat by energization is accommodated as a heating means. Thereby, the semiconductor wafer W mounted on the upper surface of the mounting table 34 can be heated.
  • a plurality of, for example, three pin insertion holes 38 are formed in the mounting table 34 so as to penetrate in the vertical direction (only two are shown in FIG. 1).
  • a push-up pin 40 inserted in a loosely fitted state so as to be movable up and down is disposed.
  • a push-up ring 42 made of ceramics such as alumina formed in a circular ring shape is arranged. That is, the lower end of each push-up pin 40 is supported by the push-up ring 42.
  • the arm portion 44 extending from the push-up ring 42 is connected to a retracting rod 46 provided through the container bottom portion 20.
  • the retractable rod 46 can be moved up and down by an actuator 48.
  • the push-up pins 40 can be projected and raised upward from the upper ends of the pin insertion holes 38.
  • an extendable bellows 50 is interposed in the penetration portion of the bottom of the container of the retractable rod 46 so that the retractable rod 46 can be raised and lowered while maintaining the airtightness in the processing container 4.
  • the opening 24 on the inlet side of the exhaust space 22 is set to be smaller than the diameter of the mounting table 34, and the gas flowing down outside the peripheral edge of the mounting table 34 circulates below the mounting table 34 before opening 24.
  • An exhaust port 52 is formed in the lower side wall of the cylindrical partition wall 26 so as to face the exhaust space 22.
  • a vacuum exhaust system 54 is connected to the exhaust port 52.
  • the vacuum exhaust system 54 has an exhaust passage 56 composed of an exhaust pipe 110 connected to the exhaust port 52.
  • the exhaust passage 56 has a pressure adjusting valve 58, a vacuum pump 60, a detoxifying device (not shown). ) Etc. are inserted sequentially.
  • a rubber heater 112 or the like is wound around the exhaust pipe 110 so as to be heated to a predetermined temperature.
  • the side wall of the processing vessel 4, the side wall of the shower head unit 6, the side wall of the cylindrical partition wall 26, and the bottom portion 28 of the cylindrical partition wall 26 have a predetermined temperature, for example, 80 ° C. so that the source gas does not re-liquefy.
  • cartridge heaters 62, 64, 114, and 116 are embedded as heating means for maintaining them.
  • the shower head unit 6 is supplied with a raw material gas supply means 66 for supplying a raw material gas to supply a predetermined gas, and an oxygen-containing gas for supplying, for example, water vapor (H 2 O) as an oxygen-containing gas.
  • a gas supply means 68 is connected.
  • the source gas supply means 66 has a source gas flow path 72 connected to the gas inlet 70 of one gas diffusion chamber 12A of the two gas diffusion chambers.
  • the raw material gas flow path 72 is connected to a first raw material source 78 that accommodates the first raw material, with an on-off valve 74 interposed therebetween.
  • a flow rate controller 76 such as a mass flow controller for adjusting the flow rate of the bubbling gas is installed in the source gas channel 72 upstream of the first source source 78.
  • an organometallic raw material containing a metal is used as the first raw material.
  • the raw material is gasified by bubbling with an inert gas such as Ar gas whose flow rate is controlled, and the organometallic raw material gas can be supplied along with the inert gas.
  • the first raw material source 78 is heated by a heater or the like (not shown) in order to increase the vapor pressure of the raw material.
  • the organometallic raw material for example, (EtCp) 2 Mn (precursor: cyclopentadienyl manganese) containing manganese is stored in the first raw material source 78 in a liquid state.
  • an inert gas for bubbling other rare gases such as He and Ne, N 2 or H 2 can be used instead of Ar gas.
  • a tape heater 80 is wound around the raw material gas flow path 72 and the on-off valve 74 interposed therewith to prevent the raw material gas from being liquefied again. It is supposed to be heated.
  • a plurality of raw material gas supply means may be installed according to the raw material to be used.
  • the oxygen-containing gas supply means 68 has a gas flow path 84 connected to the gas inlet 82 of the other gas diffusion chamber 12B.
  • the gas flow path 84 is connected to a water vapor source 90 that generates water vapor while an on-off valve 86 and a flow rate controller 88 such as a mass flow controller are sequentially provided on the way.
  • the water vapor source 90 is composed of a water storage tank, for example.
  • the water storage tank is maintained at, for example, about 40 ° C. by a temperature controller 92, for example, and generates water vapor by increasing the vapor pressure.
  • a tape heater 94 is wound around the gas flow path 84 and the on-off valve 86 or the flow rate controller 88 interposed in the gas flow path 84 in order to prevent the water vapor from being reliquefied. It is heated to 80 ° C.
  • the source gas is introduced into the gas diffusion chamber 12 ⁇ / b> A located above the shower head unit 6, and the oxygen-containing gas (water vapor) is introduced into the gas diffusion chamber 12 ⁇ / b> B located below the shower head unit 6.
  • the temperature of the gas ejection surface 8 tends to increase because the shower head unit 6 faces and is close to the mounting table 34. That is, when the source gas is introduced into the lower gas diffusion chamber 12B, the source gas may be decomposed.
  • an inert gas supply means for purging is connected to the shower head unit 6 so that purge gas is supplied as necessary.
  • an inert gas such as N 2 gas, Ar gas, He gas, or Ne gas can be used.
  • the hydrophobic layer 96 which is the characteristics of this invention is provided in the surface of the member exposed to the atmosphere in the processing container 4.
  • the member corresponds to the processing container 4, the shower head unit 6, the mounting table structure 30, the gate valve 18, and the like. That is, the inner surface of the processing container 4 (including the inner surface of the cylindrical partition wall 26), the lower surface of the shower head unit 6, each surface of the mounting table 34, each surface of the column 32, the inner surface of the gate valve 18, and the like. And the surface directly exposed to the atmosphere in the processing container 4 corresponds. And the hydrophobic layer 96 is provided in those surfaces. The situation at this time is shown in FIG. That is, the hydrophobic layer 96 is provided on the surface of each member typified by the processing container 4 and the like. The surface of the hydrophobic layer 96 is hydrophobic.
  • hydrophobic layer 96 deposits are suppressed from adhering to each surface of the hydrophobic layer 96.
  • the hydrophobic layer 96 is provided on the inner surface of the exhaust pipe 110 connected to the processing container 4, and the inner walls and inner structures of the pressure regulating valve 58 and the vacuum pump 60.
  • the hydrophobic layer 96 a SiOC layer, a fluorine resin layer, a lubricated alumite, a hydrophobic heat resistant paint, or a hydrophobized silicon layer can be used.
  • a hydrophobic layer 96 is formed with a thickness of about 0.01 to 5 mm, for example.
  • the SiOC layer the SiOC material itself is hydrophobic.
  • a SiOC material having a dense inside, or a SiOC material having a porous inside can be used.
  • black diamond registered trademark
  • Aurora ⁇ ULK registered trademark
  • the fluorine resin material itself has hydrophobicity.
  • Teflon registered trademark
  • Lubricated alumite is a hard alumite film with fine pores filled with fatty acid such as oleic acid, graphite or Teflon resin (fluorine resin), and adsorbed fine particles of PTFE (polytetrafluoroethylene). Including anodized.
  • the silicon layer is formed on the surface of each member such as the processing container 4 by, for example, silicon spraying.
  • the surface of the silicon layer 100 is terminated with —OH group which is a hydrophilic group.
  • the hydrophobic layer 96 is formed to be hydrophobic.
  • HMDS hexamethyldisilazane
  • the —OH group on the surface of the silicon layer 100 is replaced with the —H group, and hydrogen is terminated. Thereby, hydrophobicity is exhibited.
  • the —OH group on the surface of the silicon layer 100 reacts with the HMDS to be silylated to attach Si and three methyl groups. Thereby, hydrophobicity is exhibited.
  • R1, R2, and R3 shown in FIG. 3B are not limited to methyl groups, but may be alkyl groups.
  • the hydrophobic layer 96 described above is selective to the surface that is exposed to the atmosphere in the processing container 4 after assembly before the film forming apparatus 2 is assembled, that is, when each member is in the state of a part. It is preferable to be formed.
  • a control means 102 composed of, for example, a computer is provided.
  • the control means 102 controls the start and stop of the supply of each gas, controls the supply amount of each gas, controls the pressure in the processing container 4, controls the temperature of the wafer W, and the like.
  • the control unit 102 includes a storage medium 104 and a user interface 106 in which a computer program for performing the above-described control is stored.
  • the storage medium 104 for example, a flexible disk, a flash memory, a hard disk, a CD (Compact Disc), or the like can be used.
  • the user interface 106 includes a keyboard for an operator to input / output commands for managing the film forming apparatus 2, a display for visualizing and displaying the operating status of the film forming apparatus 2, and the like.
  • the operation of the film forming apparatus 2 configured as described above will be described.
  • the surface of the unprocessed semiconductor wafer W is covered with an insulating layer such as an interlayer insulating film, while a contact hole, a via hole, or a trench such as a wiring groove reaching the lower wiring layer is formed in advance. ing.
  • Such a wafer W is carried into the processing container 4 through the gate valve 18 and the carry-in / out port 16 which are held by a carrying arm (not shown) and opened. Then, the wafer W is transferred to the raised push-up pins 40. As the push-up pins 40 are lowered, the wafer W is mounted on the upper surface of the mounting table 34.
  • the raw material gas supply means 66 and the oxygen-containing gas supply means 68 are operated, and each predetermined gas is supplied to the shower head unit 6 while the flow rate is controlled, and each gas is injected from the gas injection holes 10A and 10B. And introduced into the processing space S.
  • these gases There are various supply modes of these gases, as will be described later.
  • Mn-containing source gas and water vapor are supplied.
  • the atmosphere in the processing container 4 and the exhaust space 22 is evacuated. And the valve opening degree of the pressure regulating valve 58 is adjusted, and the atmosphere of the processing space S is maintained at a predetermined process pressure.
  • the temperature of the wafer W is heated by the resistance heater 36 provided in the mounting table 34 and maintained at a predetermined process temperature.
  • the process temperature of the wafer W is about 200 ° C.
  • the shower head unit 6 and the processing container 4 are heated to a temperature at which the Mn source gas does not re-liquefy, for example, about 80 ° C.
  • a desired thin film is formed on the surface of the semiconductor wafer W.
  • a Mn-containing film is formed on the surface of the wafer W as a thin film.
  • This Mn-containing film is specifically a MnOx film (manganese oxide film), and in some cases MnSixOy reacted with the base.
  • the gas supply mode in this case as shown in Japanese Patent Application Laid-Open No. 2009-016782, for example, a method of supplying a Mn-containing source gas and water vapor simultaneously to form a thin film by a thermal CVD method;
  • ALD atomic Layered Deposition
  • a source gas and water vapor are alternately and repeatedly supplied to repeat a source gas adsorption step and a reaction step.
  • Either supply mode film formation method
  • thin films having a thickness of atomic level or molecular level can be repeatedly stacked one by one by alternately performing the adsorption of the source gas and the reaction by the supply of water vapor.
  • the source gas or water vapor is exposed to the atmosphere in the processing container. It adheres to the surface of the member, for example, the inner surface of the processing vessel, the gas injection surface of the shower head, the surface of the mounting table, the inner surface of the gate valve, etc. There was a tendency.
  • the surface of the member exposed to the atmosphere in the processing container 4 that is, the inner surface of the processing container 4 or the gas jet of the shower head unit 6 is used. Since the hydrophobic layer 96 is provided on the surface of the mounting table structure 30 including the surface 8 and the mounting table 34 and the support column 32 and on the inner surface of the gate valve 18, the Mn-containing source gas and The adhesion of water vapor is effectively suppressed.
  • FIG. 4 is a graph showing the film thickness of the film deposited on each surface layer.
  • the MnOx film is formed to a thickness of about 4.2 nm. This is an undesirable result.
  • the thickness of the deposited MnOx film is suppressed and very thin in all materials. That is, the film thickness in the case of a silicon layer chip subjected to HF treatment (hydrophobization treatment) is about 0.5 nm, and the film thickness in the case of a chip of SiOC layer (black diamond) is about 0.2 nm. The film thickness in the case of a porous SiOC layer chip was about 0.6 nm, and it was found that good results were obtained in all cases.
  • the hydrophobic layer 96 is formed on a necessary portion of the surface of each member before the film forming apparatus 2 is assembled.
  • the present invention is not limited to this.
  • the hydrophobic treatment may be performed prior to the formation of the Mn-containing film after assembling the film forming apparatus.
  • FIG. 5 is a flowchart showing an example of such a case.
  • a hydrophobic gas is flowed from a gas source (not shown) in a state where the wafer W is not accommodated in the processing container 4 of the film forming apparatus 2 constructed by assembling the respective members formed with the silicon layer, A hydrophobizing step is performed in which the surface of the silicon layer formed on the surface of each member is hydrophobized (S1).
  • the hydrophobizing gas HF gas or HMDS gas can be used.
  • the surface of the silicon layer formed on the surface of each member that is, the inner surface of the processing container 4, the gas injection surface 8 of the shower head unit 6, the surface of the mounting table structure 30, and the surface of the gate valve 18 is obtained.
  • the hydrophobic layer 96 is formed by being hydrophobized.
  • a hydrophobic layer 96 is formed on the surface of each member.
  • a thin film forming step is performed in which a Mn-containing source gas and water vapor are flown to form a MnOx film (S2).
  • S2 MnOx film
  • the hydrophobic layer 96 is formed on the surface of each member, the same effect as the effect described above can be exhibited.
  • the above-described hydrophobizing treatment has been performed on the silicon layer attached to the surface of each member, but the present invention is not limited to this. Hydrophobization is directly performed on each member without providing a silicon layer on the surface. Processing may be performed.
  • the same material is used for each member as the hydrophobic layer 96.
  • the present invention is not limited to this, and various materials may be combined separately.
  • an SiOC layer may be provided as the hydrophobic layer 96 on the inner surface of the processing container 4
  • an HF-treated silicon layer may be provided as the hydrophobic layer 96 on the gas ejection surface 8 of the shower head unit 6.
  • the oxygen-containing gas includes H 2 O (water vapor), N 2 O, NO 2 , NO.
  • H 2 O water vapor
  • N 2 O nitrogen
  • NO 2 nitrogen
  • One or more materials selected from the group consisting of O 3 , O 2 , H 2 O 2 , CO, CO 2 , alcohols and organic acids can be used.
  • Alcohols include methyl alcohol and ethyl alcohol.
  • the present invention is not limited to this.
  • the present invention can also be applied when a Mn film is formed as a thin film using an Mn-containing source gas without using an oxygen-containing gas.
  • Mn is used as an example of the metal contained in the organic metal source gas, but the present invention is not limited to this.
  • the metal contained in the organic metal source gas is selected from the group consisting of Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, and Re.
  • One or more metals can be used.
  • HF gas or HMDS gas has been described as an example of the hydrophobizing gas, but the present invention is not limited to this.
  • hydrophobizing gas HF (hydrofluoric acid), HMDS (Hexamethyldisilazane), TMDS (1,1,3,3-Tetramethyldisilazane), TM SDMA (Dimethylaminotrimethylsilane), DMSDMA (Dimethylsilyldimethylamine), TMMAS (Trimethylmethylaminosilane), TMICS (Trimethyl ( isocyanato) silane), TMSA (Trimethylsilylacetylene), and TMSC (Trimethylsilylcyanide5), 1,3,5,7-tetramethylcyclotetrasiloxane, dimethylsilane, tetraethylcyclotetrasiloxane, 1,2,3-triethyl-2, 4,6-trimethylcyclotrisilazane, 1,2,3,4,5,6-hexa
  • a semiconductor wafer has been described as an example of the object to be processed, but the semiconductor wafer includes a silicon substrate and a compound semiconductor substrate such as GaAs, SiC, or GaN.
  • the present invention is not limited to these substrates, and the present invention can also be applied to glass substrates, ceramic substrates, and the like used in liquid crystal display devices.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

 本発明は、真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成するようにした成膜装置において、前記処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられていることを特徴とする成膜装置である。

Description

成膜装置及び成膜方法
 本発明は、半導体ウエハ等の被処理体の表面に、例えばバリア/シード膜としてマンガン(Mn)含有膜等の薄膜を形成するための成膜装置及び成膜方法に関する。
 一般に、半導体デバイスを製造する際には、半導体ウエハに成膜処理やパターンエッチング処理等の各種の処理が繰り返し行われて、所望のデバイスが製造される。半導体デバイスの更なる高集積化及び高微細化の要請より、線幅やホール径は益々微細化されている。そして、配線材料や、トレンチ、ホールなどの凹部内への埋め込み材料としては、各種寸法の微細化により、より電気抵抗を小さくする必要から、電気抵抗が非常に小さくて且つ安価である銅が用いられる傾向がある(特開2004-107747号公報)。そして、配線材料や埋め込み材料として銅が用いられる場合には、その下層への銅の拡散バリヤ性等を考慮して、一般的にはタンタル金属(Ta)やタンタル窒化膜(TaN)等がバリヤ層として用いられる。
 凹部内を銅で埋め込むには、まずプラズマスパッタ装置内にて、凹部内の壁面全体を含むウエハ表面全面に銅膜よりなる薄いシード膜が形成されて、次に、ウエハ表面全体に銅メッキ処理が施される。これによって、凹部内が完全に埋め込まれる。その後、ウエハ表面の余分な銅薄膜がCMP(Chemical MechanicalPolishing)処理等により研磨処理されて取り除かれるようになっている。
 ところで、最近にあっては、上記バリヤ層の更なる信頼性の向上を目標として、種々の開発がなされている。中でも、上記Ta膜やTaN膜に代えてMn膜やCuMn合金膜を用いる自己形成バリヤ層が注目されている(特開2005-277390号公報)。このようなMn膜やCuMn合金膜は、スパッタリングにより成膜されて、当該Mn膜やCuMn合金膜自体がシード膜となる。従って、その上方にCuメッキ層を直接形成でき、メッキ後にアニールを施すことで自己整合的に下層の絶縁膜であるSiO2 層と反応して、このSiO2 層とMn膜やCuMn合金膜との境界部分にMnSi(x、y:任意の正の整数)膜、或いは、マンガン酸化物であるMnO(x:任意の正の整数)膜というバリヤ膜が形成される。すなわち、製造工程数を削減できる、という利点を有する。
 しかし、Cu膜やCuMn合金膜をスパッタリングにより成膜するという手法は、カバレッジが良くないため、半導体デバイスの高微細化に十分対応できない可能性がある。そこで、近年にあっては、これらの膜をCVD法により成膜するという研究がなされている。なお、マンガン酸化物は、Mnの価数によって、MnO、Mn、Mn、MnO等の複数種類が存在するが、本明細書ではこれらを総称してMnOと記述する。
 ところで、上述のようにMn膜やCuMn合金膜を成膜装置で形成する場合、通常は熱CVD法が用いられる。しかしながら、この場合、成膜装置の処理容器の内側表面や、処理容器内の内部構造物の表面、さらには排気系のトラップに至る排気配管、圧力調整弁(APC)、真空ポンプ等の内壁や内部構造物の表面に、堆積物がかなり多量に付着してしまう。この結果、クリーニング処理の頻度が多くなったり、或いは、上記堆積物の剥がれによるパーティクルが多量に発生する、といった問題がある。こういった問題は、特に、反応ガスとしてH2 O等の酸素含有ガスを添加した場合に、成膜レートが上昇するため、顕著に現れる。
発明の要旨
 本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、処理容器内の雰囲気に晒される部材の表面に堆積物が付着することを抑制可能な成膜装置及び成膜方法を提供することにある。
 本発明は、真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成するようにした成膜装置において、前記処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられていることを特徴とする成膜装置である。
 処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられていることにより、当該部材の表面に堆積物が付着することが、効果的に抑制され得る。
 あるいは、本発明は、真空引き可能になされた処理容器内で、有機金属原料ガスと酸素含有ガスとを用いて被処理体の表面に薄膜を形成するようにした成膜装置において、前記処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられていることを特徴とする成膜装置である。
 処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられていることにより、当該部材の表面に堆積物が付着することが、効果的に抑制され得る。
 好ましくは、前記部材には、前記処理容器と、前記処理容器内へガスを導入するシャワーヘッド部と、前記被処理体を支持する載置台構造と、前記処理容器内へ前記被処理体を搬出入する時に開閉されるゲートバルブと、からなる群より選択される1以上が含まれている。
 また、好ましくは、前記処理容器に接続された排気配管の内部にも、前記疎水層が形成されている。
 また、好ましくは、前記疎水層は、SiOC層とフッ素系樹脂層と疎水化処理されたシリコン層よりなる群より選択される1つの層からなる。
 また、好ましくは、前記有機金属原料ガスに含まれる金属は、Mn、Nb、Zr、Cr、V、Y、Pd、Ni、Pt、Rh、Tc、Al、Mg、Sn、Ge、Ti、Reよりなる群から選択される1以上の金属である。
 また、好ましくは、前記Mnを含む有機金属材料は、(EtCp)2 Mn[=Mn(C2 5 5 4 2 ]、Cp2 Mn[=Mn(C5 5 2 ]、(MeCp)2 Mn[=Mn(CH3 5 4 2 ]、(i-PrCp)2 Mn[=Mn(C3 7 5 4 2 ]、MeCpMn(CO)3 [=(CH3 5 4 )Mn(CO)3 ]、(t-BuCp)2 Mn[=Mn(C4 9 5 4 2 ]、CH3 Mn(CO)5 、Mn(DPM)3 [=Mn(C11192 3 ]、Mn(DMPD)(EtCp)[=Mn(C7 112 5 5 4 )]、Mn(acac)2 [=Mn(C5 7 2 2 ]、Mn(DPM)2 [=Mn(C11192 2 ]、Mn(acac)3  [=Mn(C5 7 2 3 ]、Mn(hfac)2 [=Mn(C5HF6 2 3 ]、((CH3 5 Cp)2 Mn[=Mn( (CH3 5 5 4 2 ]、[Mn(iPr-AMD)2 ][=Mn(C3 7 NC(CH3 )NC3 7 2 ]、[Mn(tBu-AMD)2 ][=Mn( C4 9 NC(CH3 )NC4 9 2 ]よりなる群から選択される1以上の材料である。
 あるいは、本発明は、真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成する成膜方法において、前記処理容器内へ前記被処理体を収容しない状態で疎水化ガスを流して前記処理容器の表面を疎水化する疎水化工程と、前記処理容器内へ前記被処理体を収容した状態で前記有機金属原料ガスを流して前記薄膜を形成する薄膜形成工程と、を備えたことを特徴とする成膜方法である。
 処理容器の表面が疎水化されることにより、当該表面に堆積物が付着することが、効果的に抑制され得る。
 あるいは、本発明は、真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成する成膜方法において、前記処理容器内の雰囲気に晒される部材の表面にシリコン層を予め形成するシリコン層形成工程と、前記処理容器内へ前記被処理体を収容しない状態で疎水化ガスを流して前記シリコン層の表面を疎水化する疎水化工程と、前記処理容器内へ前記被処理体を収容した状態で前記有機金属原料ガスを流して前記薄膜を形成する薄膜形成工程と、を備えたことを特徴とする成膜方法である。
 シリコン層の表面が疎水化されることにより、当該表面に堆積物が付着することが、効果的に抑制され得る。
 好ましくは、前記疎水化ガスは、HF(フッ酸)、HMDS(Hexamethyldisilazane)、TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Di methylaminotrimethylsilane)、DMSDMA(Dimethylsilyldimethylamine)、TMMAS(Trimethylmethylaminosilane)、TMICS(Trimethyl(isocyanato)silane)、TMSA(Trimethylsilylacetylene)、及びTMSC(Trim ethylsilylcyanide5 )、1,3,5,7-テトラメチルシクロテトラシロキサ ン、ジメチルシラン、テトラエチルシクロテトラシロキサン、1,2,3-トリエチル-2,4,6-トリメチルシクロトリシラザン、1,2,3,4,5,6-ヘキサメチルシクロトリシラザン、モノメチルシラン、ヘキサメチルジシラン、ヘキサメチルシロキサン、トリメチルシラン、テトラメチルシラン、ジメチルジメトキシシラン、オクタメチルシクロテトラシロキサン、トリメトキシメチルシラン、ヘキサエチルジシラザン、ヘキサフェニルジシラザン、ヘプタメチルジシラザン、ジプロピル-テトラメチルジシラザン、ジ-n-ブチル-テトラメチルジシラザン、ジ-n-オクチル-テトラメチルジシラザン、ジビニル-テトラメチルジシラザン、1,1,3,3,5,5-ヘキサメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、ヘキサフェニルシクロトリシラザン、オクタメチルシクロテトラシラザン、オクタエチルシクロテトラシラザン、テトラエチル-テトラメチルシクロテトラシラザン、テトラフェニルジメチルジシラザン、ジフェニル-テトラメチルジシラザン、トリビニル-トリメチルシクロトリシラザン、及びテトラビニル-テトラメチルシクロテトラシラザンよりなる群から選択される1以上のガスよりなる。
図1は、本発明に係る成膜装置の一例を示す構成図である。 図2は、成膜装置の各部材の表面に形成された疎水層を示す部分拡大断面図である。 図3A及び図3Bは、疎水化処理の一例を示す工程図である。 図4は、本発明の成膜装置で用いられる疎水層に堆積する膜の膜厚を評価する実験結果を示すグラフである。 図5は、本発明の成膜方法の一例を示すフローチャートである。
 以下に、本発明に係る成膜装置と成膜方法の一実施の形態を、添付図面に基づいて詳述する。図1は、本発明に係る成膜装置の一例を示す構成図であり、図2は、図1の成膜装置の各部材の表面に形成された疎水層を示す部分拡大断面図であり、図3は、疎水化処理の一例を示す工程図である。当該成膜装置は、有機金属原料ガスと酸素含有ガスとを用いて、薄膜としてのMn含有膜を成膜する装置である。尚、ここでは、酸素含有ガスとして水蒸気(H2 O)が用いられた場合を例にとって説明する。
 図示するように、本実施の形態に係る成膜装置2は、例えば内部の断面が略円形状になされたアルミニウム製あるいはアルミニウム合金製の処理容器4を有している。この処理容器4内の天井部には、必要なガス、例えば成膜ガス等を導入するためのガス導入手段であるシャワーヘッド部6が設けられている。この下面のガス噴射面8に設けられた多数のガス噴射孔10A、10Bから、処理空間Sに向けて、成膜に必要な各種のガスが噴射されるようになっている。
 このシャワーヘッド部6内には、中空状の2つに区画されたガス拡散室12A、12Bが形成されている。各ガス拡散室12A、12Bに導入された処理ガスは、平面方向へ拡散した後、各ガス拡散室12A、12Bにそれぞれ連通された各ガス噴射孔10A、10Bより吹き出すようになっている。すなわち、ガス噴射孔10A、10Bは、マトリクス状に配置されており、各ガス噴射孔10A、10Bより噴射された各ガスが処理空間Sで混合されるようになっている。
 このようなガス供給形態は、ポストミックスと称されている。このシャワーヘッド部6の全体は、例えばニッケルやハステロイ(登録商標)等のニッケル合金、アルミニウム、或いはアルミニウム合金により形成されている。尚、後述するALD法で成膜が行われる場合には、シャワーヘッド部6としてガス拡散室が1つのみの構造態様が採用されてもよい。シャワーヘッド部6と処理容器4の上端開口部との接合部には、例えばOリング等よりなるシール部材14が介在されて、処理容器4内の気密性が維持されるようになっている。
 また、処理容器4の側壁には、処理容器4内に対して被処理体としての半導体ウエハWを搬入ないし搬出するための搬出入口16が設けられている。この搬出入口16には、気密に開閉可能になされたゲートバルブ18が設けられている。
 そして、処理容器4の底部20に、排気空間22が形成されている。具体的には、容器底部20の中央部に大きな開口24が形成されており、当該開口24に、その下方へ延びる有底円筒体状の円筒区画壁26が連結されており、当該円筒区画壁26の内部が排気空間22を形成(区画)している。そして、円筒区画壁26の底部28には、これより起立するように載置台構造30が設けられている。載置台構造30は、具体的には、底部28から起立された円筒体状の支柱32と、当該支柱32の上端部に固定されてその上面に被処理体である半導体ウエハWを載置可能な載置台34と、によって主に構成されている。
 載置台34は、例えばセラミック材や石英ガラスやアルミニウム(合金も含む)よりなる。載置台34内には、加熱手段として通電により熱を発生する例えばカーボンワイヤヒータ等よりなる抵抗加熱ヒータ36が収容されている。これにより、載置台34の上面に載置された半導体ウエハWを加熱し得るようになっている。
 載置台34には、その上下方向に貫通するように、複数例えば3本のピン挿通孔38が形成されている(図1においては2つのみ示す)。各ピン挿通孔38には、上下移動可能に遊嵌状態で挿通された押し上げピン40が配置されている。押し上げピン40の下端には、円形リング形状に形成された例えばアルミナのようなセラミックス製の押し上げリング42が配置されている。すなわち、押し上げリング42によって、各押し上げピン40の下端が支持されている。押し上げリング42から延びるアーム部44は、容器底部20を貫通して設けられる出没ロッド46に連結されている。この出没ロッド46は、アクチュエータ48によって昇降可能となっている。
 以上により、ウエハWの受け渡し時に、各押し上げピン40を各ピン挿通孔38の上端から上方へ出没できるようになっている。なお、出没ロッド46の容器底部の貫通部には、伸縮可能なベローズ50が介設されており、出没ロッド46は処理容器4内の気密性を維持しつつ昇降できるようになっている。
 排気空間22の入口側の開口24は、載置台34の直径よりも小さく設定されており、載置台34の周縁部の外側を流下するガスは、載置台34の下方に回り込んでから開口24へ流入するようになっている。また、円筒区画壁26の下部側壁には、排気空間22に臨むように、排気口52が形成されている。この排気口52には、真空排気系54が接続されている。真空排気系54は、排気口52に接続された排気配管110からなる排気通路56を有しており、この排気通路56には、圧力調整弁58や真空ポンプ60や除害装置(図示せず)等が順次介設されている。これにより、処理容器4内及び排気空間22の雰囲気を圧力制御しながら真空引きして排気できるようになっている。そして、排気配管110には、ラバーヒータ112等が巻回されて、所定の温度に加熱されるようになっている。
 また、処理容器4の側壁、シャワーヘッド部6の側壁、円筒区画壁26の側壁、及び、円筒区画壁26の底部28には、原料ガスが再液化しないように所定の温度、例えば80℃、にそれらを維持する加熱手段として、例えばカートリッジヒータ62、64、114、116が埋め込まれている。
 そして、シャワーヘッド部6には、これに所定のガスを供給するために、原料ガスを供給する原料ガス供給手段66と、酸素含有ガスとして例えば水蒸気(H2 O)を供給するための酸素含有ガス供給手段68と、が接続されている。
 原料ガス供給手段66は、2つのガス拡散室の内の一方のガス拡散室12Aのガス入口70に接続された原料ガス流路72を有している。この原料ガス流路72は、途中に開閉弁74が介設されつつ、第1の原料を収容する第1の原料源78に接続されている。また、第1の原料源78の上流側の原料ガス流路72には、バブリングガスの流量を調整するためのマスフローコントローラのような流量制御器76が設置されている。
 第1の原料としては、金属が含まれた有機金属原料が用いられる。例えば、流量制御されたArガス等の不活性ガスでバブリングすることにより、上記原料がガス化されて、有機金属原料ガスが不活性ガスに随伴されて供給され得るようになっている。ここで、上記原料の蒸気圧が低い場合には、原料の蒸気圧を上げるために、第1の原料源78は図示しないヒータ等で加熱される。有機金属原料としては、例えばマンガンを含む(EtCp)2 Mn(プリカーサ:シクロペンタジエニルマンガン)が、液体の状態で第1の原料源78に貯留されている。尚、バブリング用の不活性ガスとしては、Arガスに代えて、He、Ne等の他の希ガスや、N2 あるいはH2 も用いることができる。
 そして、原料ガス流路72や、これに介設される開閉弁74には、原料ガスが再液化することを防止するために、テープヒータ80が巻回されていて、これらは例えば80℃に加熱されるようになっている。尚、使用する原料に応じて原料ガス供給手段を複数設置してもよいのは勿論である。
 酸素含有ガス供給手段68は、他方のガス拡散室12Bのガス入口82に接続されたガス流路84を有している。このガス流路84は、途中に開閉弁86及びマスフローコントローラのような流量制御器88が順次介設されつつ、水蒸気を発生する水蒸気源90に接続されている。この水蒸気源90は、例えば貯水タンクよりなる。この貯水タンクは、例えば温調器92により例えば40℃程度に維持されていて、蒸気圧を高めて水蒸気を発生させるようになっている。
 そして、ガス流路84や、これに介設される開閉弁86ないし流量制御器88には、水蒸気が再液化することを防止するために、テープヒータ94が巻回されていて、これらは例えば80℃に加熱されるようになっている。
 本実施の形態では、原料ガスは、シャワーヘッド部6の上方に位置するガス拡散室12Aに導入され、酸素含有ガス(水蒸気)は、シャワーヘッド部6の下方に位置するガス拡散室12Bに導入されている。これは、シャワーヘッド部6は載置台34と対向して近接していることから、ガス噴射面8の温度が上昇する傾向にあるためである。すなわち、原料ガスを下方のガス拡散室12Bに導入すると、当該原料ガスが分解してしまう恐れがあるためである。
 また、図示はされていないが、パージ用の不活性ガス供給手段がシャワーヘッド部6に接続されていて、必要に応じてパージガスが供給されるようになっている。パージ用ガスとしては、N2 ガス、Arガス、Heガス、Neガス等の不活性ガスを用いることができる。そして、処理容器4内の雰囲気に晒される部材の表面に、本発明の特徴である疎水層96が設けられている。
 具体的には、当該部材とは、本実施の形態では、処理容器4、シャワーヘッド部6、載置台構造30及びゲートバルブ18等が該当する。すなわち、処理容器4の内側表面(円筒区画壁26の内側表面も含む)、シャワーヘッド部6の下側の表面、載置台34の各表面、支柱32の各表面、ゲートバルブ18の内側表面等であって、処理容器4内の雰囲気に直接晒される表面が該当する。そして、それらの表面に、疎水層96が設けられている。この時の状況は、図2に示されている。すなわち、処理容器4等に代表される上記各部材の表面に、疎水層96が設けられている。疎水層96の表面は、疎水性となっている。従って、疎水層96の各表面に堆積物が付着することが抑制されている。尚、この場合、堆積物の付着抑制効果を十分に発揮するためには、少なくとも処理容器4の内側表面に疎水層96を形成するのがよい。さらには、処理容器4に接続された排気配管110の内部表面や、圧力調整弁58と真空ポンプ60の内壁や内部構造物にも、疎水層96が設けられることが好ましい。
 疎水層96としては、具体的には、SiOC層やフッ素系樹脂層や潤滑アルマイトや疎水性耐熱塗料や疎水化処理されたシリコン層を用いることができる。このような疎水層96は、例えば厚さが0.01~5mm程度の厚さで形成される。SiOC層は、SiOC材そのものが疎水性を有している。SiOC層としては、内部が緻密になっているSiOC材、或いは、内部にポーラスを有するSiOC材を用いることができる。一例として、SiOC層として、ブラックダイヤモンド(登録商標)やAurora ULK(登録商標)等を用いることができる。また、フッ素系樹脂層は、フッ素系樹脂材そのものが疎水性を有している。フッ素系樹脂層としては、例えばテフロン(登録商標)を用いることができる。また、潤滑アルマイトは、硬質アルマイトの皮膜の微細孔に、オレイン酸などの脂肪酸やグラファイトやテフロン樹脂(フッ素系樹脂)を充填したものであり、PTFE(ポリテトラフルオロエチレン)の微粒子を吸着させたアルマイトをも含む。
 また、シリコン層は、例えばシリコン溶射処理によって処理容器4等の各部材の表面に形成される。この場合、図3A及び図3Bに示すように、シリコン層100の表面は親水基である-OH基で終端しているので、この表面に疎水化処理を施すことによって、シリコン層100の表面が疎水性とされて上記疎水層96が形成される。疎水化処理の一例としては、図3Aに示すように、シリコン層100の表面をHF洗浄する方法と、図3Bに示すように、HMDS(ヘキサメチルジシラザン)[=(CH ) Si-NH-Si(CH ) ]処理する方法がある。HF洗浄することにより、図3Aに示すように、シリコン層100の表面の-OH基は-H基で置き換わって、水素終端することになる。これにより、疎水性が発揮されることになる。あるいは、HMDS処理することにより、図3Bに示すように、シリコン層100の表面の-OH基が上記HMDSと反応してシリル化してSiと3つのメチル基が付着する。これにより、疎水性が発揮されることになる。なお、図3Bに示すR1、R2、R3は、メチル基に限らず、アルキル基であればよいことを示している。
 上記した疎水層96は、成膜装置2を組み立てる前において、すなわち、各部材が部品の状態になっている時に、組み立て後に処理容器4内の雰囲気に晒されることになる表面に対して選択的に形成されることが好ましい。
 そして、図1に戻って、このような装置全体の動作を制御するために、例えばコンピュータ等よりなる制御手段102が設けられている。制御手段102は、各ガスの供給の開始と停止の制御、各ガスの供給量の制御、処理容器4内の圧力制御、ウエハWの温度制御、等を行うようになっている。そして、制御手段102は、上記した制御を行うためのコンピュータプログラムが記憶された記憶媒体104やユーザーインターフェース106を有している。記憶媒体104としては、例えばフレキシブルディスク、フラッシュメモリ、ハードディスク、CD(Compact Disc)等を用いることができる。ユーザーインターフェース106は、オペレータが成膜装置2を管理するためにコマンドの入出力操作等を行なうためのキーボードや、成膜装置2の稼働状況を可視化して表示するディスプレイ等からなる。
 次に、以上のように構成された成膜装置2の動作について説明する。まず、未処理の半導体ウエハWは、その表面が、例えば層間絶縁膜などの絶縁層により覆われている一方、下層の配線層に至るコンタクトホールやビアホールや配線溝のようなトレンチが予め形成されている。このようなウエハWが、図示されない搬送アームに保持されて、開状態となったゲートバルブ18、搬出入口16を介して、処理容器4内へ搬入される。そして、当該ウエハWは、上昇された押し上げピン40に受け渡される。押し上げピン40が降下されることにより、ウエハWは載置台34の上面に載置される。
 次に、原料ガス供給手段66や酸素含有ガス供給手段68が動作されて、シャワーヘッド部6へ所定の各ガスがそれぞれ流量制御されつつ供給されて、各ガスがガス噴射孔10A、10Bより噴射され、処理空間Sへ導入される。これらの各ガスの供給態様については、後述するように、種々存在する。ここでは、Mn含有原料ガスと水蒸気とが供給される。
 そして、真空排気系54に設けられた真空ポンプ60の駆動を継続することにより、処理容器4内や排気空間22内の雰囲気が真空引きされる。そして、圧力調整弁58の弁開度が調整されて、処理空間Sの雰囲気が所定のプロセス圧力に維持される。この時、ウエハWの温度は、載置台34内に設けられた抵抗加熱ヒータ36により加熱されて、所定のプロセス温度に維持されている。この場合、ウエハWのプロセス温度は、200℃程度である。また、シャワーヘッド部6や処理容器4は、Mn原料ガスが再液化しない温度、例えば80℃程度に加熱されている。
 以上により、半導体ウエハWの表面に所望の薄膜が形成される。この場合、ウエハWの表面には、薄膜としてMn含有膜が形成されることになる。このMn含有膜は、具体的にはMnOx膜(マンガン酸化膜)であり、場合によっては下地と反応したMnSixOyである。
 この場合のガスの供給態様は、特開2009-016782号公報にて示されているように、例えばMn含有原料ガスと水蒸気とを同時に供給して熱CVD法により薄膜を形成する方法と、Mn含有原料ガスと水蒸気とを交互に間欠的に繰り返し供給して原料ガスの吸着工程と反応工程とを繰り返すALD(Atomic Layered Deposition)法と、がある。どちらの供給態様(成膜方法)を用いてもよい。ALD法では、原料ガスの吸着と水蒸気の供給による反応とを交互に行うことによって、原子レベル或いは分子レベルの厚さの薄膜を1層ずつ繰り返し積層形成することができる。
 以上のような成膜処理において、従来の成膜装置の場合には、Mn含有原料ガスや水蒸気を処理容器内へ供給すると、これらの原料ガスや水蒸気が処理容器内の雰囲気に晒されている部材の表面、例えば処理容器の内側表面やシャワーヘッド部のガス噴射面や載置台の表面やゲートバルブの内側表面等、に付着して、そこにMn含有膜の薄膜が不要な膜として堆積するという傾向があった。
 しかしながら、本実施の形態の成膜装置2においては、先に説明したように、処理容器4内の雰囲気に晒される部材の表面、すなわち、処理容器4の内側表面やシャワーヘッド部6のガス噴射面8や載置台34と支柱32とを含む載置台構造30の表面やゲートバルブ18の内側表面に、それぞれ疎水層96が設けられているため、これらの各部材の表面にMn含有原料ガスや水蒸気が付着することが効果的に抑制されている。
 特にMn含有原料として、(EtCp)2 Mnのような原料を用いる場合には、シクロペンタジエニル(Cp)が芳香性でπ電子を有するため、Mn含有原料自体も疎水性の部材表面に吸着し難いと考えられる。このようなシクロペンタジエニル配位子を有するMn原料としては、例えば、(EtCp)2 Mn[=Mn(C2 5 5 4 2 ]、Cp2 Mn[=Mn(C5 5 2 ]、(MeCp)2 Mn[=Mn(CH3 5 4 2 ]、(i-PrCp)2 Mn[=Mn(C3 7 5 4 2 ]、MeCpMn(CO)3 [=(CH3 5 4 )Mn(CO)3 ]、(t-BuCp)2 Mn[=Mn(C4 9 5 4 2 ]、Mn(DMPD)(EtCp)[=Mn(C7 112 5 5 4 )]、((CH3 5 Cp)2 Mn[=Mn( (CH3 5 5 4 2 ]を用いることができる、すなわち、これらの群から選択される1以上の材料を用いることができる。この場合、各部材の表面に不要な堆積物が付着して堆積することを、より一層効果的に防止することができる。従って、不要な堆積物の膜剥がれによるパーティクルの発生も大幅に抑制することが可能となる。また、処理容器内のクリーニングなどのメンテナンスによる装置のダウンタイムを減らすことができ、ランニングコストの低減も可能となる。
 次に、上述したような成膜装置に用いられた疎水層96について、評価実験を行った。その結果について説明する。ここでは、各疎水層を構成する材料が表面に形成されたチップ(小片)を、処理容器内の載置台上に設置し、MnOx膜の成膜時と同じプロセス温度である200℃に維持して、上述したのと同様なMnOx膜の成膜処理を10分間実施した。
 また、比較のために、処理容器の構成材料であり疎水化処理を施してないアルミニウム合金のチップと、親水性表面の代表としてTEOSにより形成されたSiO2 膜が表面に形成されたチップと、に対してもそれぞれ同じ成膜処理を実施した。この時の結果を図4に示す。図4は、各表面層に堆積した膜の膜厚を示すグラフである。
 図4に示すように、TEOSを用いて形成された親水性表面であるSiO2 膜チップの表面には、MnOx膜は4.2nm程度まで厚く形成されている。これは、好ましくない結果である。
 これに対して、本発明で用いられる疎水層の場合には、全ての材料において、堆積したMnOx膜の厚さが抑制されて非常に薄くなっていることが判る。すなわち、HF処理(疎水化処理)されたシリコン層のチップの場合の膜厚は、0.5nm程度であり、SiOC層(ブラックダイヤモンド)のチップの場合の膜厚は、0.2nm程度であり、ポーラス状のSiOC層のチップの場合の膜厚は、0.6nm程度であり、いずれも良好な結果が得られることが判った。
 前記実施の形態の成膜装置2にあっては、成膜装置2を組み立てる前に、各部材の表面の必要とする部分にそれぞれ疎水層96を形成するようにしたが、これに限定されない。例えば、疎水層96としてシリコン層を用いる場合には、成膜装置を組み立てた後に、Mn含有膜の成膜に先立って疎水化処理を行なってもよい。
 図5は、このような場合の一例を示すフローチャートである。具体的には、シリコン層が形成された各部材を組み立てて構築された成膜装置2の処理容器4内に、ウエハWを収容しない状態で、図示しないガス源より疎水化ガスを流して、各部材の表面に形成されていたシリコン層の表面を疎水化するという疎水化工程が行われる(S1)。疎水化ガスとしては、HFガスやHMDSガスを用いることができる。これにより、各部材の表面、すなわち、処理容器4の内側表面やシャワーヘッド部6のガス噴射面8や載置台構造30の表面やゲートバルブ18の表面、に形成されていたシリコン層の表面が、図3に示すように疎水化されて、疎水層96が形成されることになる。
 これにより、図1に示すように、各部材の表面にはそれぞれ疎水層96が形成された状態となる。その後は、先に説明したように、Mn含有原料ガスと水蒸気とを流してMnOx膜を形成する薄膜形成工程が行われる(S2)。このような方法の場合にも、各部材の表面に疎水層96が形成されているので、先に説明した作用効果と同様な作用効果を発揮することができる。また、上記の疎水化処理は、各部材の表面に付着させたシリコン層に対して行なわれていたが、これに限定されず、表面にシリコン層を設けずに各部材に対して直接疎水化処理を施してもよい。
 尚、前記実施の形態においては、疎水層96として各部材に対して同一の材料を用いたが、これに限定されず、種々の材料を別々に組み合わせるようにしてもよい。例えば、処理容器4の内側表面には疎水層96としてSiOC層を設け、シャワーヘッド部6のガス噴射面8には疎水層96としてHF処理されたシリコン層を設けてもよい。
 また、前記実施の形態では、酸素含有ガスとして水蒸気を用いた場合を例にとって説明したが、これに限定されず、酸素含有ガスは、H2 O(水蒸気)、N2 O、NO2 、NO、O3 、O2 、H2 2 、CO、CO2 、アルコール類、有機酸よりなる群より選択される1以上の材料を用いることができる。アルコール類には、メチルアルコールやエチルアルコール等が含まれる。
 また、前記実施の形態では、Mn含有原料ガスと酸素含有ガスとを用いて薄膜としてMnOx膜を用いた場合を例にとって説明したが、これに限定されない。酸素含有ガスは用いないで、Mn含有原料ガスを用いて薄膜としてMn膜を成膜する場合にも本発明を適用することができる。
 更には、前記実施の形態では、Mn含有原料として(EtCp)2 Mnを用いた場合を例にとって説明したが、これに限定されず、Mn含有原料としては、(EtCp)2 Mn[=Mn(C2 5 5 4 2 ]、Cp2 Mn[=Mn(C5 5 2 ]、(MeCp)2 Mn[=Mn(CH3 5 4 2 ]、(i-PrCp)2 Mn[=Mn(C3 7 5 4 2 ]、MeCpMn(CO)3 [=(CH3 5 4 )Mn(CO)3 ]、(t-BuCp)2 Mn[=Mn(C4 9 5 4 2 ]、CH3 Mn(CO)5 、Mn(DPM)3 [=Mn(C11192 3 ]、Mn(DMPD)(EtCp)[=Mn(C7 112 5 5 4 )]、Mn(acac)2 [=Mn(C5 7 2 2 ]、Mn(DPM)2 [=Mn(C11192 2 ]、Mn(acac)3  [=Mn(C5 7 2 3 ]、Mn(hfac)2 [=Mn(C5HF6 2 3 ]、((CH3 5 Cp)2 Mn[=Mn( (CH3 5 5 4 2 ]、[Mn(iPr-AMD)2 ][=Mn(C3 7 NC(CH3 )NC3 7 2 ]、[Mn(tBu-AMD)2 ][=Mn( C4 9 NC(CH3 )NC4 9 2 ]よりなる群から選択される1以上の材料を用いることができる。
 また、前記実施の形態では、有機金属原料ガスに含まれる金属としてMnを例にとって説明したが、これに限定されない。有機金属原料ガスに含まれる金属としては、Mn、Nb、Zr、Cr、V、Y、Pd、Ni、Pt、Rh、Tc、Al、Mg、Sn、Ge、Ti、Reよりなる群から選択される1以上の金属を用いることができる。
 また、前記実施の形態では、疎水化ガスとしてHFガスやHMDSガスを例にとって説明したが、これに限定されない。疎水化ガスとしては、HF(フッ酸)、HMDS(Hexamethyldisilazane)、TMDS(1,1,3,3-Tetramethyldisilazane)、TM SDMA(Dimethylaminotrimethylsilane)、DMSDMA(Dimethylsilyldimethylamine)、TMMAS(Trimethylmethylaminosilane)、TMICS(Trimethyl(isocyanato)silane)、TMSA(Trimethylsilylacetylene)、及びT MSC(Trimethylsilylcyanide5 )、1,3,5,7-テトラメチルシクロテ トラシロキサン、ジメチルシラン、テトラエチルシクロテトラシロキサン、1,2,3-トリエチル-2,4,6-トリメチルシクロトリシラザン、1,2,3,4,5,6-ヘキサメチルシクロトリシラザン、モノメチルシラン、ヘキサメチルジシラン、ヘキサメチルシロキサン、トリメチルシラン、テトラメチルシラン、ジメチルジメトキシシラン、オクタメチルシクロテトラシロキサン、トリメトキシメチルシラン、ヘキサエチルジシラザン、ヘキサフェニルジシラザン、ヘプタメチルジシラザン、ジプロピル-テトラメチルジシラザン、ジ-n-ブチル-テトラメチルジシラザン、ジ-n-オクチル-テトラメチルジシラザン、ジビニル-テトラメチルジシラザン、1,1,3,3,5,5-ヘキサメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、ヘキサフェニルシクロトリシラザン、オクタメチルシクロテトラシラザン、オクタエチルシクロテトラシラザン、テトラエチル-テトラメチルシクロテトラシラザン、テトラフェニルジメチルジシラザン、ジフェニル-テトラメチルジシラザン、トリビニル-トリメチルシクロトリシラザン、及び、テトラビニル-テトラメチルシクロテトラシラザンよりなる群から選択される1以上のガスを用いることができる。
 また、ここでは、被処理体として半導体ウエハを例にとって説明したが、半導体ウエハにはシリコン基板やGaAs、SiC、GaNなどの化合物半導体基板も含まれる。更には、これらの基板に限定されず、液晶表示装置に用いるガラス基板やセラミック基板等にも本発明を適用することができる。

Claims (10)

  1.  真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成するようにした成膜装置において、
     前記処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられている
    ことを特徴とする成膜装置。
  2.  真空引き可能になされた処理容器内で、有機金属原料ガスと酸素含有ガスとを用いて被処理体の表面に薄膜を形成するようにした成膜装置において、
     前記処理容器内の雰囲気に晒される部材の表面に、疎水層が設けられている
    ことを特徴とする成膜装置。
  3.  前記部材には、前記処理容器と、前記処理容器内へガスを導入するシャワーヘッド部と、前記被処理体を支持する載置台構造と、前記処理容器内へ前記被処理体を搬出入する時に開閉されるゲートバルブと、からなる群より選択される1以上が含まれている
    ことを特徴とする請求項1または2に記載の成膜装置。
  4.  前記処理容器に接続された排気配管の内部にも、前記疎水層が形成されている
    ことを特徴とする請求項1乃至3のいずれか一項に記載の成膜装置。
  5.  前記疎水層は、SiOC層とフッ素系樹脂層と疎水化処理されたシリコン層よりなる群より選択される1つの層からなる
    ことを特徴とする請求項請求項1乃至4のいずれか一項に記載の成膜装置。
  6.  前記有機金属原料ガスに含まれる金属は、Mn、Nb、Zr、Cr、V、Y、Pd、Ni、Pt、Rh、Tc、Al、Mg、Sn、Ge、Ti、Reよりなる群から選択される1以上の金属である
    ことを特徴とする請求項1乃至5のいずれか一項に記載の成膜装置。
  7.  前記Mnを含む有機金属材料は、(EtCp)2 Mn[=Mn(C2 5 5 4 2 ]、Cp2 Mn[=Mn(C5 5 2 ]、(MeCp)2 Mn[=Mn(CH3 5 4 2 ]、(i-PrCp)2 Mn[=Mn(C3 7 5 4 2 ]、MeCpMn(CO)3 [=(CH3 5 4 )Mn(CO)3 ]、(t-BuCp)2 Mn[=Mn(C4 9 5 4 2 ]、CH3 Mn(CO)5 、Mn(DPM)3 [=Mn(C11192 3 ]、Mn(DMPD)(EtCp)[=Mn(C7 112 5 5 4 )]、Mn(acac)2 [=Mn(C5 7 2 2 ]、Mn(DPM)2 [=Mn(C11192 2 ]、Mn(acac)3  [=Mn(C5 7 2 3 ]、Mn(hfac)2 [=Mn(C5HF6 2 3 ]、((CH3 5 Cp)2 Mn[=Mn( (CH3 5 5 4 2 ]、[Mn(iPr-AMD)2 ][=Mn(C3 7 NC(CH3 )NC3 7 2 ]、[Mn(tBu-AMD)2 ][=Mn( C4 9 NC(CH3 )NC4 9 2 ]よりなる群から選択される1以上の材料である
    ことを特徴とする請求項6記載の成膜装置。
  8.  真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成する成膜方法において、
     前記処理容器内へ前記被処理体を収容しない状態で疎水化ガスを流して前記処理容器の表面を疎水化する疎水化工程と、
     前記処理容器内へ前記被処理体を収容した状態で前記有機金属原料ガスを流して前記薄膜を形成する薄膜形成工程と、
    を備えたことを特徴とする成膜方法。
  9.  真空引き可能になされた処理容器内で、有機金属原料ガスを用いて被処理体の表面に薄膜を形成する成膜方法において、
     前記処理容器内の雰囲気に晒される部材の表面にシリコン層を予め形成するシリコン層形成工程と、
     前記処理容器内へ前記被処理体を収容しない状態で疎水化ガスを流して前記シリコン層の表面を疎水化する疎水化工程と、
     前記処理容器内へ前記被処理体を収容した状態で前記有機金属原料ガスを流して前記薄膜を形成する薄膜形成工程と、
    を備えたことを特徴とする成膜方法。
  10.  前記疎水化ガスは、HF(フッ酸)、HMDS(Hexamethyldisilazane)、TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Di methylaminotrimethylsilane)、DMSDMA(Dimethylsilyldimethylamine)、TMMAS(Trimethylmethylaminosilane)、TMICS(Trimethyl(isocyanato)silane)、TMSA(Trimethylsilylacetylene)、及びTMSC(Trim ethylsilylcyanide5 )、1,3,5,7-テトラメチルシクロテトラシロキサ ン、ジメチルシラン、テトラエチルシクロテトラシロキサン、1,2,3-トリエチル-2,4,6-トリメチルシクロトリシラザン、1,2,3,4,5,6-ヘキサメチルシクロトリシラザン、モノメチルシラン、ヘキサメチルジシラン、ヘキサメチルシロキサン、トリメチルシラン、テトラメチルシラン、ジメチルジメトキシシラン、オクタメチルシクロテトラシロキサン、トリメトキシメチルシラン、ヘキサエチルジシラザン、ヘキサフェニルジシラザン、ヘプタメチルジシラザン、ジプロピル-テトラメチルジシラザン、ジ-n-ブチル-テトラメチルジシラザン、ジ-n-オクチル-テトラメチルジシラザン、ジビニル-テトラメチルジシラザン、1,1,3,3,5,5-ヘキサメチルシクロトリシラザン、ヘキサエチルシクロトリシラザン、ヘキサフェニルシクロトリシラザン、オクタメチルシクロテトラシラザン、オクタエチルシクロテトラシラザン、テトラエチル-テトラメチルシクロテトラシラザン、テトラフェニルジメチルジシラザン、ジフェニル-テトラメチルジシラザン、トリビニル-トリメチルシクロトリシラザン、及びテトラビニル-テトラメチルシクロテトラシラザンよりなる群から選択される1以上のガスよりなる
    ことを特徴とする請求項8または9に記載の成膜方法。
PCT/JP2010/062242 2009-07-22 2010-07-21 成膜装置及び成膜方法 WO2011010660A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/386,307 US8709541B2 (en) 2009-07-22 2010-07-21 Method for forming a film
KR1020127001744A KR101361249B1 (ko) 2009-07-22 2010-07-21 성막 장치 및 성막 방법
CN2010800168676A CN102395705A (zh) 2009-07-22 2010-07-21 成膜装置和成膜方法
US14/204,551 US20140190409A1 (en) 2009-07-22 2014-03-11 Device and method for forming film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009170837A JP5359642B2 (ja) 2009-07-22 2009-07-22 成膜方法
JP2009-170837 2009-07-22

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/386,307 A-371-Of-International US8709541B2 (en) 2009-07-22 2010-07-21 Method for forming a film
US14/204,551 Division US20140190409A1 (en) 2009-07-22 2014-03-11 Device and method for forming film

Publications (1)

Publication Number Publication Date
WO2011010660A1 true WO2011010660A1 (ja) 2011-01-27

Family

ID=43499132

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/062242 WO2011010660A1 (ja) 2009-07-22 2010-07-21 成膜装置及び成膜方法

Country Status (5)

Country Link
US (2) US8709541B2 (ja)
JP (1) JP5359642B2 (ja)
KR (1) KR101361249B1 (ja)
CN (1) CN102395705A (ja)
WO (1) WO2011010660A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103178001A (zh) * 2011-12-21 2013-06-26 中芯国际集成电路制造(上海)有限公司 处理多孔超低介电常数层的方法

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5538128B2 (ja) * 2010-08-09 2014-07-02 東京エレクトロン株式会社 排気方法およびガス処理装置
JP5687587B2 (ja) * 2011-09-14 2015-03-18 株式会社東芝 膜形成方法および膜形成装置
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP5960614B2 (ja) * 2012-03-29 2016-08-02 Ckd株式会社 流体制御システム、流体制御方法
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
TWI615925B (zh) 2013-03-04 2018-02-21 盧森堡商經度半導體責任有限公司 半導體裝置
CN103400890A (zh) * 2013-07-08 2013-11-20 浙江晶科能源有限公司 一种晶硅太阳电池pecvd色差片去膜重镀的返工工艺
US9847302B2 (en) * 2013-08-23 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer surface conditioning for stability in fab environment
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP2016004610A (ja) * 2014-06-13 2016-01-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 電池用電極及びその製造方法
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
JP5963893B2 (ja) * 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR20170135760A (ko) * 2016-05-31 2017-12-08 도쿄엘렉트론가부시키가이샤 표면 처리에 의한 선택적 퇴적
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
CN109166898A (zh) * 2018-09-04 2019-01-08 武汉华星光电半导体显示技术有限公司 一种有机发光二极管显示器的制作方法
US11155916B2 (en) 2018-09-21 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for pumping gases from a chamber
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
CN111364027A (zh) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 原子层沉积腔室部件及其制备方法、以及原子层沉积设备
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP7238687B2 (ja) * 2019-08-16 2023-03-14 東京エレクトロン株式会社 成膜装置及び成膜方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR102319197B1 (ko) * 2019-10-31 2021-11-01 세메스 주식회사 기판 처리 장치 및 방법
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
US11702738B2 (en) * 2021-05-17 2023-07-18 Applied Materials, Inc. Chamber processes for reducing backside particles
US20220415635A1 (en) * 2021-06-25 2022-12-29 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002266073A (ja) * 2001-03-07 2002-09-18 Tokyo Electron Ltd 成膜方法
JP2004115899A (ja) * 2002-09-27 2004-04-15 Ulvac Japan Ltd 表面処理方法及び真空容器類
JP2004311937A (ja) * 2002-11-30 2004-11-04 Samsung Electronics Co Ltd 誘電膜工程を単純化して半導体素子のキャパシタを製造する方法及びその誘電膜を形成する装置
JP2005251975A (ja) * 2004-03-04 2005-09-15 Ulvac Japan Ltd 真空処理室用表面構造
WO2006101171A1 (ja) * 2005-03-24 2006-09-28 Ulvac, Inc. 真空部品の製造方法、樹脂被膜形成装置及び真空成膜システム
JP2007501902A (ja) * 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2007537360A (ja) * 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP2004055401A (ja) * 2002-07-22 2004-02-19 Sony Corp 有機膜形成装置
JP3495033B1 (ja) 2002-09-19 2004-02-09 東京エレクトロン株式会社 無電解メッキ装置、および無電解メッキ方法
CN1189923C (zh) * 2002-09-27 2005-02-16 上海华虹(集团)有限公司 一种高介电栅介质结构及其制备方法
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US20090023600A1 (en) * 2004-11-05 2009-01-22 Universitat Osnabruck Device and Process for Measuring Cell Properties
US7344226B2 (en) * 2005-04-04 2008-03-18 Silverbrook Research Pty Ltd Method of hydrophobically coating a printhead
JP2007194503A (ja) * 2006-01-20 2007-08-02 Toshiba Corp 基板処理方法および基板処理装置
JP4670677B2 (ja) * 2006-02-17 2011-04-13 東京エレクトロン株式会社 加熱装置、加熱方法、塗布装置及び記憶媒体
US7984973B2 (en) * 2006-12-04 2011-07-26 Silverbrook Research Pty Ltd Thermal bend actuator comprising aluminium alloy
US7600856B2 (en) * 2006-12-12 2009-10-13 Eastman Kodak Company Liquid ejector having improved chamber walls
US8012363B2 (en) * 2007-11-29 2011-09-06 Silverbrook Research Pty Ltd Metal film protection during printhead fabrication with minimum number of MEMS processing steps

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002266073A (ja) * 2001-03-07 2002-09-18 Tokyo Electron Ltd 成膜方法
JP2004115899A (ja) * 2002-09-27 2004-04-15 Ulvac Japan Ltd 表面処理方法及び真空容器類
JP2004311937A (ja) * 2002-11-30 2004-11-04 Samsung Electronics Co Ltd 誘電膜工程を単純化して半導体素子のキャパシタを製造する方法及びその誘電膜を形成する装置
JP2007501902A (ja) * 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
JP2005251975A (ja) * 2004-03-04 2005-09-15 Ulvac Japan Ltd 真空処理室用表面構造
JP2007537360A (ja) * 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法
WO2006101171A1 (ja) * 2005-03-24 2006-09-28 Ulvac, Inc. 真空部品の製造方法、樹脂被膜形成装置及び真空成膜システム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103178001A (zh) * 2011-12-21 2013-06-26 中芯国际集成电路制造(上海)有限公司 处理多孔超低介电常数层的方法

Also Published As

Publication number Publication date
US20140190409A1 (en) 2014-07-10
US8709541B2 (en) 2014-04-29
KR20120034110A (ko) 2012-04-09
US20120251721A1 (en) 2012-10-04
CN102395705A (zh) 2012-03-28
KR101361249B1 (ko) 2014-02-11
JP5359642B2 (ja) 2013-12-04
JP2011026634A (ja) 2011-02-10

Similar Documents

Publication Publication Date Title
WO2011010660A1 (ja) 成膜装置及び成膜方法
JP5487748B2 (ja) バリヤ層、成膜方法及び処理システム
KR101214704B1 (ko) 성막 방법 및 처리 시스템
JP5683038B2 (ja) 成膜方法
US7220461B2 (en) Method and apparatus for forming silicon oxide film
WO2007142329A1 (ja) 成膜装置、成膜方法、コンピュータプログラムおよび記憶媒体
KR101422982B1 (ko) 성막 방법 및 성막 장치
KR20220079671A (ko) 갭 충전 증착 공정
US10490443B2 (en) Selective film forming method and method of manufacturing semiconductor device
US9536745B2 (en) Tungsten film forming method
US8263181B2 (en) Ti-based film forming method and storage medium
JP6117588B2 (ja) Cu配線の形成方法
JPWO2015080058A1 (ja) タングステン膜の成膜方法
JP6391355B2 (ja) タングステン膜の成膜方法
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
US9887099B2 (en) Pattern forming method capable of minimizing deviation of an inversion pattern
US20120211890A1 (en) Method for forming metal thin film, semiconductor device and manufacturing method thereof
KR20230079221A (ko) 기판 처리 방법 및 기판 처리 장치
JP2019031746A (ja) タングステン膜の成膜方法および成膜装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080016867.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10802280

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20127001744

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 13386307

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 10802280

Country of ref document: EP

Kind code of ref document: A1