WO2007052711A1 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
WO2007052711A1
WO2007052711A1 PCT/JP2006/321890 JP2006321890W WO2007052711A1 WO 2007052711 A1 WO2007052711 A1 WO 2007052711A1 JP 2006321890 W JP2006321890 W JP 2006321890W WO 2007052711 A1 WO2007052711 A1 WO 2007052711A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
outer peripheral
plasma processing
dielectric plate
processing apparatus
Prior art date
Application number
PCT/JP2006/321890
Other languages
English (en)
French (fr)
Inventor
Mitsuru Hiroshima
Hiromi Asakura
Syouzou Watanabe
Mitsuhiro Okune
Hiroyuki Suzuki
Ryuuzou Houtin
Original Assignee
Matsushita Electric Industrial Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2006275409A external-priority patent/JP4522980B2/ja
Priority claimed from JP2006294334A external-priority patent/JP4522984B2/ja
Application filed by Matsushita Electric Industrial Co., Ltd. filed Critical Matsushita Electric Industrial Co., Ltd.
Priority to US12/092,381 priority Critical patent/US20090218045A1/en
Priority to KR1020087010672A priority patent/KR101242248B1/ko
Priority to CN2006800502745A priority patent/CN101351871B/zh
Publication of WO2007052711A1 publication Critical patent/WO2007052711A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to a plasma processing apparatus such as a dry etching apparatus or a plasma CVD apparatus.
  • ICP inductively coupled plasma
  • a configuration is known in which an upper portion of a chamber is closed with a dielectric plate, and a coil for supplying high-frequency power is disposed on the dielectric plate.
  • the dielectric plate Since the chamber is depressurized, the dielectric plate needs to have a certain thickness in order to ensure mechanical strength to support atmospheric pressure.
  • the thicker the dielectric plate the greater the loss of high-frequency power input to the coil force plasma.
  • the thickness of the dielectric plate is large, the input loss of high frequency power is large. Therefore, a large capacity high frequency power source is required to generate high density plasma.
  • the gas introduced into the chamber in the plasma processing apparatus includes a process gas (for example, an etching gas for supplying radicals and ions for etching in the case of a dry etching apparatus), a carrier gas for maintaining a discharge, and the like.
  • a process gas for example, an etching gas for supplying radicals and ions for etching in the case of a dry etching apparatus
  • a carrier gas for maintaining a discharge
  • the like can be broadly divided.
  • the energy required to turn the etching gas into plasma is less than the energy required to turn the carrier gas into plasma. Please. For this reason, if the etching gas and the carrier gas are introduced into the force chamber at the same location and the strong magnetic field generated by the coil or the like is simultaneously passed, the etching gas is excessively dissociated.
  • Japanese Patent No. 3384795 discloses that the etching gas and carrier gas are introduced into the chamber differently so that the etching gas is excessively dissociated.
  • a suppressed plasma processing apparatus is disclosed. Specifically, in the plasma processing apparatus disclosed in Document 3, a carrier gas is introduced from a plurality of discharge holes formed in a dielectric plate that closes the upper portion of the chamber, and a lower portion in which the dielectric plate and the substrate are arranged. Metal tube etching gas placed between the electrodes is introduced.
  • the present invention provides a plasma processing apparatus in which a dielectric plate is thinned and a beam-like structure is secured while ensuring mechanical strength in consideration of deformation of the dielectric plate when the inside of the chamber is decompressed.
  • the first objective is to reduce the input loss of high-frequency power due to the presence of objects.
  • the present invention is good by suppressing excessive dissociation and ionization of process gas. It is possible to achieve uniform plasma processing by controlling the flow rate distribution of the processing gas and process gas around the substrate, and to provide a plasma processing with a relatively simple structure and capable of realizing a large size. 2 purposes.
  • the present invention is arranged in a vacuum container (3) in which a substrate (2) is disposed, and in an upper opening of the vacuum container facing the substrate, An annular outer peripheral portion (7a) whose lower surface (7d) is supported by the vacuum container, a central portion (7b) located in the center of the region surrounded by the outer peripheral portion in plan view, and the central portion
  • a beam-like structure comprising a plurality of beam portions (7c) extending radially to the outer peripheral portion, and a region surrounded by the outer peripheral portion, the central portion, and the beam portion constituting a window portion (26) (7), a dielectric plate (8) whose lower surface (8a) is supported on the upper surface (7g) of the beam-like structure, and a plasma disposed on the upper surface side of the dielectric plate and to which high-frequency power is input
  • a plasma processing apparatus comprising a coil (9) for generation.
  • the beam-like structure includes an annular outer peripheral portion, a central portion located at the center of a region surrounded by the outer peripheral portion, and a plurality of beam portions extending radially from the central portion to the outer peripheral portion. Therefore, all portions of the dielectric plate, that is, the outer peripheral portion, the central portion, and the portion between the outer peripheral portion and the central portion are supported by the beam-like structure. In other words, the entire dielectric plate is uniformly supported by the beam-like structure. When the vacuum vessel is decompressed, the central part of the dielectric plate tends to squeeze downward.
  • the beam-like structure has a central portion connected to the outer peripheral portion by a beam portion, and this central portion supports the central portion of the dielectric plate on the lower surface side.
  • the dielectric plate can be thinned.
  • the input loss of high-frequency power can be greatly reduced, so that the plasma density can be increased.
  • the process characteristics such as the etching rate and the etching shape fluctuate as the number of processing sheets increases due to the heat generation of the dielectric plates. Can be prevented.
  • a plasma processing apparatus includes a first gas introduction port formed on the outer peripheral portion of the beam-like structure and ejecting gas obliquely downward. (31), a second gas introduction port (34) formed in the central portion of the beam-like structure and ejecting gas downward toward the central portion of the substrate, and the first and second A carrier gas supply source (20 ') capable of ejecting carrier gas and at least one of the first and second gas inlets. It is preferable to further include a process gas supply source (19 ′) capable of being ejected.
  • the first gas introduction port force causes the carrier gas supply source to eject the carrier gas
  • the second gas introduction port force causes the process gas supply source to eject the process gas
  • a strong magnetic field strong alternating electric field
  • the carrier gas also passes through this strong magnetic field because the first gas introduction locus formed on the outer periphery of the beam-like structure is also ejected obliquely downward.
  • the carrier gas is sufficiently dissociated or ionized.
  • the process gas is jetted downward toward the central portion of the second gas introduction locuser substrate formed in the central portion of the beam-like structure, and therefore does not pass the strong magnetic field formed in the window portion. Therefore, excessive dissociation or ionization of the process gas does not occur.
  • the carrier gas can be sufficiently dissociated or ionized, while the process gas can be suppressed from excessive dissociation and ionization, and a good plasma treatment can be realized.
  • the process gas is an etching gas
  • the carrier gas is sufficiently dissociated or ionized while the etching gas suppresses excessive dissociation and ionization, depending on the type of gas, that is, Since the ratio of radicals and ions can be individually controlled for each of the etching gas and the carrier gas, an etching process with a good etching rate and selectivity can be realized.
  • the first and second gas inlets are also installed in the beam-like structure! The structure is relatively simple in that it does not require a gas inlet or the like on the dielectric plate itself.
  • the first gas introduction port force causes the process gas supply source to eject the process gas
  • the second gas introduction port force causes the carrier gas supply source to eject the carrier gas.
  • the process gas is an etching gas
  • a uniform etching process with uniform etching rate and the like can be performed on the entire substrate.
  • the flow rate of the process gas does not mean to exclude increasing or decreasing the flow rate of the process gas to the extent that the etching characteristics are not adversely affected! Needless to say!
  • a beam comprising an annular outer peripheral portion, a central portion located in the center of the region surrounded by the outer peripheral portion, and a plurality of beam portions extending radially from the central portion to the outer peripheral portion. Since the dielectric plate is supported by the structure, the thickness of the dielectric plate can be reduced while ensuring the mechanical strength considering the deformation of the dielectric plate when the inside of the vacuum vessel is decompressed. . By reducing the thickness of the dielectric plate, the input loss of high-frequency power can be greatly reduced, so that the plasma density can be increased. In addition, since the high-frequency power input to the coil can be reduced by increasing the plasma density, the process characteristics such as the etching rate and the etching shape fluctuate as the number of treatments increases due to the heat generated by the dielectric plate. Can be prevented.
  • At least one of the first gas inlet formed in the outer periphery of the beam-like structure and the second gas inlet formed in the center of the beam-like structure is a carrier gas supply source is a carrier
  • a carrier gas supply source is a carrier
  • FIG. 1 is a schematic cross-sectional view of a dry etching apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a sectional view taken along line II-II in FIG.
  • FIG. 3 is a plan view showing an ICP coil.
  • FIG. 4A is a schematic plan view showing a beam spacer and an ICP coil.
  • FIG. 4B is a schematic plan view showing an alternative to the ICP coil.
  • FIG. 5A A schematic plan view showing an alternative beam-shaped spacer.
  • FIG. 5B is a schematic plan view showing another alternative of the beam-shaped spacer.
  • FIG. 5C A schematic plan view showing still another alternative of the beam-shaped spacer.
  • FIG. 6 Partial enlarged view of part VI of FIG.
  • FIG. 7 is a partially enlarged view of part VII in FIG.
  • FIG. 8 is a perspective view of the inlet plate.
  • FIG. 9A is a perspective view of a replacement inlet port plate.
  • FIG. 9B is a perspective view of another replacement inlet plate.
  • FIG. 10 is a partially enlarged view of FIG. 1 for explaining the gas flow rate.
  • FIG. 11 is a partially enlarged view of FIG. 1 for explaining the gas flow rate when the inlet plate is replaced.
  • FIG. 12 is a schematic perspective view of a beam-like spacer provided in a dry etching apparatus according to a second embodiment of the present invention.
  • FIG. 13 is a partially enlarged sectional view showing a dry etching apparatus according to a third embodiment of the present invention.
  • FIG. 14 is an arrow view taken along arrow XIV in FIG.
  • FIG. 15 is a partially enlarged sectional view showing an alternative cover.
  • FIG. 16 is a partial cross-sectional view showing a beam-like spacer provided in a dry etching apparatus according to a fourth embodiment of the present invention.
  • FIG. 17 is a perspective view showing a partition member.
  • FIG. 18 is a partial cross-sectional view showing a beam-like spacer provided in a dry etching apparatus according to a fifth embodiment of the present invention.
  • FIG. 19 is a perspective view showing the inlet chip.
  • FIG. 20 is a partial cross-sectional view of a beam-like spacer having an alternative inlet tip.
  • FIG. 21 is a perspective view showing an alternative introduction port tip.
  • FIG. 22 is a schematic cross-sectional view of a dry etching apparatus according to a sixth embodiment of the present invention.
  • FIG. 23 is a plan view showing a beam-like spacer in the sixth embodiment.
  • FIG. 24 is a schematic perspective view of the beam-like spacer in the sixth embodiment as seen from the bottom side force.
  • FIG. 25 is a schematic sectional view of a dry etching apparatus according to a seventh embodiment of the present invention.
  • FIG. 26 is a schematic sectional view of a dry etching apparatus according to an eighth embodiment of the present invention.
  • FIG. 27 is a schematic sectional view of a dry etching apparatus according to a ninth embodiment of the present invention.
  • FIG. 28 is a sectional view taken along line XXVIII-XXVIII in FIG.
  • FIG. 29 is a schematic sectional view of a dry etching apparatus according to a tenth embodiment of the present invention.
  • FIG. 1 shows an ICP (inductively coupled plasma) type dry etching apparatus 1 according to an embodiment of the present invention.
  • the dry etching apparatus 1 includes a chamber (vacuum container) 3 constituting a processing chamber in which a substrate 2 is accommodated.
  • the chamber 3 includes a chamber body 4 having an upper opening, and a lid body 6 that seals the upper opening of the chamber body 4.
  • the lid 6 is a beam-like spacer (beam-like structure) 7 supported on the upper end of the side wall of the chamber body 4 and a disk-like dielectric that is supported by the beam-like spacer 7 and functions as a top plate.
  • a body plate 8 is provided.
  • the beam-like spacer 7 is made of a metal material having sufficient rigidity such as aluminum or stainless steel (SUS), and the dielectric plate 8 also has yttrium oxide (Y203) force.
  • the beam spacer 7 may be surface-treated to improve wear resistance such as yttrium oxide spraying.
  • An ICP coil 9 is disposed on the dielectric plate 8. As shown in FIG. 3, the ICP coil 9 is composed of a plurality (four in this embodiment) of conductors 11 that spirally extend from the center of the dielectric plate 8 toward the outer periphery in plan view. In a portion corresponding to the center of the dielectric plate 8 in plan view (winding start portion), the gap between the adjacent conductors 11 is large.
  • a high frequency power source 13 is electrically connected to the ICP coil 9 via a matching circuit 12.
  • the chamber body 4 is provided with a gate (not shown) for carrying the substrate 2 in and out.
  • a function as a lower electrode to which a bias voltage is applied and a device for holding the substrate 2 by electrostatic adsorption or the like On the bottom side of the chamber 3 facing the dielectric plate 8 and the beam-like spacer 7, a function as a lower electrode to which a bias voltage is applied and a device for holding the substrate 2 by electrostatic adsorption or the like.
  • a substrate susceptor 14 having a function is provided.
  • a high frequency power source is applied to the substrate susceptor 14 from a high frequency power source 16 for bias.
  • a refrigerant circulation passage is provided in the substrate susceptor 14, and the temperature-controlled refrigerant supplied from the refrigerant circulation device 17 circulates in the circulation passage.
  • a heat transfer gas circulation device 18 that supplies heat transfer gas to a minute gap between the upper surface of the substrate susceptor 14 and the back surface of the substrate 2 is provided.
  • the chamber 3 is evacuated by an evacuation device (not shown), and a process gas supply source 19 is also supplied with a process gas via gas inlets 31, 34 described later. Thereafter, high frequency power is supplied from the high frequency power source 13 to the ICP coil 9, and plasma is generated in the chamber 3 and maintained. As will be described in detail later, the surface of the substrate 2 is etched as a result of the substrate 2 by the action of the radicals and ions of the etching gas generated by the plasma.
  • the operation of the entire apparatus including the high frequency power supplies 13, 16, the process gas supply source 19, the heat transfer gas circulation device 18, and the refrigerant circulation device 17 is controlled by the controller 21.
  • the beam-like spacer 7 in the present embodiment is formed at the center of an annular outer peripheral portion 7a and a region surrounded by the outer peripheral portion 7a in plan view.
  • a central portion 7b is provided, and a plurality (six in this embodiment) of beam portions 7c extending radially from the central portion 7b to the outer peripheral portion 7a are provided.
  • the lower surface 7 d of the outer peripheral portion 7 a of the beam-shaped spacer 7 is supported on the upper end surface of the side wall of the chamber body 4.
  • An annular groove 7e, 7f is formed on the lower surface 7d of the outer peripheral portion 7a, and an O-ring 22, 23 accommodated in these grooves 7e, 7f is used to connect the beam-shaped spacer 7 and the chamber body 4 to each other. Airtightness is ensured.
  • annular groove 7k is also formed on the upper surface 7g of the outer peripheral portion 7a.
  • the O-ring (first elastic member) 24 is accommodated in the groove 7k.
  • the O-ring 24 is interposed between the outer peripheral portion 7 a of the beam-like spacer 7 and the lower surface 8 a of the dielectric plate 8.
  • the outer peripheral portion 7 a of the beam-shaped spacer 7 is in indirect contact with the dielectric plate 8 via the O-ring 24.
  • the O-ring 24 also has a function of ensuring airtightness at the joint portion between the beam spacer 7 and the dielectric plate 8.
  • the six beam portions 7c of the beam-shaped spacer 7 have a rectangular shape with a substantially constant width, and extend radially from the central portion 7b at equal angular intervals in plan view (see FIGS. 2 and 4A). ing. One end of the beam portion 7c is integrally connected to the central portion 7b, and the other end is integrally connected to the outer peripheral portion 7a.
  • the six beam portions 7c correspond to the outer periphery of the dielectric plate 8 in plan view among the four strip-shaped conductors 11 that form the ICP coil 9 in plan view.
  • the brazing density extends in a direction perpendicular to the dense part.
  • the central portion 7b of the beam-shaped spacer 7 is provided with three concave portions 7h on the upper surface 7g, and an elastic member (second elastic member) is provided in each of the concave portions 7h.
  • Member) 25 is accommodated.
  • the elastic member 25 is interposed between the central portion 7 b of the beam-shaped spacer 7 and the lower surface 8 a of the dielectric plate 8. In other words, the central portion 7 b of the beam-like spacer 7 is in contact with the dielectric plate 8 indirectly via the elastic member 25.
  • the region surrounded by the outer peripheral portion 7a, the central portion 7b, and the beam portion 7c of the beam-like spacer 7 is a window in which the lower surface 8a of the dielectric plate 8 is exposed in view of the side force of the substrate acceptor 14 as well.
  • the beam spacer 7 includes six window portions 26 each having a fan shape.
  • the beam-shaped spacer 7 has an annular outer peripheral portion 7a, a central portion 7b located in the center of the region surrounded by the outer peripheral portion 7a, and a radial shape from the central portion 7b to the outer peripheral portion 7a. And a plurality of beam portions 7c extending in the direction. Therefore, all portions of the lower surface 8 a of the dielectric plate 8, that is, the outer peripheral portion, the central portion, and the portion between the outer peripheral portion and the central portion are supported by the beam-shaped spacer 7. In other words, the entire dielectric plate 8 is uniformly supported by the beam-like spacer 7. When Channo 3 is depressurized, the pressure difference between the pressure in the chamber (negative pressure) and atmospheric pressure acts on the dielectric plate 8.
  • the beam-like spacer 7 includes a central portion 7b connected to the outer peripheral portion 7a by a beam portion 7c, and this central portion 7b supports the central portion of the dielectric plate 8 from the lower surface 8a side. Therefore, it is possible to effectively prevent or suppress the stagnation of the central portion of the dielectric plate 8.
  • the lower surface of the dielectric plate 8 is uniformly supported by the beam-shaped spacer 7, and the central portion of the dielectric plate 8 is easily formed in the beam-shaped spacer 7.
  • the dielectric plate 8 can be made thin while ensuring the above. For example, when a dielectric plate with a diameter of 320 mm is supported by a spacer that supports only the outer periphery of the dielectric plate, the thickness of the dielectric plate must be set to 25 mm or more to ensure mechanical strength. is there.
  • the required mechanical strength can be obtained if the thickness of the dielectric plate 8 is about 10 mm.
  • the high-frequency power input loss can be greatly reduced, so that the plasma density can be increased.
  • the high-density power of the plasma can reduce the high-frequency power input to the ICP coil 9, so that the process characteristics such as the etching rate and the etching shape fluctuate as the number of processed sheets increases due to the heat generation of the dielectric plate. Can be prevented.
  • the O-ring 24 is interposed between the outer peripheral portion 7a of the beam-shaped spacer 7 and the outer peripheral portion of the lower surface 8a of the dielectric plate 8. Therefore, the dielectric plate 8 can be prevented from being damaged or broken by the outer peripheral portion of the lower surface 8a of the dielectric plate 8 being in direct contact with the outer peripheral portion 7a of the beam-like spacer 7.
  • the elastic member 25 is interposed between the central portion 7b of the beam-shaped spacer 7 and the central portion of the lower surface 8a of the dielectric plate 8, the lower surface 8a of the dielectric plate 8 is Damage or breakage of the dielectric plate 8 due to direct contact with the central portion 7b of the spacer 7 can be prevented.
  • the central portion of the dielectric plate 8 is easily squeezed downward, but by providing the elastic member 25, the central portion of the dielectric plate 8 squeezed downward becomes the central portion of the beam-like spacer 7. It is possible to reliably prevent direct contact with 7b.
  • FIG. 5A to FIG. 5C show alternatives of an O-ring or an elastic member interposed between the beam-shaped spacer 7 and the dielectric plate 8.
  • a small-diameter O-ring 27 is arranged concentrically with the O-ring 24 of the outer peripheral portion 7a in the central portion 7b of the beam-like spacer 7.
  • Figure 5B shows a beam-shaped spacer.
  • the elastic member 28 is disposed on the entire upper surface 7g of the sensor 7.
  • the elastic member 28 includes an annular portion 28a disposed on the outer peripheral portion 7a of the beam-shaped spacer 7, a band-shaped portion 28b (third elastic member) disposed on each beam portion 7c, And a portion 28c formed by connecting the belt-like portion 28b at the central portion 7b.
  • a groove is provided on the upper surface 7g of the beam-like spacer 7 so as to surround each window portion 26, and an O-ring 79 is disposed in this groove.
  • the beam portion 7c of the beam-like spacer 7 extends in a direction orthogonal to the densely packed portion of the conductor 11 constituting the ICP coil 9. Therefore, the electromagnetic influence exerted by the beam-like spacer 7 on the electromagnetic field generated around the conductor 11 of the ICP coil 9 when high-frequency power is supplied from the high-frequency power source 13 can be suppressed. As a result, the input loss of the high frequency power can be further reduced. In order to obtain the effect of reducing this input loss, it is not always necessary that the beam portion 7c and the portion having a dense brazing density of the conductor 11 are perpendicular to each other. That's fine.
  • the effect of reducing the input loss can be obtained.
  • Conductor 11 is perpendicular to beam 7c in plan view, and the number of beam 7c of beam-shaped spacer 7 (six) and conductors that make up ICP coil 9 as shown in Fig. 4B. It is preferable that the number of 11 (6) matches. As a result, the symmetry of the electromagnetic field generated when high frequency power is input from the high frequency power supply 13 to the ICP coil 9 is improved, so that the input loss due to the presence of the beam portion 7c can be further reduced.
  • the dielectric plate 8 also has an yttrium oxide force.
  • the pressure in the chamber 3 needs to be increased in order to increase radicals.
  • the capacitive coupling to the plasma generation mode increases, sputtering to the dielectric plate increases, so that the dielectric plate is relatively worn out if the dielectric plate is made of quartz. It is necessary to replace the dielectric plate in a short period of time.
  • the dielectric plate 8 made of yttrium oxide it is possible to significantly reduce the wear of the dielectric plate even under high-pressure conditions where the capacitive coupling increases.
  • the wear of the dielectric plate 8 made of yttrium oxide is about 1Z100 of the wear of the dielectric plate made of quartz under the high pressure condition in which the capacitive coupling increases.
  • the dielectric plate 8 may be made of aluminum nitride (A1N) or quartz force.
  • A1N aluminum nitride
  • yttrium oxide has low resistance to thermal shock, and a large temperature gradient inside the material causes cracking.
  • aluminum nitride is not as good as yttrium oxide in terms of wear resistance under the conditions where the capacitive mode is dominant in the plasma generation mode, but it is more resistant to thermal shock than yttrium oxide.
  • quartz has a significantly lower resistance to thermal shock than yttrium oxide and aluminum nitride in terms of wear resistance under conditions where the plasma generation mode is dominated by capacitive coupling. Higher than.
  • the effect on the process is less than that of yttrium oxide or ano- mium oxide / J.
  • the outer peripheral portion 7a of the beam-like spacer 7 has a plurality (in this embodiment, six) on the inner side wall 7m facing the central portion 7b.
  • the gas inlet (outer peripheral gas inlet) 31 is formed!
  • the six gas inlets 31 are arranged at equiangular intervals in plan view, and open to separate window portions 26, respectively.
  • each gas inlet 31 has its orientation so that the process gas is directed obliquely downward, that is, through the window 26 toward the center of the surface of the substrate 2 held by the substrate susceptor 14. The shape is set.
  • annular gas passage groove 7 i is formed on the inner surface of the O-ring 24 on the upper surface 7 g of the outer peripheral portion 7 a of the beam-shaped spacer 7.
  • the upper opening of the gas channel groove 7i is closed by the lower surface 8a of the dielectric plate 8, and a sealed annular gas channel 32 is formed in the gas channel groove 7i.
  • each gas inlet 31 communicates with the annular gas flow path 32.
  • an introduction flow path 33 having one end communicating with the annular gas flow path 32 and the other end connected to the process gas supply source 19. Therefore, the process gas supplied from the process gas supply source 19 is jetted into the chamber 3 from the gas introduction port 31 through the introduction channel 33 and the annular gas channel 32.
  • the gas inlet 31 is formed in the outer peripheral portion 7a of the beam-like spacer 7 and jets process gas obliquely downward.
  • the emitted process gas travels from the outer peripheral portion of the substrate 2 held on the substrate susceptor 14 to the central portion (see FIGS. 10 and 11).
  • an accommodating recess 7j is formed in the central portion 7b of the beam-shaped spacer 7, and a gas inlet (central portion) is formed in the accommodating recess 7j.
  • a replaceable inlet plate (center inlet member) 36A formed with a gas inlet 34 is accommodated.
  • An inlet gas flow path 37 whose one end communicates with each second gas inlet 34 via a gas distribution chamber 41 is formed in the central portion 7 b of the beam-shaped spacer 7.
  • the gas flow path 38 is one of the six beam portions 7c from the outer peripheral surface of the outer peripheral portion 7a of the beam-like spacer 7 (see FIG.
  • the end of the gas flow path 38 on the outer peripheral portion 7a side is closed.
  • the gas flow path groove 7i passes through the portion indicated by the symbol A in FIG. 2, and the process gas in the annular gas flow path 32
  • the site force also flows into the gas flow path 38.
  • the other end of the inlet gas passage 37 is in communication with the gas passage 38.
  • the introduction port plate 36A includes through holes (four in this embodiment) 36a penetrating in the thickness direction in the vicinity of the outer peripheral edge.
  • the introduction port plate 36A is fixed in the receiving recess 7j by screwing the screw 39 passed through the through hole 36a into a screw hole formed in the bottom wall of the receiving recess 7j.
  • a recess 36d is formed at the center of the upper surface 36b of the inlet port plate 36A.
  • a gas distribution chamber 41 communicating with the inlet gas flow path 37 is formed by the recess 36d and the bottom wall of the housing recess 7j.
  • the gas inlet 34 extends vertically from the bottom wall of the recess 36d and penetrates to the lower surface 36e of the inlet plate 36A.
  • one gas inlet 34 is arranged in the center of the recess 36d, and a row of five gas inlets 34 is equiangular from this central gas inlet 34.
  • Four rows are arranged radially at intervals.
  • the diameters of all the gas introduction ports 34 are set to be the same.
  • annular groove 36f surrounding the recess 36d is formed on the upper surface 36b of the inlet plate 36A, and the O-ring 42 accommodated in the annular groove 36f ensures the hermeticity in the gas distribution chamber 41.
  • Process gas supplied from the process gas supply source 9 is introduced into the inlet plate 36A through the inlet channel 33, the annular gas channel 32, the gas channel 38, the inlet gas channel 37, and the gas distribution chamber 41. Mouth 34 through Chi It is injected into Yamba 3.
  • the process gas jetted from the second gas inlet 34 is Then, it goes to the central part of the substrate 2 held on the substrate susceptor 14 (see FIGS. 10 and 11).
  • FIGS. 9A and 9B show examples of replacement inlet plates 36B and 36C.
  • the number and arrangement of the gas inlets 34 are the same as those of the inlet plate 36A of FIG. 8.
  • the diameter of the gas inlet 34 is set larger than that of the inlet plate 36A of FIG. ing.
  • the diameter of the gas inlet 34 is the same as the inlet plate 36A of FIG. 8.
  • the number and arrangement of the gas inlets 34 are different from those of the inlet plate 36B of FIG.
  • one gas introduction port 34 is arranged in the center of the recess 36d, and eight rows each including five gas introduction ports 34 are provided radially from the central gas introduction port 34.
  • the shape, dimensions, arrangement, and number of the gas inlets 34 provided in the inlet plate are not limited to those illustrated in FIGS. 8 to 9B, and can be set as appropriate.
  • the process gas ejected from the gas inlet 34 is directed downward toward the center portion of the substrate 2 in the vertical direction.
  • the process gas flow rate can be easily adjusted. Accordingly, the ratio of the flow rate of the process gas ejected from the gas inlet 31 and the gas inlet 34 is adjusted by replacing the inlet plates 36A to 36C according to the process conditions, the dimensions of the substrate 2, and the like. Therefore, the gas flow rate in the entire region on the substrate 2 including the periphery of the substrate 2 can be easily made uniform. For example, as shown in FIG. 10, when the inlet plate 36A shown in FIG.
  • the central gas 8 is attached to the central portion 7b of the beam-like spacer 7, the central gas with respect to the flow rate of the process gas ejected from the gas inlet 31 on the outer periphery.
  • the flow rate of the process gas ejected from the inlet 34 may be insufficient, and the process gas ejected from the gas inlet 31 may tend to stay in the central portion of the substrate 2.
  • the etching rate of the central portion of the substrate 2 becomes higher than the etching rate of the peripheral portion, and uniform etching processing cannot be performed.
  • the inlet plate 36B of FIG. 9A the diameter of the gas inlet 34 is larger than the inlet plate 36A of FIG. 8!
  • the inlet plate 36C of FIG. 9B (The number of gas inlets 34 is larger than the inlet plate 36A in FIG. 8!) Can be attached to the central part 7b of the beam-like spacer 7.
  • the flow rate of the process gas ejected from the second gas inlet 34 increases.
  • the process gas ejected from the outer gas inlet 31 merges with the flow of the process gas ejected from the central gas inlet 34 and does not stay in the central part of the substrate 2 and stays on the surface of the substrate 2.
  • the variation in the etching rate between the central portion and the peripheral portion of the substrate 2 is greatly reduced, resulting in a uniform etching process.
  • the gas inlet 31 and the gas inlet 34 can be changed by changing the shape, size, arrangement, number, etc. of the gas inlet 31 provided on the outer peripheral portion 7a of the beam-like spacer 7. By changing the flow rate ratio of the process gas ejected from the chamber, the etching process can be made uniform.
  • FIG. 12 shows a second embodiment of the present invention.
  • FIG. 12 shows only the beam-shaped spacer 7
  • the overall structure of the dry etching apparatus 1 of the second embodiment is the same as that of the first embodiment (see FIG. 1).
  • annular gas flow path 32 and a gas introduction port 31 are formed in the outer peripheral portion 7 a of the beam-shaped spacer 7, and the annular gas flow path 32 is connected to the process gas supply source 19 via the introduction flow path 33.
  • an inlet plate 36A (see FIGS. 1 and 8) having a gas inlet 34 is attached to the central portion 7b of the beam-like spacer 7.
  • a cooling mechanism 51 that cools the beam spacer 7 and the dielectric plate 8 is provided.
  • the cooling mechanism 51 includes an outer peripheral portion 7a of the beam-like spacer 7, a refrigerant flow path 52 provided in the beam portion 7c, and a refrigerant circulation device 53 that supplies a temperature-controlled refrigerant.
  • the inlet 52a and the outlet 52b of the refrigerant flow path 52 are connected to the refrigerant circulation device 53, and the refrigerant supplied from the refrigerant circulation device 53 circulates in the refrigerant flow passage 52, and thereby the beam-like spacer 7 Is cooled.
  • the dielectric plate 8 is disposed on the beam-shaped spacer 7, the dielectric plate 8 is also cooled by cooling the beam-shaped spacer 7.
  • the beam is generated even when the plasma is generated for a long time by applying high-frequency power to the ICP coil 9 (see Fig. 1). Ensures variation in process characteristics due to temperature rise of the spacers 7 and the dielectric plate 8, adhesion of deposits, and separation of deposits Can be prevented.
  • FIG. 13 and 14 show a third embodiment of the present invention.
  • the overall structure of the dry etching apparatus 1 of the third embodiment is the same as that of the first embodiment (see FIG. 1).
  • the dielectric plate 8 is made of quartz.
  • an extremely thin cover 61 including yttrium oxide is attached to a portion of the lower surface 8a side of the dielectric plate 8 exposed to the processing chamber of the chamber 3 through the window portion 26 of the beam-like spacer 7. Yes. Since the beam spacer 7 is provided with six window portions 26 (see also FIG. 2), the cover 61 is provided with six piece-like covers 61 correspondingly. Yes.
  • concave portions 8b are formed at positions (six locations) corresponding to the window portions 26, and covers 61 are accommodated in the concave portions 8b, respectively.
  • the bottom surface of each cover 61 is flush with the bottom surface 8 a of the dielectric 8. Further, the vicinity of the outer peripheral edge of each cover 61 is sandwiched between the beam-shaped spacer 7 and the dielectric plate 8.
  • cover 61 that also has yttrium oxide force By disposing the cover 61 that also has yttrium oxide force in the window portion 26, wear of the dielectric plate 8 that also has quartz force can be significantly reduced even under high-pressure conditions where the capacitive coupling increases.
  • the cover 61 having yttrium oxide force is provided not only on the entire lower surface 8a side of the dielectric plate 8 but on a portion exposed from the window portion 26, the area of each cover 26 can be set small. Since yttrium oxide material has low rigidity, large area and thin yttrium oxide material has low strength. However, since each cover 26 is in the form of a piece with a small area, it can be thinned while ensuring sufficient strength. Specifically, the thickness of cover 26 is lmn!
  • the cover 26 has a small area and is thin, a uniform temperature can be maintained even during plasma processing, so that cracks due to temperature gradients can be prevented.
  • the dielectric plate 8 itself is made of yttrium oxide, or when the entire lower surface 8a of the dielectric plate 8 is covered with yttrium oxide material, only the portion exposed from the window portion 26 of the dielectric plate 8, that is, Since the yttrium oxide cover 61 is provided only in the portion that needs to be protected because it is exposed to plasma, the amount and cost of yttrium oxide can be greatly reduced. In the configuration of FIG.
  • the cover 61 The position of attachment or arrangement with respect to the dielectric plate 8 is not particularly limited.
  • the lower surface side of the outer peripheral edge of the cover 61 is installed in the concave portion 7n provided on the beam spacer 7 side, whereby the upper surface of the cover 61 and the lower surface 8a of the dielectric plate 8 are arranged. It is good also to be flush.
  • the cover 61 may be attached to the dielectric plate 8 so that both the lower surface and the upper surface of the cover 61 are not flush with the lower surface 8a of the dielectric plate 8.
  • the cover 61 may be arranged so that there is a gap between the lower surface 8a of the dielectric plate 8.
  • the cover 61 (see FIGS. 13 to 15) made of yttrium oxide may be a single crystal sapphire. Since the single crystal sapphire is more resistant to thermal shock than yttrium oxide, the cover 61 can be reliably prevented from cracking even in an environment where a larger temperature gradient is applied. Also in the case of the single crystal sapphire, the position of the attachment or disposition of the cover 61 with respect to the dielectric plate 8 is not particularly limited, similar to the third embodiment. Note that the cover 61 may be formed of alumina (A1203) containing acid-alumina instead of single crystal sapphire yttrium oxide.
  • a dry etching apparatus 1 according to the fourth embodiment of the present invention shown in FIG. 16 includes a partition ring 71 in an annular gas flow path 32 formed in the outer peripheral portion 7a of the beam-like spacer 7.
  • the annular gas passage 32 is formed by the annular gas passage groove 7i formed inside the O-ring 24 on the upper surface 7g of the outer peripheral portion 7a.
  • the annular gas channel 32 includes a bottom wall 32a, and an inner peripheral wall 32b and an outer peripheral wall 32c extending vertically upward from the bottom wall 32a.
  • the proximal end side of the gas inlet 31 is opened in the inner peripheral wall 32b.
  • an introduction flow path 33 connected to the process gas supply source 19 is opened in the outer peripheral wall 32c.
  • an accommodating portion 32d having an expanded channel width is formed on the upper end side of the annular gas channel 32.
  • An O-ring 73 is placed in the housing part 32d. Contained. The O-ring 73 is in close contact with the lower surface 8a of the dielectric plate 8, and thereby the inside of the annular gas flow path 32 is sealed.
  • the partition ring 71 includes a flat annular base portion 71a and a partition wall 71b extending upward from the base portion 71a.
  • the diameter and width of the base 71a are substantially the same as the annular gas flow path 32a.
  • the base 71a has a lower surface placed on the bottom wall 32a, and an inner peripheral edge and an outer peripheral edge abut against the inner peripheral wall 32b and the outer peripheral wall 32c, respectively. In this state, it is accommodated in the annular gas flow path 32a.
  • the substantially central force in the width direction of the base 71a also protrudes upward in the vertical direction.
  • the partition wall 71b has a lower end connected to the base 71a, and an upper end that is in close contact with the lower side of the O-ring.
  • the partition wall 71b of the partition ring 71 By the partition wall 71b of the partition ring 71, the inside of the annular gas flow path 32 is supplied to the discharge space 72A on the inner peripheral wall 32a side (gas discharge port 31 side) and the outer peripheral wall 32c side (process gas supply source 19 side). It is partitioned into space 72B. Specifically, an annular discharge space 72A is formed inside the partition wall 71b, and an annular supply space 72B is formed outside the partition wall 71b. In the partition wall 71b, a plurality of communication holes 71c penetrating in the thickness direction are provided at intervals. The discharge space 72A and the supply space 72B are communicated with each other only through these communication holes 71c.
  • the process gas supplied from the process gas supply source 19 to the annular gas flow path 32 via the introduction flow path 33 first enters the supply space 72B.
  • the process gas diffuses in a ring shape in the supply space 72B, and enters the discharge space 72 through the plurality of communication holes 71c.
  • the process gas is jetted into the chamber 3 from the gas inlet 31 while further diffusing in the discharge space 72B.
  • the process gas is diffused in advance in the annular supply space 72B, it is supplied to the discharge space 72A on the gas inlet 31 side, so that it is ejected from one or more specific gas inlets 31.
  • the gas flow rate does not increase compared to the remaining gas inlet 31. In other words, the flow rate of the process gas ejected from the plurality of gas inlets 31 is made uniform by the rectifying action of the partition wall 71b of the partition ring 71.
  • the dry etching apparatus 1 according to the fifth embodiment of the present invention shown in FIG. 7 is provided with a plurality of replaceable inlet tips (outer peripheral inlet member) 74, and each inlet tip 74 is provided with one gas inlet 31.
  • the outer peripheral portion 7b of the beam-shaped spacer 7 is provided with a plurality of mounting holes 75 having a circular cross section that is obliquely downward from the inner peripheral wall 32b of the annular gas flow channel 32 to the inner side wall 7m. .
  • An inlet chip 74 is detachably attached to each mounting hole 75.
  • the mounting hole 75 includes an inlet portion 75a communicating with the annular gas passage 32 in order of the side force of the annular gas passage 32, a female thread portion 75b, and an outlet portion 75c opened in the chamber 3.
  • the female screw portion 75b has a larger diameter than the inlet portion 75a, and a seat portion 75d is formed by a step at the connecting portion between the female screw portion 75b and the inlet portion 75a.
  • the outlet portion 75c has a larger diameter than the female screw portion 75b, and a seat portion 75e is formed by a step at the connecting portion between the outlet portion 75c and the female screw portion 75b.
  • the introduction port chip 74 includes a male screw portion 74a and a head portion 74b integrally provided at the tip of the male screw portion 74a.
  • the head 74b has a larger diameter than the male screw portion 74a.
  • a recess 74c is formed on the base end surface of the male screw portion 74a.
  • a gas inlet 31 is provided so as to penetrate from the bottom wall of the recess 74c to the tip surface of the head 74b. The gas inlet 31 extends along the central axis of the inlet tip 74! /.
  • the male threaded portion 74a of the inlet port tip 74 is screwed into the female threaded portion 75b of the mounting hole 75, whereby the inlet port tip 74 is fixed to the outer peripheral portion 7a of the beam-shaped spacer 7.
  • the head 74 b of the introduction tip 74 is accommodated in the outlet portion 75 c of the mounting hole 75. Further, the base end surface of the male screw portion 74a is disposed on the seat portion 75d, and the base end surface of the head portion 54 is disposed on the seat portion 75e.
  • the hole diameter of the gas inlet 31 can be changed by replacing the inlet tip 74.
  • the supply pressure of the process gas supply source 19 is the same, generally, the larger the hole diameter of the gas introduction port 31, the slower the flow rate of the introduced process gas, and the smaller the hole diameter, the faster the flow rate. Therefore, the gas flow rate on the substrate 8 can be easily equalized by replacing the inlet chip 74 with a different gas inlet 31 according to the process conditions, the dimensions of the substrate 8, etc. Can be planned.
  • FIG. 20 and FIG. 21 show an alternative of the inlet chip.
  • a plurality of circular mounting holes 76 extending in the horizontal direction from the inner peripheral wall 32b of the annular gas flow path 32 to the inner side wall 7m are provided in the outer peripheral portion 7b of the beam-like space 7.
  • the mounting hole 76 includes an inlet portion 76a communicating with the annular gas passage 32, an intermediate portion 76b having a diameter larger than that of the inlet portion 76a, and an outlet portion 76c having a diameter larger than that of the intermediate portion 76b.
  • Seat portions 76d and 76e are formed at the connecting portion between the inlet portion 76a and the intermediate portion 76b and at the connecting portion between the intermediate portion 76b and the outlet portion 76c, respectively.
  • the introduction port tip 77 includes a shaft portion 77a and a head portion 77b provided at the tip of the shaft portion 77a.
  • the head portion 77b has a larger diameter than the shaft portion 77a.
  • a recess 77c is formed on the base end surface of the shaft 77b.
  • the gas inlet 31 is formed so that the bottom wall force of the recess 77c also penetrates to the tip surface of the head 77b.
  • the gas inlet 31 is formed with an inclination with respect to the central axis of the inlet chip 77 !.
  • Two through holes 77d are provided in the head 77b of the inlet chip 77.
  • the inlet tip 77 is inserted into the mounting hole 76, the shaft portion 77a is accommodated in the intermediate portion 76b, and the head portion 77a is accommodated in the outlet portion 76c. Further, the bottom surface of the base end of the shaft portion 77a is disposed on the seat portion 76d, and the base end surface of the head portion 77b is disposed on the seat portion 76e.
  • Process gas is ejected from the gas inlet 31 into the chamber 3 through this flow path. If multiple types of inlet tips 77 with different diameters of the gas inlet 31 are prepared, the gas inlet can be changed according to process conditions, substrate 8 dimensions, etc. by replacing the inlet tip 77. The direction and flow rate of the process gas ejected from 31 can be easily adjusted, and the gas flow rate on the substrate 8 can be made uniform. [0067] Other configurations and operations of the fifth embodiment are the same as those of the first embodiment.
  • the dry etching apparatus 1 according to the sixth embodiment of the present invention shown in FIG. 22 and FIG. 23 has a beam-like shape that is simply provided with gas inlet ports 31, 34 at the outer peripheral portion 7a and the central portion 7b of the beam-like spacer 7.
  • the beam 7c of the spacer 7 is also provided with a gas inlet (beam inlet) 81.
  • the beam-shaped spacer 7 has a beam portion that extends linearly from the outer peripheral end of one beam portion 7c and passes through the central portion 7b to face each other.
  • Three gas flow paths 82 extending to the outer peripheral end of 7c are formed! Of these gas channels 82, the gas channel 82 extending in the direction of “9 o'clock” in FIG. 23 is a gas channel groove 7i (annular) at the portion indicated by the symbol A ′ in FIG. It penetrates the gas flow path 32). Further, the three gas flow paths 82 cross and communicate with each other at the central portion 7b of the beam-like spacer 7.
  • a plurality of vertically downward gas inlets 81 are provided on the lower surface side of each beam-like portion 7c.
  • a plurality of gas inlets 34 that are vertically downward are also provided on the lower surface side of the central portion 7b of the beam-like spacer 7. These gas inlets 34, 81 are communicated with the gas flow path 82 at the base end (upper end) side and open at the front end (lower end side) inside the chamber 3.
  • the process gas supplied from the process gas supply source 19 is injected into the chamber 3 from the gas introduction port 31 of the outer peripheral portion 7a of the beam-like spacer 7 through the introduction flow path 33 and the annular gas flow path 32. Is issued.
  • the process gas flows from the annular gas flow path 32 into the gas flow path 82, and enters the chamber 3 from the gas introduction port 81 of the beam portion 7 b of the beam-like spacer 7 and the gas introduction port 34 of the central portion 7 b. Erupted.
  • the dry etching apparatus 1 of the present embodiment all the force of the outer peripheral portion 7a, the central portion 7b, and the beam portion 7c of the beam-like spacer 7 is ejected, so that the periphery of the base plate 2 is more easily included.
  • the gas flow rate in the entire region on the substrate 2 can be made uniform.
  • the number of gas inlets per unit area above the substrate 2 is larger than that of the substrate 2 than the center of the substrate 2.
  • the gas flow rate of the process gas tends to be insufficient around the substrate 2 as compared with other regions on the substrate 2.
  • the number of gas inlets 81 per unit area provided in the beam portion 7b is set in the other region in the vicinity of the region corresponding to the periphery of the substrate 8 indicated by the alternate long and short dash line 83 in FIGS. Set more than Yes. Thereby, a necessary gas flow rate of the process gas around the substrate 2 is secured.
  • gas inlets 31, 34, 81 may be provided in the exchangeable inlet chip as described in the fifth embodiment.
  • the beam-like spacer 7 includes the gas inlets 34 and 81 of the central portion 7b and the beam portion 7c, but the gas inlet 31 (for example, FIG. 1) of the outer peripheral portion 7a. Reference) is not provided.
  • the beam-like space 7 is a force provided with the gas inlet 31 of the outer peripheral part 7a.
  • the gas inlet 34 of the central part 7b (see, for example, FIG. 1) and the gas inlet of the beam part 7c.
  • the outer peripheral portion 7a of the beam-like spacer 7 also injects a process gas into the chamber 3 as in this embodiment, and the gas flow rate on the substrate 8 Can be made uniform.
  • Other configurations and operations of the eighth embodiment are the same as those of the first embodiment.
  • the gas inlet 31 may be provided in the exchangeable inlet chip as described in the fifth embodiment.
  • each of the three types of gas inlets provided in the beam-shaped spacer 7, that is, the gas inlet 31 in the central portion 7 a, the gas inlet 34 in the central portion 7 b, and the gas inlet 81 in the beam portion 7 c, is provided for each process gas.
  • Source 19 may be different.
  • FIGS. 27 and 28 The dry etching apparatus 1 of the ninth embodiment of the present invention shown in FIGS. 27 and 28 has the same structure as the dry etching apparatus 1 (FIGS. 1 to 11) of the first embodiment except for the points described below. And has a function. Accordingly, in FIG. 27 and FIG. 28, the same elements as those of the first embodiment are denoted by the same reference numerals, and detailed description thereof is omitted. In the following description, FIG. 3, FIG. 4A, and FIGS.
  • the gas flow path 38 extending from the outer side wall of the outer peripheral portion 7a of the beam-shaped spacer 7 to the central portion 7b through the inner portion of one beam portion 7c, and the beam-shaped spacer 7 It is provided on the outer peripheral portion 7 a of the spacer 7 and is not in communication with the annular gas flow path 32. Therefore, a gas flowing through the gas flow path 38 (an etching gas described later) and a gas flowing through the annular gas flow path 32 (a carrier gas described later) are not mixed.
  • the annular gas channel 32 is connected to the carrier gas supply source 20 via the introduction channel 33.
  • the carrier gas supplied from the carrier gas supply source 20 is ejected from the gas inlet (first gas inlet) 31 into the chamber 3 through the inlet passage 33 and the annular gas passage 32.
  • the first gas introduction port 31 is formed in the outer peripheral portion 7a of the beam-like spacer 7 and ejects gas obliquely downward, so that the carrier gas ejected from the gas introduction port 31 is a vacuum. While diffusing inside, it is directed from the outer peripheral portion of the substrate 2 held on the substrate susceptor 14 to the central portion.
  • one end (end on the outer peripheral portion 7 a side) of the gas flow path 38 is connected to the etching gas supply source 19 ′, and the other end communicates with the inlet gas flow path 37.
  • the etching gas supplied from the etching gas supply source 19 ′ passes through the gas flow path 38, the inlet gas flow path 37, and the gas distribution chamber 41 from the gas inlet (second gas inlet) 34 of the inlet port 36. Injected into chamber 3. Since the gas inlet 34 is provided on the inlet plate 36 attached to the central portion 7b of the beam-like spacer 7 and the etching gas is jetted downward, the etching gas jetted from the gas inlet 34 is vacuumed. While diffusing inside, it goes to the central part of the substrate 2 held on the substrate susceptor 14.
  • a strong magnetic field strong alternating electric field
  • the carrier gas is supplied from a gas inlet 31 formed in the outer peripheral portion 7a of the beam-like spacer 7. Since it is ejected obliquely downward, it passes through this strong magnetic field 40. As a result, the carrier gas is sufficiently dissociated or ionized. Plasma is generated and maintained in the chamber 3 by the dissociation and ionization of the carrier gas.
  • the etching gas is jetted downward from the second gas inlet 34 formed in the central portion 7b of the beam-shaped spacer 7 toward the central portion of the substrate 2, so that it is formed in the window portion 26. Do not pass through strong magnetic field 40. Therefore, the etching gas does not dissociate or ionize excessively. Radicals generated by dissociation in the plasma diffuse to the substrate 2 along the gas flow, whereas ions collide with the substrate 2 by being accelerated by the negative bias voltage generated when the high-frequency power source 16 is also applied to the substrate susceptor 14. . Then, the surface of the substrate 2 is etched by the action of radicals and ions.
  • the carrier gas can be sufficiently dissociated and ionized, and the etching gas can suppress excessive dissociation and ionized ions, so that the controllability of the etching rate, the selection ratio, the etching shape, etc. can be achieved. It can be improved significantly and a good etching process can be realized.
  • the ratio of radicals and ions can be individually controlled, thereby realizing a good etching process.
  • the dry etching apparatus 1 of the present embodiment is such that the first and second gas introduction ports 31 and 34 are both provided in the beam-like spacer 7, and the dielectric plate 8 has no gas.
  • the introduction port is not required to provide a gas flow path, and the structure is relatively simple.
  • the etching rate may locally decrease at a part of the substrate 2.
  • the mask aperture ratio is large (for example, 10% or more), or when the aspect ratio is high (for example, 5 or more), more reaction products are generated during the etching reaction. Then, the gas containing the reaction product tends to stay in the center of the substrate 2 and the reaction product tends to adhere again to the pattern of the substrate 2. This redeposition of reaction products can cause a local drop in etch rate, resulting in in-plane non-uniform processing.
  • the tenth embodiment is a dry etching apparatus that also has such a viewpoint 1 It is.
  • an etching gas supply source 19 ′ is connected to the introduction flow path 33, and the gas flow path 38 is connected. Carrier gas supply 20 is connected. Therefore, the etching gas supplied from the etching gas supply source 19 ′ is ejected obliquely downward into the chamber 3 from the gas inlet (first gas inlet) 31 through the inlet passage 33 and the annular gas passage 32. Then, the outer peripheral portion of the substrate 2 held on the substrate susceptor 14 moves toward the center portion.
  • the carrier gas supplied from the carrier gas supply source 20 passes through the gas flow path 38, the inlet gas flow path 37, and the gas distribution chamber 41, and the gas inlet (second gas inlet) of the inlet port 36. It is sprayed downward into the chamber 3 from 34 and heads toward the central portion of the substrate 2 held on the substrate susceptor 14.
  • high-density radicals and ions are generated by jetting an etching gas obliquely downward from the first gas inlet 31 formed in the outer peripheral portion 7a of the beam-like spacer 7.
  • exhaust of the etching gas and reaction products at the center of the substrate 2 can be promoted to make the flow rate distribution uniform.
  • the entire substrate 2 can be uniformly etched without unevenness in the etching rate without increasing or decreasing the flow rate of the process gas that contributes to the etching characteristics such as etching rate and etching.
  • it goes without saying that increasing or decreasing the flow rate of the process gas to the extent that the etching characteristics are not adversely affected is not excluded. .
  • either one of the first and second gas introduction ports 31 and 34 is caused to eject one force etching gas, and the other force is caused to eject carrier gas.
  • the etching gas may be ejected from the etching gas supply source 19 by using both forces of the first and second gas inlets 31 and 34.
  • the first and second gas inlets 31 regardless of whether the etching gas is jetted from one of the first and second gas inlets 31, 34 or both. , 34 may also cause the carrier gas to be ejected by the carrier gas supply source 20.
  • a high aspect ratio for example, the gas containing the reaction product generated during the etching reaction stays in the center of the substrate 2 and the reaction product tends to adhere again to the pattern in the center of the substrate 2. This locally reduces the etching rate at the center of the substrate 2.
  • the mask opening ratio is larger (for example, 30%)
  • a larger amount of reaction product tends to be generated and reattached in the peripheral pattern of the substrate 2.
  • the etching rate locally decreases at the periphery of the substrate 2.
  • gas stagnation on the substrate 2 can be improved by ejecting the carrier gas at an appropriate flow rate from one or both of the first and second gas introduction ports 31, 34.
  • the local decrease in the etching rate is eliminated, and the etching process for the substrate 2 is made uniform.
  • at least one force of the first and second gas inlets 31, 34 is ejected at a suitable flow rate without changing the flow rate of the process gas that greatly contributes to the etching characteristics.
  • the etching process for the substrate 2 can be made uniform. Needless to say, increasing or decreasing the flow rate of the process gas does not mean that it does not adversely affect the etching characteristics, and does not exclude the increase or decrease of the flow rate of the process gas to the extent. .
  • the present invention can also be applied to other plasma processing apparatuses such as the force plasma CVD apparatus described in the present invention taking an ICP type dry etching processing apparatus as an example.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 プラズマ処理装置は、基板2と対向するチャンバ3の上部開口に配置された梁状スペーサ7を備える。梁状スペーサ7は、チャンバ3によってその下面7dが支持される環状の外周部7aと、平面視で外周部7aによって囲まれた領域の中央に位置する中央部7bと、中央部7bから外周部7aまで放射状に延びる複数の梁部7cとを備える。誘電体板8は梁状スペーサ7によってその全体が均一に支持される。チャンバ3内を減圧した際に大気圧を支持するための機械的強度を確保しつつ、誘電体板8を薄型化できる。

Description

明 細 書
プラズマ処理装置
技術分野
[0001] 本発明は、ドライエッチング装置、プラズマ CVD装置等のプラズマ処理装置に関す る。
背景技術
[0002] 誘導結合プラズマ (ICP)型のプラズマ処理装置では、チャンバの上部が誘電体板 で閉鎖され、この誘電体板上に高周波電力が投入されるコイルが配置された構成が 知られている。チャンバ内は減圧されるので、大気圧を支持するための機械的強度 を確保するために誘電体板はある程度の厚みを有する必要がある。しかし、誘電体 板の厚みが厚 、ほど、コイル力 プラズマへ投入される高周波パワーの損失が大きく なる。詳細には、誘電体板の厚みが厚いと、高周波パワーの投入損失が大きいので 、高密度のプラズマを生成させるためには、大容量の高周波電源が必要となる。投入 損失分は熱に変換されるので、高周波電源の大容量ィ匕に伴いこの発熱量が増加し、 誘電体板及び周辺部品の温度上昇が顕著となる。その結果、基板処理枚数が増加 するとエッチングレートや形状等のプロセス特性に変動が生じる。
[0003] これに対し、例えば特開平 10— 27782号公報(文献 1)及び特開 2001— 110777 号公報 (文献 2)には、梁状構造物で誘電体板の下面側を支持することにより、機械 的強度を確保しつつ誘電体板の薄型化を図ったプラズマ処理装置が開示されてい る。
[0004] しかし、前記文献 1及び 2に開示されたものを含め、従来提案されている誘電体板 を支持する梁状構造物では、チャンバ内を減圧した際の誘電体板の変形や、梁状構 造物が存在することによる高周波パワーの投入損失の低減は考慮されて 、な 、。
[0005] プラズマ処理装置においてチャンバ内に導入されるガスは、プロセスガス(例えばド ライエッチング装置の場合にはエッチングを行うラジカルとイオンを供給するエツチン グガス)と、放電維持のためのキャリアガスとに大別できる。一般に、エッチングガスの プラズマ化に必要なエネルギは、キャリアガスのプラズマ化に必要なエネルギよりも小 さい。そのため、エッチングガスとキャリアガスを同一箇所力 チャンバ内へ導入して コイル等が発生している強磁場を同時に通過させると、エッチングガスが過剰に解離
(ラジカル化)やイオン化する一方、キャリアガスにっ ヽては解離やイオン化の不足が 生じる。
[0006] これに対して、日本特許第 3384795号 (文献 3)には、エッチングガスとキャリアガ スのチャンバ内への導入位置を異ならせることでエッチングガスの過剰な解離ゃィォ ン化を抑制したプラズマ処理装置が開示されている。具体的には、この文献 3に開示 のプラズマ処理装置では、チャンバ上部を閉鎖する誘電体板内に形成した複数の放 出孔からキャリアガスを導入し、誘電体板と基板が配置された下部電極との間に配置 された金属管力 エッチングガスを導入して 、る。
[0007] しかし、文献 3の構造では、誘電体板に複数の放出孔ゃこれらの放出孔とガス源を 接続する流路を形成する必要がある点、エッチングガス導入用の金属管が必要であ る点等で構造が複雑である。また、文献 3の構造では、大型の基板の処理を可能とす るために、装置を大型化することが困難である。詳細には、誘電体板はチャンバの減 圧時に大気圧を支持するために十分な機械的強度を有する必要がある。しかし、文 献 3に記載の装置では、放出孔ゃ流路が形成された誘電体板は、その外周縁付近 がチャンバ本体に支持されて 、るに過ぎな、、ので、誘電体板を大型化すると必要な 機械的強度を確保することが困難である。
[0008] また、プロセス条件によっては、基板の周囲でのエッチングガスの流量分布を制御 してエッチング処理を均一化することを、エッチングガスの解離やイオンィ匕の適正化 よりも重視する必要がある。
発明の開示
発明が解決しょうとする課題
[0009] 本発明は、プラズマ処理装置において、チャンバ内を減圧した際の誘電体板の変 形を考慮して機械的強度を確保しつつ、誘電体板の薄型化を図り、かつ梁状構造物 が存在することによる高周波パワーの投入損失の低減を図ることを第 1の目的とする
[0010] また、本発明は、プロセスガスの過剰な解離やイオンィ匕を抑制することによる良好な 処理や、基板周囲でのプロセスガスの流量分布の制御によるプラズマ処理の均一化 を実現することができ、比較的簡易な構造で、かつ大型化を実現可能なプラズマ処 理を提供することを第 2の目的とする。
課題を解決するための手段
[0011] 前記第 1の目的を達成するために、本発明は、内部に基板 (2)が配置される真空 容器 (3)と、前記基板と対向する前記真空容器の上部開口に配置され、前記真空容 器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によ つて囲まれた領域の中央に位置する中央部(7b)と、前記中央部力 前記外周部ま で放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記 梁部で囲まれた領域が窓部 (26)を構成する梁状構造物 (7)と、前記梁状構造物の 上面(7g)に下面 (8a)が支持される誘電体板 (8)と、前記誘電体板の上面側に配置 され、高周波電力が投入されるプラズマ発生のためのコイル (9)とを備えることを特徴 とする、プラズマ処理装置を提供する。
[0012] 梁状構造物は、環状の外周部と、外周部によって囲まれた領域の中央に位置する 中央部と、中央部から外周部まで放射状に延びる複数の梁部とを備える。そのため、 誘電体板のすべての部分、すなわち外周部分、中央部分、及び外周部分と中央部 分の間の部分が梁状構造物によって支持される。換言すれば、誘電体板は梁状構 造物によってその全体が均一に支持される。真空容器の減圧時には誘電体板の中 央部分が下方に向けて橈みやすい。梁状構造物は梁部で外周部に連結された中央 部を備え、この中央部が誘電体板の中央部分を下面側力 支持する。よって、誘電 体板の中央部分の橈みを効果的に防止ないしは抑制できる。これらの理由により、 真空容器内を減圧した際の大気圧を支持するための機械的強度 (真空容器内を減 圧した際に誘電体板の変形をも考慮している。)を確保しつつ、誘電体板を薄型化で きる。誘電体板を薄型化することにより、高周波パワーの投入損失を大幅に低減でき るので、プラズマの高密度化を図ることができる。また、プラズマの高密度化によりコィ ルに投入する高周波電力を低減できるので、誘電体板等の発熱に起因して処理枚 数の増加に伴ってエッチングレート、エッチング形状等のプロセス特性が変動するの を防止できる。 [0013] 前記第 2の目的を達成するために、本発明に力かるプラズマ処理装置は、前記梁 状構造物の前記外周部に形成され、斜め下向きにガスを噴出する第 1のガス導入口 (31)と、前記梁状構造物の前記中央部に形成され、基板の中央部分に向けて下向 きにガスを噴出する第 2のガス導入口(34)と、前記第 1及び第 2のガス導入口のうち の少なくとも 、ずれか一方力 キャリアガスを噴出可能なキャリアガス供給源(20' )と 、前記第 1及び第 2のガス導入口のうちの少なくともいずれか一方力 プロセスガスを 噴出可能なプロセスガス供給源(19' )とをさらに備えることが好ましい。
[0014] 例えば、前記第 1のガス導入口力 前記キャリアガス供給源が前記キャリアガスを噴 出させ、前記第 2のガス導入口力 前記プロセスガス供給源が前記プロセスガスを噴 出させる。
[0015] コイルに高周波電力が投入されることにより梁状構造物の窓部には強磁場 (強い交 番電界)が形成される。キャリアガスは梁状構造物の外周部に形成された第 1のガス 導入ロカも斜め下向きに噴出されるので、この強磁場を通過する。その結果、キヤリ ァガスは十分に解離あるいはイオンィ匕される。一方、プロセスガスは、梁状構造物の 中央部に形成された第 2のガス導入ロカ 基板の中央部分に向けて下向きに噴出さ れるので、窓部に形成された強磁場を通過しない。そのため、プロセスガスの過剰な 解離やイオン化は生じない。従って、キャリアガスは十分に解離あるいはイオンィ匕さ せつつ、プロセスガスについては過剰な解離及びイオンィ匕を抑制でき、良好なプラズ マ処理を実現できる。例えば、プロセスガスがエッチングガスの場合、キャリアガスは 十分に解離ある 、はイオンィ匕させつつ、エッチングガスにっ 、ては過剰な解離及び イオン化を抑制することで、ガスの種類に応じて、すなわちエッチングガスとキャリア ガスのそれぞれについて、ラジカルとイオンの比を個別に制御できるので、エツチン グレートや選択比が良好なエッチング処理を実現できる。また、第 1及び第 2のガス導 入口は!ヽずれも梁状構造物に設けられて!/ヽる点、及び誘電体板自体にはガス導入 口等を設ける必要がな 、点で比較的構造が簡易である。
[0016] 代案としては、前記第 1のガス導入口力 前記プロセスガス供給源が前記プロセス ガスを噴出させ、前記第 2のガス導入口力 前記キャリアガス供給源が前記キャリア ガスを噴出させる。 [0017] 梁状構造物の外周部に形成された第 1のガス導入ロカゝらプロセスガスを斜め下向 きに噴出させることにより、プロセスガスの高密度プラズマ化を図ることができる。また 、第 2のガス導入ロカもキャリアガスを噴出させることでき、エッチングレート、エツチン グ等のエッチング特性に寄与するプロセスガスの流量を増加又は低減することなしに 基板の中央でのガス流量分布を変えることができる。その結果、基板に対するプラズ マ処理の均一化できる。例えば、プロセスガスがエッチングガスの場合、基板全体で エッチングレート等にむらのない均一なエッチング処理を施すことができる。なお、こ こでプロセスガスの流量を増加又は低減することなしにとは、エッチング特性に悪影 響がない程度にプロセスガスの流量を増加又は低減することをも排除する意味では な!ヽことは言うまでもな!/ヽ。
発明の効果
[0018] 本発明のプラズマ処理装置では、環状の外周部と、外周部によって囲まれた領域 の中央に位置する中央部と、中央部から外周部まで放射状に延びる複数の梁部とを 備える梁状構造物で誘電体板を支持しているので、真空容器内を減圧した際に誘電 体板の変形をも考慮した機械的強度を確保しつつ、誘電体板の薄型化を図ることが できる。誘電体板を薄型化することにより、高周波パワーの投入損失を大幅に低減で きるので、プラズマの高密度化を図ることができる。また、プラズマの高密度化によりコ ィルに投入する高周波電力を低減できるので、誘電体板等の発熱に起因して処理 枚数の増加に伴ってエッチングレート、エッチング形状等のプロセス特性が変動する のを防止できる。
[0019] 梁状構造物の外周部に形成された第 1のガス導入口と梁状構造物の中央部に形 成された第 2のガス導入口のうち少なくとも一方力 キャリアガス供給源がキャリアガス を噴出可能とし、かつこれら第 1及び第 2のガス導入口の少なくとも一方力 プロセス ガス供給源がプロセスガスを噴出可能とすることで、プロセスガスの解離やイオンィ匕を ガスの種類によって個別に制御することによる良好なプラズマ処理を実現できる。あ るいは、エッチングレート、エッチング形状等のエッチング特性に寄与するプロセスガ スを増加又は低減することなく基板中央でのガス流量分布を変えることにより、基板 に対するプラズマ処理の均一化を実現できる。また、比較的簡易な構造であり、装置 の大型化も実現可能である。
図面の簡単な説明
[0020] 本発明のこれらと他の目的と特徴は、添付された図面についての好ましい実施形 態に関連した次の記述から明らかになる。
[0021] [図 1]本発明の第 1実施形態に係るドライエッチング装置の模式的な断面図。
[図 2]図 1の II II線での断面図。
[図 3]ICPコイルを示す平面図。
[図 4A]梁状スぺーサと ICPコイルを示す模式的な平面図。
[図 4B]ICPコイルの代案を示す模式的な平面図。
[図 5A]梁状スぺーサの代案を示す模式的な平面図。
[図 5B]梁状スぺーサの他の代案を示す模式的な平面図。
[図 5C]梁状スぺーサのさらに他の代案を示す模式的な平面図。
[図 6]図 1の部分 VIの部分拡大図。
[図 7]図 1の部分 VIIの部分拡大図。
[図 8]導入口プレートの斜視図。
[図 9A]交換用の導入口プレートの斜視図。
[図 9B]他の交換用の導入口プレートの斜視図。
[図 10]ガス流量を説明するための図 1の部分拡大図。
[図 11]導入口プレートを交換した場合のガス流量を説明するための図 1の部分拡大 図。
[図 12]本発明の第 2実施形態に係るドライエッチング装置が備える梁状スぺーサの 模式的な斜視図。
[図 13]本発明の第 3実施形態に係るドライエッチング装置を示す部分拡大断面図。
[図 14]図 13の矢印 XIVでの矢視図。
[図 15]カバーの代案を示す部分拡大断面図。
[図 16]本発明の第 4実施形態に係るドライエッチング装置が備える梁状スぺーサを示 す部分断面図。
[図 17]仕切部材を示す斜視図。 [図 18]本発明の第 5実施形態に係るドライエッチング装置が備える梁状スぺーサを示 す部分断面図。
[図 19]導入口チップを示す斜視図。
[図 20]代案の導入口チップを備える梁状スぺーサの部分断面図。
[図 21]代案の導入口チップを示す斜視図。
[図 22]本発明の第 6実施形態に係るドライエッチング装置の模式的な断面図。
[図 23]第 6実施形態における梁状スぺーサを示す平面図。
[図 24]第 6実施形態における梁状スぺーサを底面側力 見た模式的な斜視図。
[図 25]本発明の第 7実施形態に係るドライエッチング装置の模式的な断面図。
[図 26]本発明の第 8実施形態に係るドライエッチング装置の模式的な断面図。
[図 27]本発明の第 9実施形態に係るドライエッチング装置の模式的な断面図。
[図 28]図 1の XXVIII— XXVIII線での断面図。
[図 29]本発明の第 10実施形態に係るドライエッチング装置の模式的な断面図。 発明を実施するための最良の形態
(第 1実施形態)
図 1は本発明の実施形態に係る ICP (誘導結合プラズマ)型のドライエッチング装置 1を示す。ドライエッチング装置 1は、その内部に基板 2が収容される処理室を構成す るチャンバ (真空容器) 3を備える。チャンバ 3は、上部が開口したチャンバ本体 4と、 このチャンバ本体 4の上部開口を密閉する蓋体 6を備える。蓋体 6はチャンバ本体 4 の側壁上端に支持された梁状スぺーサ (梁状構造物) 7と、この梁状スぺーサ 7に支 持されて天板として機能する円板状の誘電体板 8を備える。本実施形態では、梁状 スぺーサ 7はアルミニウム、ステンレス鋼 (SUS)等のような十分な剛性を有する金属 材料からなり、誘電体板 8は酸化イットリウム (Y203)力もなる。梁状スぺーサ 7には 酸化イットリウム溶射等の耐磨耗性を向上させる表面処理を行ってもょ ヽ。誘電体板 8上には、 ICPコイル 9が配設されている。図 3に示すように ICPコイル 9は平面視で 誘電体板 8の中央から外周に向けて螺旋状に延びる複数本 (本実施形態では 4本) の導電体 11からなる。平面視で誘電体板 8の中央に対応する部分 (巻き始め部分) では、隣接する導電体 11間の隙間が大きく。換言すれば、誘電体板 8の中央に対応 する部分では導電体 11の卷付密度が粗である。これに対して、平面視で誘電体板 8 の外周に対応する部分では、隣接する導電体 11間の隙間が狭ぐ卷付密度が密で ある。 ICPコイル 9にはマッチング回路 12を介して、高周波電源 13が電気的に接続さ れている。なお、チャンバ本体 4には基板 2を搬入出するためのゲート(図示せず)が 設けられている。
[0023] 誘電体板 8及び梁状スぺーサ 7と対向するチャンバ 3内の底部側には、バイアス電 圧が印加される下部電極としての機能及び基板 2を静電吸着等によって保持する機 能を有する基板サセプタ 14が配設されている。基板サセプタ 14にはバイアス用の高 周波電源 16から高周波電源が印加される。また、基板サセプタ 14内には冷媒の循 環流路が設けられており、冷媒循環装置 17から供給される温調された冷媒がこの循 環流路中を循環する。さらに、基板サセプタ 14の上面と基板 2の裏面との間の微細 な隙間に伝熱ガスを供給する伝熱ガス循環装置 18が設けられている。
[0024] チャンバ 3内は、図示しない真空排気装置により排気され、プロセスガス供給源 19 力も後述するガス導入口 31, 34を介してプロセスガスが導入される。その後、高周波 電源 13から ICPコイル 9に高周波電力が投入され、チャンバ 3にプラズマが発生し、 維持される。後に詳述するように、プラズマにより生成されるエッチングガスのラジカ ルとイオンの働きにより、基板 2の結果基板 2の表面がエッチングされる。高周波電源 13, 16、プロセスガス供給源 19、伝熱ガス循環装置 18、及び冷媒循環装置 17を含 む装置全体の動作はコントローラ 21により制御される。
[0025] 図 1、図 2、及び図 4Aを参照すると、本実施形態における梁状スぺーサ 7は、円環 状の外周部 7a、平面視で外周部 7aによって囲まれた領域の中央に位置する中央部 7b、及び中央部 7bから外周部 7aまで放射状に延びる複数 (本実施形態では 6個)の 梁部 7cを備える。
[0026] 図 6を併せて参照すると、梁状スぺーサ 7の外周部 7aの下面 7dが、チャンバ本体 4 の側壁の上端面に支持されている。外周部 7aの下面 7dには環状の溝 7e, 7fが形成 されており、これらの溝 7e, 7fに収容された Oリング 22, 23によって梁状スぺーサ 7と チャンバ本体 4の接合部分の密閉性が確保されて 、る。
[0027] 図 2、図 4A、及び図 6に明瞭に示すように、外周部 7aの上面 7gにも環状の溝 7kが 形成されており、この溝 7kに Oリング (第 1の弾性部材) 24が収容されている。 Oリン グ 24は梁状スぺーサ 7の外周部 7aと誘電体板 8の下面 8aとの間に介在している。換 言すれば、梁状スぺーサ 7の外周部 7aは Oリング 24を介して間接的に誘電体板 8と 接触している。 Oリング 24には、梁状スぺーサ 7と誘電体板 8の接合部分での気密性 を確保する機能もある。
[0028] 梁状スぺーサ 7の 6個の梁部 7cは、幅がほぼ一定の長方形状であり、平面視(図 2 及び図 4A参照)において等角度間隔で中央部 7bから放射状に延びている。梁部 7 cの一端は中央部 7bと一体に連結されており、他端は外周部 7aに一体に連結されて いる。また、図 4に示すように、 6個の梁部 7cは平面視で ICPコイル 9を構成する螺旋 状の 4本の帯状の導電体 11のうち平面視で誘電体板 8の外周に対応する卷付密度 が密の部分に対して直交する方向に延びて 、る。
[0029] 図 4Aに示すように、梁状スぺーサ 7の中央部 7bには、上面 7gに 3個の凹部 7hが 設けられており、これらの凹部 7hにそれぞれ弾性部材 (第 2の弾性部材) 25が収容さ れている。弾性部材 25は梁状スぺーサ 7の中央部 7bと誘電体板 8の下面 8aとの間 に介在している。換言すれば、梁状スぺーサ 7の中央部 7bは弾性部材 25を介して 間接的に誘電体板 8と接触している。
[0030] 梁状スぺーサ 7の外周部 7a、中央部 7b、及び梁部 7cで囲まれた領域は、基板サ セプタ 14側力も見て誘電体板 8の下面 8aが露出している窓部 26を構成する。本実 施形態では、梁状スぺーサ 7はそれぞれ扇形状である 6個の窓部 26を備える。
[0031] 前述のように、梁状スぺーサ 7は円環状の外周部 7aと、外周部 7aによって囲まれた 領域の中央に位置する中央部 7bと、中央部 7bから外周部 7aまで放射状に延びる複 数の梁部 7cとを備える。そのため、誘電体板 8の下面 8aのすベての部分、すなわち 外周部分、中央部分、及び外周部分と中央部分の間の部分が梁状スぺーサ 7によつ て支持される。換言すれば、誘電体板 8は梁状スぺーサ 7によってその全体が均一 に支持される。チャンノ 3を減圧するとチャンバ内の圧力(負圧)と大気圧との差圧が 誘電体板 8に作用するが、この差圧による負荷が作用する際にも誘電体板 8の全体 が梁状スぺーサ 7によって均一に支持される。一方、チャンノ 3を減圧した際の差圧 による負荷によって、特に誘電体板 8の中央部分が下方 (基板サセプタ 14側)に向け て橈みやすい。梁状スぺーサ 7は梁部 7cで外周部 7aに連結された中央部 7bを備え 、この中央部 7bが誘電体板 8の中央部分を下面 8a側から支持する。よって、誘電体 板 8の中央部分の橈みを効果的に防止な 、しは抑制できる。
[0032] 以上のように、梁状スぺーサ 7で誘電体板 8の下面を均一に支持すること、及び橈 みの生じやす 、誘電体板 8の中央部分を梁状スぺーサ 7の中央部 7bで支持すること により、チャンバ 3内を減圧した際の大気圧を支持するための機械的強度 (チャンバ 3 内を減圧した際の誘電体板 8の変形をも考慮している。)を確保しつつ、誘電体板 8 を薄型化できる。例えば、誘電体板の外周部分のみを支持するスぺーサで直径 320 mmの誘電体板を支持する場合、機械的強度を確保するために誘電体板の厚みは 2 5mm以上に設定する必要がある。これに対して、本実施形態の梁状スぺーサ 7で直 径 320mmの誘電体板 8を支持する場合、誘電体板 8の厚みが 10mm程度あれば必 要な機械的強度が得られる。誘電体板 8を薄型化することにより、高周波パワーの投 入損失を大幅に低減できるので、プラズマの高密度化を図ることができる。また、ブラ ズマの高密度化により ICPコイル 9に投入する高周波電力を低減できるので、誘電体 板等の発熱に起因して処理枚数の増加に伴ってエッチングレート、エッチング形状 等のプロセス特性が変動するのを防止できる。
[0033] 前述のように、梁状スぺーサ 7の外周部 7aと誘電体板 8の下面 8aの外周部分との 間には Oリング 24が介在している。従って、誘電体板 8の下面 8aの外周部分が梁状 スぺーサ 7の外周部 7aに直接接触することによる誘電体板 8の損傷や破損を防止で きる。同様に、梁状スぺーサ 7の中央部 7bと誘電体板 8の下面 8aの中央部分との間 には弾性部材 25が介在しているので、誘電体板 8の下面 8aが梁状スぺーサ 7の中 央部 7bと直接接触することによる誘電体板 8の損傷や破損を防止できる。前述のよう に誘電体板 8の中央部分は下方に向けて橈みやすいが、弾性部材 25を設けること により、下方に橈んだ誘電体板 8の中央部分が梁状スぺーサ 7の中央部 7bに直接接 触するのを確実に防止できる。
[0034] 図 5Aから図 5Cは梁状スぺーサ 7と誘電体板 8との間に介在させる Oリングないしは 弾性部材の代案を示す。図 5Aの例では、梁状スぺーサ 7の中央部 7bに外周部 7a の Oリング 24と同心円状に小径の Oリング 27が配置している。図 5Bでは、梁状スぺ ーサ 7の上面 7gの全体に弾性部材 28が配置されている。詳細には、弾性部材 28は 、梁状スぺーサ 7の外周部 7aに配置された環状の部分 28a、個々の梁部 7cに配置さ れた帯状の部分 28b (第 3の弾性部材)、及び帯状の部分 28bが中央部 7bで連結さ れることで形成された部分 28cを備える。図 5Cでは、梁状スぺーサ 7の上面 7gには 個々の窓部 26を取り囲むように溝が設けられており、この溝に Oリング 79が配置され ている。
[0035] 前述のように、梁状スぺーサ 7の梁部 7cは ICPコイル 9を構成する導電体 11の卷付 密度が密の部分に対して直交する方向に延びている。そのため、高周波電源 13から 高周波電力が投入された際に ICPコイル 9の導電体 11の周囲に発生する電磁界に 対し、梁状スぺーサ 7が及ぼす電磁気的な影響を抑制できる。その結果、高周波パ ヮ一の投入損失をさらに低減できる。この投入損失の低減の効果を得るためには、梁 部 7cと導電体 11の卷付密度が密の部分とが正確に直交している必要は必ずしもな ぐ両者が実質的に直交していればよい。例えば、平面視で梁部 7cと導電体 11とが 90° ± 10° 程度の角度で交差していれば、投入損失低減の効果が得られる。導電 体 11が梁部 7cに対して平面視で直交方向に加え、図 4Bに示すように、梁状スぺー サ 7の梁部 7cの本数(6本)と ICPコイル 9を構成する導電体 11の本数(6本)がー致 することが好ましい。これにより高周波電源 13から ICPコイル 9へ高周波電力が投入 され際に発生する電磁界の対称性が向上するので、梁部 7cの存在に起因する投入 損失をさらに低減できる。
[0036] 前述のように、誘電体板 8は酸化イットリウム力もなる。例えば、 Si基板を深ぐかつ 高速でエッチングする場合、ラジカルを増加させるためにチャンバ 3内の圧力を上げ る必要がある。この場合、プラズマの生成モードに容量結合性が増してくることで、誘 電体板へのスパッタリングが増大するので、誘電体板が石英製であると誘電体板の 摩耗が著しぐ比較的短期間で誘電体板を交換する必要がある。これに対して、誘電 体板 8を酸化イットリウム製とすることで、特に容量結合性の増大する高圧条件にお いても誘電体板の摩耗を大幅に低減できる。具体的には、容量結合性の増大する高 圧条件下では、酸化イットリウム製の誘電体板 8の摩耗は石英製の誘電体板の摩耗 の 1Z 100程度である。 [0037] 代案としては、誘電体板 8は窒化アルミニウム (A1N)又は石英力 なるものでもよい 。一般に酸化イットリウムは熱衝撃に対する耐性が低ぐ材料の内部の大きな温度勾 配は割れの原因となる。これに対して、窒化アルミニウムは、プラズマの生成モードが 容量結合性が支配的となる条件下での耐磨耗性では酸化イットリウムに及ばないが、 熱衝撃に対する耐性は酸化イットリウムよりも高い。そのため、誘電体板 8として窒化 アルミニウムを採用した場合、誘電体板 8内部の温度勾配に起因する割れを効果的 に防止できる。また、石英はプラズマの生成モードが容量結合性が支配的となる条件 下での耐磨耗性では、酸化イットリウムゃ窒化アルミニウムよりも大幅に劣る力 熱衝 撃性に対する耐性は酸化イットリウムゃ窒化アルミニウムよりも高い。また、石英からな る誘電体板は、割れが生じた場合のプロセスへの影響は、酸化イットリウムや酸化ァ ノレミニゥムよりも/ J、さい。
[0038] 次に、チャンバ 3内にプロセスガスを導入するための構成について詳細に説明する
[0039] 図 1、図 2、及び図 6を参照すると、梁状スぺーサ 7の外周部 7aには中央部 7bと対 向する内側側壁 7mに、複数個 (本実施形態では 6個)のガス導入口(外周部ガス導 入口) 31が形成されて!、る。 6個のガス導入口 31は平面視で等角度間隔に配置され ており、それぞれ別個の窓部 26に開口している。また、個々のガス導入口 31は、プ ロセスガスが斜め下向き、すなわち窓部 26を通って基板サセプタ 14で保持された基 板 2の表面の中央付近に向けて噴出されるように、その向きと形状が設定されて 、る 。梁状スぺーサ 7の外周部 7aの上面 7gには Oリング 24よりも内側に環状のガス流路 溝 7iが形成されている。このガス流路溝 7iの上部開口は誘電体板 8の下面 8aで閉鎖 されており、ガス流路溝 7i内に密閉された環状ガス流路 32が形成されている。図 6を 参照すると、個々のガス導入口 31は、この環状ガス流路 32と連通している。図 1及び 図 2を参照すると、一端が環状ガス流路 32と連通し、他端がプロセスガス供給源 19と 接続された導入流路 33が設けられている。従って、プロセスガス供給源 19から供給 されるプロセスガスは、導入流路 33及び環状ガス流路 32を通ってガス導入口 31カゝら チャンバ 3内に噴出される。前述のようにガス導入口 31は梁状スぺーサ 7の外周部 7 aに形成され、かつ斜め下向きにプロセスガスを噴出するので、ガス導入口 31から噴 出されたプロセスガスは、基板サセプタ 14上に保持された基板 2の外周部分から中 央部分に向かう(図 10及び図 11参照)。
[0040] 図 1、図 2、及び図 7を参照すると、梁状スぺーサ 7の中央部 7bには収容凹部 7jが 形成されており、この収容凹部内 7jにはガス導入口(中央部ガス導入口) 34が形成さ れた交換可能な導入口プレート(中央部導入口部材) 36Aが収容されて 、る。梁状 スぺーサ 7の中央部 7bには一端がガス分配室 41を介して個々の第 2ガス導入口 34 と連通する入口ガス流路 37が形成されている。ガス流路 38は、図 2に最も明瞭に示 すように梁状スぺーサ 7の外周部 7aの側壁外周面から 6個の梁部 7cのうちの 1個(図 2にお 、て「9時」の方向に延びる梁部 7c)の内部を通って中央部 7bまで達して 、る 。このガス流路 38の外周部 7a側の端部は閉鎖されている力 図 2において符号 Aで 示す部位でガス流路溝 7iを貫通しており、環状ガス流路 32内のプロセスガスはこの 部位力もガス流路 38内に流入する。前述の入口ガス流路 37の他端はガス流路 38に 連通している。
[0041] 図 7及び図 8を参照すると、導入口プレート 36Aは外周縁付近に厚み方向に貫通 する貫通孔 (本実施形態では 4個) 36aを備える。この貫通孔 36aに貫通させたねじ 3 9を収容凹部 7jの底壁に形成したねじ孔にねじ込むことにより、導入口プレート 36A が収容凹部 7j内に固定されている。また、導入口プレート 36Aの上面 36bの中央部 には凹部 36dが形成されている。この凹部 36dと収容凹部 7jの底壁とにより入口ガス 流路 37と連通するガス分配室 41が形成されている。ガス導入口 34は凹部 36dの底 壁から鉛直方向に延び、導入口プレート 36Aの下面 36eまで貫通している。図 8に示 す導入口プレート 36Aでは、凹部 36dの中央に 1個のガス導入口 34が配置され、こ の中央のガス導入口 34からそれぞれ 5個のガス導入口 34からなる列が等角度間隔 で放射状に 4列設けられている。また、図 8の導入プレート 36Aでは、すべてのガス 導入口 34の孔径を同一に設定している。さらに、導入口プレート 36Aの上面 36bに は凹部 36dを取り囲む環状溝 36fが形成されており、この環状溝 36fに収容された O リング 42によってガス分配室 41内の密閉性が確保されて 、る。プロセスガス供給源 1 9から供給されるプロセスガスは、導入流路 33、環状ガス流路 32、ガス流路 38、入口 ガス流路 37、及びガス分配室 41を経て導入口プレート 36Aのガス導入口 34からチ ヤンバ 3内に噴射される。ガス導入口 34は梁状スぺーサ 7の中央部 7bに取り付けた 導入口プレート 36Aに設けられ、かつ下向きにプロセスガスを噴出するので、第 2ガ ス導入口 34から噴出されたプロセスガスは、基板サセプタ 14上に保持された基板 2 の中央部分に向かう(図 10及び図 11参照)。
[0042] 図 9A及び図 9Bは交換用の導入口プレート 36B, 36Cの例を示す。図 9Aの導入 口プレート 36Bでは、ガス導入口 34の数及び配置は図 8の導入口プレート 36Aと同 一である力 ガス導入口 34の孔径を図 8の導入口プレート 36Aよりも大きく設定して いる。図 9Bの導入口プレート 36Cでは、ガス導入口 34の孔径は図 8の導入口プレー ト 36Aと同一である力 ガス導入口 34の数と配置が図 8の導入口プレート 36Bと異な る。詳細には、凹部 36dの中央に 1個のガス導入口 34が配置され、この中央のガス 導入口 34からそれぞれ 5個のガス導入口 34からなる列が放射状に 8列設けられて ヽ る。導入口プレートに設けるガス導入口 34の形状、寸法、配置、及び個数は、図 8か ら図 9Bに図示したものに限定されず、適宜設定可能である。
[0043] 導入口プレート 36A〜36Cを交換することで、ガス導入口 34から噴出されるプロセ スガス、すなわち基板 2の中央部分の真上力 鉛直方向下向に基板 2の中央部分に 向力うプロセスガスの流量を簡単に調整できる。従って、プロセス条件、基板 2の寸法 等に応じて導入口プレート 36A〜36Cを交換することにより、ガス導入口 31とガス導 入口 34から噴出されるプロセスガスの流量の比率を調整し、それによつて基板 2周辺 を含む基板 2上の全領域でのガス流量を簡単に均一化できる。例えば、図 10に示す ように、梁状スぺーサ 7の中央部 7bに図 8の導入口プレート 36Aを取り付けると外周 のガス導入口 31から噴出されるプロセスガスの流量に対して中央のガス導入口 34か ら噴出されるプロセスガスの流量が不足し、ガス導入口 31から噴出されたプロセスガ スが基板 2の中央部分で滞留する傾向が生じる場合がある。この場合、図 10におい て符号 43Aで示すように基板 2の中央部分のエッチングレートが周辺部分のエッチ ングレートよりも高くなり、均一なエッチング処理を行うことができない。これに対して、 図 11に示すように、図 9Aの導入口プレート 36B (ガス導入口 34の孔径が図 8の導入 口プレート 36Aよりも大き!/ヽ)や、図 9Bの導入口プレート 36C (ガス導入口 34の個数 が図 8の導入口プレート 36Aよりも多!、)を梁状スぺーサ 7の中央部 7bに取り付けれ ば、第 2ガス導入口 34から噴出されるプロセスガスの流量が増加する。この場合、外 周のガス導入口 31から噴出されたプロセスガスは中央のガス導入口 34から噴出され たプロセスガスの流れに合流し、基板 2の中央部分に滞留することなく基板 2の表面 に沿って外周部分に向力つて流れる。従って、図 11において符号 43Bで示すように 基板 2の中央部分と周辺部分でエッチングレートのばらつきが大幅に低減され、均一 なエッチング処理となる。なお、後に詳述するように、梁状スぺーサ 7の外周部 7aに 設けたガス導入口 31の形状、寸法、配置、個数等を変更することで、ガス導入口 31 とガス導入口 34から噴出されるプロセスガスの流量の比率を変更し、それによつてェ ツチング処理を均一化してもょ 、。
[0044] (第 2実施形態)
図 12は本発明の第 2実施形態を示す。図 12は梁状スぺーサ 7のみを図示している 力 第 2実施形態のドライエッチング装置 1の全体的な構造は第 1実施形態(図 1参 照)と同一である。
[0045] 梁状スぺーサ 7の外周部 7aには環状ガス流路 32とガス導入口 31が形成されてお り、環状ガス流路 32は導入流路 33を介してプロセスガス供給源 19と接続されている 。また、図 12では図示していないが、梁状スぺーサ 7の中央部 7bにはガス導入口 34 を備える導入口プレート 36A (図 1及び図 8参照)が取り付けられている。これらの点 は、第 1実施形態と同様である。
[0046] 本実施形態では、梁状スぺーサ 7と誘電体板 8を冷却する冷却機構 51が設けられ ている。この冷却機構 51は、梁状スぺーサ 7の外周部 7aと梁部 7cに設けられた冷媒 流路 52と、温調された冷媒を供給する冷媒循環装置 53を備える。冷媒流路 52の入 口 52aと出口 52bは冷媒循環装置 53に接続されており、冷媒循環装置 53から供給 された冷媒が冷媒流路 52中を循環し、それによつて梁状スぺーサ 7が冷却される。ま た、誘電体板 8は梁状スぺーサ 7上に配置されているので、梁状スぺーサ 7が冷却さ れることにより誘電体板 8も冷却される。この冷却機構 51で梁状スぺーサ 7と誘電体 板 8を冷却することにより、 ICPコイル 9 (図 1参照)に高周波電力を投入してプラズマ を発生させた状態が長時間にわたっても、梁状スぺーサ 7及び誘電体板 8の温度上 昇に起因するプロセス特性の変動や堆積物の付着あるいは堆積物の剥離を確実に 防止できる。
[0047] 第 2実施形態のその他の構成及び作用は第 1実施形態と同様である。
[0048] (第 3実施形態)
図 13及び図 14は本発明の第 3実施形態を示す。この第 3実施形態のドライエッチ ング装置 1の全体的な構造は第 1実施形態(図 1参照)と同一である。
[0049] 本実施形態では誘電体板 8は石英からなる。また、誘電体板 8の下面 8a側のうち梁 状スぺーサ 7の窓部 26を介してチャンバ 3の処理室内に露出する部位に、酸化イット リウムカもなる極薄のカバー 61が取り付けられている。梁状スぺーサ 7は 6個の窓部 2 6が設けられて 、るので(図 2を併せて参照)、カバー 61にはそれに対応してピース 状の 6個のカバー 61が取り付けられている。誘電体板 8の下面 8aには窓部 26と対応 する位置(6箇所)に凹部 8bが形成されており、これらの凹部 8b内にそれぞれカバー 61が収容されている。個々のカバー 61の下面は誘電体 8の下面 8aと面一である。ま た、個々のカバー 61の外周縁付近は梁状スぺーサ 7と誘電体板 8の間に挟み込まれ ている。
[0050] 酸化イットリウム力もなるカバー 61を窓部 26に配置したことで、特に容量結合性の 増大する高圧条件においても石英力もなる誘電体板 8の摩耗を大幅に低減できる。 また、酸化イットリウム力もなるカバー 61は、誘電体板 8の下面 8a側全体ではなく窓 部 26から露出した部位にのみ設けられているので、個々のカバー 26の面積を小さく 設定することができる。酸化イットリウム材は剛性が低いので、大面積で薄厚の酸化ィ ットリウム材は強度が低い。し力し、個々のカバー 26は小面積のピース状であるので 、十分な強度を確保しつつ薄厚化できる。具体的には、カバー 26の厚みは lmn!〜 5 mm程度、詳細には 2mm程度に設定できる。また、カバー 26は小面積で薄厚のため プラズマ処理中も均一な温度を維持されるので、温度勾配に起因する割れの発生を 防止できる。さらに、誘電体板 8自体を酸化イットリウム製とする場合や、誘電体板 8の 下面 8a全体を酸化イットリウム材で覆う場合と比較すると、誘電体板 8の窓部 26から 露出した部位のみ、すなわちプラズマに曝されるので保護が必要な部分にのみ酸ィ匕 イットリウム製のカバー 61を設けているので、酸化イットリウムの使用量及びコストを大 幅に低減できる。 [0051] 図 13の構成ではカバー 61の下面は誘電体板 8の下面 8aと面一である力 プラズ マに曝されることに起因する誘電体板 8の摩耗を低減できる限り、カバー 61の誘電体 板 8に対する取り付けないし配置の位置は、特に限定されない。例えば、図 15に示 すように、梁状スぺーサ 7側に設けた凹部 7nにカバー 61の外周縁の下面側を設置 し、それによつてカバー 61の上面と誘電体板 8の下面 8aとを面一としてもよい。また、 カバー 61の下面と上面の両方が誘電体板 8の下面 8aと面一とはならないように、誘 電体板 8に対してカバー 61を取り付けてもよい。さらに、誘電体板 8の下面 8aとの間 に隙間が存在するようにカバー 61を配置してもよ 、。
[0052] 第 3実施形態のその他の構成及び作用は第 1実施形態と同様である。なお、第 2実 施形態の冷却機構 51 (図 12参照)を第 3実施形態に適用してもよい。冷却機構 51を 設けることにより、カバー 61の温度をほぼ一定に維持できるので、温度勾配に起因 するカバー 61の割れをより確実に防止できる。
[0053] 第 3実施形態において酸化イットリウムであるカバー 61 (図 13から図 15参照)を単 結晶サフアイャとしてもよい。単結晶サフアイャは酸化イットリウムよりも熱衝撃に強い ため、より大きな温度勾配が与えられる環境においてもカバー 61の割れを確実に防 止できる。単結晶サフアイャ製の場合も、カバー 61の誘電体板 8に対する取り付けな いし配置の位置が特に限定されない点は、第 3実施形態と同様である。なお、単結 晶サフアイャゃ酸化イットリウムに代えて、酸ィ匕アルミナを含むアルミナ (A1203)によ りカバー 61を形成してもよい。
[0054] (第 4実施形態)
図 16に示す本発明の第 4実施形態に係るドライエッチング装置 1は、梁状スぺーサ 7の外周部 7aに形成された環状ガス流路 32内に仕切りリング 71を備える。前述のよ うに、環状ガス流路 32は外周部 7aの上面 7gの Oリング 24よりも内側に形成した環状 のガス流路溝 7iにより形成されている。環状ガス流路 32は、底壁 32aと、この底壁 32 aから鉛直方向上向きに延びる内周壁 32bと外周壁 32cを備える。内周壁 32bにはガ ス導入口 31の基端側が開口している。また、外周壁 32cにはプロセスガス供給源 19 と接続された導入流路 33が開口している。さらに、環状ガス流路 32の上端側には、 流路幅を拡げた収容部 32dが形成されている。この収容部 32d内には Oリング 73が 収容されている。 Oリング 73は誘電体板 8の下面 8aに密接しており、それによつて環 状ガス流路 32内が密閉されている。
[0055] 図 17を併せて参照すると、仕切りリング 71は、平坦な円環状の基部 71aと、この基 部 71aから上向きに延びる仕切り壁 71bを備える。基部 71aの径と幅は環状ガス流路 32aとほぼ一致しており、基部 71aは下面が底壁 32aに載置され、内周縁と外周縁が それぞれ内周壁 32bと外周壁 32cに当接した状態で環状ガス流路 32a内に収容され ている。仕切り壁 71bは基部 71aの幅方向のほぼ中央力も鉛直方向上向きに突出し ている。仕切り壁 71bは、下端が基部 71aに接続する一方、上端が Oリングの下側に 密接している。
[0056] 仕切りリング 71の仕切り壁 71bによって、環状ガス流路 32内は内周壁 32a側(ガス 吐出口 31側)の吐出空間 72Aと、外周壁 32c側(プロセスガス供給源 19側)の供給 空間 72Bとに仕切られている。詳細には、仕切り壁 71bよりも内側に環状の吐出空間 72Aが形成され、仕切り壁 71bよりも外側に環状の供給空間 72Bが形成されている。 仕切り壁 71bには、厚み方向に貫通する複数の連通孔 71cが間隔をあけて設けられ ている。これらの連通孔 71cのみを介して吐出空間 72Aと供給空間 72Bが互いに連 通している。
[0057] プロセスガス供給源 19から導入流路 33を介して環状ガス流路 32に供給されるプロ セスガスは、まず供給空間 72B内に進入する。プロセスガスは供給空間 72B内で環 状に拡散しつつ、複数の連通孔 71cを通って吐出空間 72内に進入する。プロセスガ スは吐出空間 72B内でさらに拡散しつつ、ガス導入口 31からチャンバ 3内に噴出さ れる。このように予め環状の供給空間 72B内にプロセスガスを拡散させた後、ガス導 入口 31側の吐出空間 72Aに供給するので、 1個又は複数個の特定のガス導入口 3 1から噴出されるガスの流量が残りのガス導入口 31と比較して大きくなることがない。 換言すれば、仕切りリング 71の仕切り壁 71bの整流作用により、複数のガス導入口 3 1から噴出されるプロセスガスの流量が均一化される。
[0058] 第 4実施形態のその他の構成及び作用は第 1実施形態と同様である。
[0059] (第 5実施形態)
図 18に示す本発明の第 5実施形態に係るドライエッチング装置 1は、梁状スぺーサ 7の外周部 7aに交換可能に取り付けられた複数個の導入口チップ (外周側導入口部 材) 74を備え、個々の導入口チップ 74にガス導入口 31が 1個設けられている。
[0060] 梁状スぺーサ 7の外周部 7bには、環状ガス流路 32の内周壁 32bから内側側壁 7m に到る斜め下向きであって断面円形の取付孔 75が複数個設けられている。個々の 取付孔 75に導入口チップ 74が着脱可能に装着されている。取付孔 75は環状ガス 流路 32側力も順に、環状ガス流路 32に連通する入口部 75a、雌ねじ部 75b、及びチ ヤンバ 3内に開放した出口部 75cを備える。雌ねじ部 75bは入口部 75aよりも大径で あり、雌ねじ部 75bと入口部 75aの接続部分の段差により座部 75dが形成されている 。また、出口部 75cは雌ねじ部 75bよりも大径であり、出口部 75cと雌ねじ部 75bの接 続部分の段差により座部 75eが形成されて 、る。
[0061] 図 19を併せて参照すると、導入口チップ 74は雄ねじ部 74aと、この雄ねじ部 74aの 先端に一体に設けられた頭部 74bとを備える。頭部 74bは雄ねじ部 74aよりも大径で ある。雄ねじ部 74aの基端面には凹部 74cが形成されている。この凹部 74cの底壁か ら頭部 74bの先端面まで貫通するようにガス導入口 31が設けられて 、る。ガス導入 口 31は導入口チップ 74の中心軸に沿って延びて!/、る。導入口チップ 74の雄ねじ部 74aは取付孔 75の雌ねじ部 75bにねじ込まれ、それによつて導入口チップ 74は梁 状スぺーサ 7の外周部 7aに対して固定される。導入チップ 74の頭部 74bは取付孔 7 5の出口部 75cに収容される。また、雄ねじ部 74aの基端面が座部 75d上に配置され 、頭部 54の基端面が座部 75e上に配置される。
[0062] 環状ガス流路 32からチャンバ 3の内部までは、取付孔 75の入口部 75a、導入ロチ ップ 74の凹部 74c、及びガス導入口 31からなる経路が形成されている。プロセスガス はこの経路を通ってガス導入口 31からチャンバ 3内に噴出される。
[0063] ガス導入口 31の孔径ゃ向きが異なる複数種類の導入口チップ 74を準備しておけ ば、導入口チップ 74を交換することでガス導入口 31の孔径ゃ向きを変更することが できる。プロセスガス供給源 19の供給圧が同じであれば、一般にガス導入口 31の孔 径が大きいほど導入されるプロセスガスの流速は遅くなり、孔径が小さいほど流速は 速くなる。従って、プロセス条件、基板 8の寸法等の条件に応じて異なるガス導入口 3 1を備える導入口チップ 74に交換することで、簡易に基板 8上のガス流量の均一化を 図ることができる。
[0064] 図 20及び図 21は導入口チップの代案を示す。この代案では、梁状スペース 7の該 外周部 7bに環状ガス流路 32の内周壁 32bから内側側壁 7mに到る水平方向に延び る断面円形の取付孔 76が複数個設けられている。取付孔 76は環状ガス流路 32側 力も順に、環状ガス流路 32に連通する入口部 76a、入口部 76aよりも大径の中間部 76b、及び中間部 76bよりも大径の出口部 76cを備える。入口部 76aと中間部 76bの 接続部分と中間部 76bと出口部 76cの接続部分には、それぞれ座部 76d, 76eが形 成されている。
[0065] 導入口チップ 77は軸部 77aと、軸部 77aの先端に設けられた頭部 77bを備える。頭 部 77bは軸部 77aよりも大径である。軸部 77bの基端面には凹部 77cが形成されて いる。この凹部 77cの底壁力も頭部 77bの先端面まで貫通するようにガス導入口 31 が形成されている。図 19の導入口チップ 74とは異なり、ガス導入口 31は導入ロチッ プ 77の中心軸に対して傾!、て形成されて!、る。導入口チップ 77の頭部 77bには 2個 の貫通孔 77dが設けられている。導入口チップ 77は取付孔 76に差し込まれ、軸部 7 7aが中間部 76bに収容され、頭部 77aが出口部 76cに収容される。また、軸部 77a の基端下面が座部 76d上に配置され、頭部 77bの基端面が座部 76e上に配置され る。
[0066] 頭部 77aの貫通孔 77dに貫通させたねじ 2本の 78を梁状スぺーサ 7の外周部の 7a の内側側壁 7mに形成したねじ孔にねじ込むことにより、梁状スぺーサ 7の外周部 7a に対して導入口チップ 77が固定されている。また、これらのねじ 78により導入ロチッ プ 77のそれ自体の中心線まわりの回転角度位置、すなわちガス導入口 31の向きが 固定される。環状ガス流路 32からチャンバ 3の内部までは、取付孔 76の入口部 76a 、導入口チップ 77の凹部 77c、及びガス導入口 31からなる経路が形成されている。 プロセスガスはこの流路を通ってガス導入口 31からチャンバ 3内に噴出される。ガス 導入口 31の孔径ゃ向きが異なる複数種類の導入口チップ 77を準備しておけば、導 入口チップ 77を交換することにより、プロセス条件、基板 8の寸法等の条件に応じて ガス導入口 31から噴出されるプロセスガスの向きや流量を簡単に調整し、基板 8上 のガス流量の均一化を図ることができる。 [0067] 第 5実施形態のその他の構成及び作用は第 1実施形態と同様である。
[0068] (第 6実施形態)
図 22及び図 23に示す本発明の第 6実施形態に係るドライエッチング装置 1は、梁 状スぺーサ 7の外周部 7aと中央部 7bにガス導入口 31, 34を備えるだけでなぐ梁状 スぺーサ 7の梁部 7cにもガス導入口(梁部ガス導入口) 81を備えて 、る。
[0069] 図 23に最も明瞭に示すように、梁状スぺーサ 7には、一つの梁部 7cの外周側の端 部から直線状に延びて中央部 7bを通過して対向する梁部 7cの外周側の端部まで延 びるガス流路 82が 3本形成されて!、る。これらのガス流路 82のうち図 23にお!/、て「9 時」の方向に延びるガス流路 82は、図 23にお ヽて符号 A 'で示す部位でガス流路溝 7i (環状ガス流路 32)を貫通している。また、 3本のガス流路 82は梁状スぺーサ 7の 中央部 7bで互いに交差して連通して 、る。
[0070] 個々の梁状部 7cの下面側には鉛直方向下向きのガス導入口 81が複数個設けら れている。また、梁状スぺーサ 7の中央部 7bの下面側にも鉛直方向下向きのガス導 入口 34が複数個設けられている。これらのガス導入口 34, 81は基端 (上端)側がガ ス流路 82に連通し、先端(下端側)がチャンバ 3の内部に開放して 、る。
[0071] プロセスガス供給源 19から供給されるプロセスガスは、導入流路 33及び環状ガス 流路 32を通って梁状スぺーサ 7の外周部 7aのガス導入口 31からチャンバ 3内に噴 出される。また、プロセスガスは環状ガス流路 32からガス流路 82に流入し、梁状スぺ ーサ 7の梁部 7bのガス導入口 81と中央部 7bのガス導入口 34からもチャンバ 3内に 噴出される。本実施形態のドライエッチング装置 1では梁状スぺーサ 7の外周部 7a、 中央部 7b、及び梁部 7cのすベて力 プロセスガスを噴出させるので、より簡単に基 板 2の周辺を含む基板 2上の全領域でのガス流量を均一化できる。
[0072] 梁部 7cに沿って均一に配置されたガス導入口カゝらガスを噴出させる場合、基板 2の 上方における単位面積当たりのガス導入口の個数は、基板 2の中央よりも基板 2の周 辺で少なくなる。そのため、基板 2の周辺は基板 2上の他の領域と比較してプロセス ガスのガス流量が不足し易い傾向がある。これに対し、本実施形態では、図 23及び 図 24において一点鎖線 83で示す基板 8の周辺に対応する領域付近において、梁 部 7bに設ける単位面積当たりのガス導入口 81の個数を他の領域よりも多く設定して いる。これにより、基板 2の周辺における必要なプロセスガスのガス流量が確保されて いる。
[0073] 第 6実施形態のその他の構成及び作用は第 1実施形態と同様である。また、ガス導 入口 31 , 34, 81を第 5実施形態で説明したような交換可能な導入口チップに設けて ちょい。
[0074] (第 7実施形態)
図 25に示す本発明の第 7実施形態では、梁状スぺーサ 7は中央部 7bと梁部 7cの ガス導入口 34, 81を備えるが、外周部 7aのガス導入口 31 (例えば図 1参照)は備え ていない。
[0075] プロセス条件、基板 8の寸法等の条件によっては、本実施形態のように梁状スぺー サ 7の中央部 7bと梁部 7cのみ力もチャンバ 3内にプロセスガスを噴出して基板 8上の ガス流量の均一化を図ることもできる。第 7実施形態のその他の構成及び作用は第 1 実施形態と同様である。また、ガス導入口 34, 81を第 5実施形態で説明したような交 換可能な導入口チップに設けてもょ ヽ。
[0076] (第 8実施形態)
図 26に示す本発明の第 8実施形態では、梁状スペース 7は外周部 7aのガス導入 口 31を備える力 中央部 7bのガス導入口 34 (例えば図 1参照)と梁部 7cのガス導入 口 81 (例えば図 22参照)は備えていない。
[0077] プロセス条件、基板 8の寸法等の条件によっては、本実施形態のように梁状スぺー サ 7の外周部 7aのみ力もチャンバ 3内にプロセスガスを噴出して基板 8上のガス流量 の均一化を図ることもできる。第 8実施形態のその他の構成及び作用は第 1実施形 態と同様である。また、ガス導入口 31を第 5実施形態で説明したような交換可能な導 入口チップに設けてもよい。
[0078] 第 1から第 8実施形態に関して種々の変形が可能である。例えば、梁状スぺーサ 7 に設けられる 3種類のガス導入口、すなわち中央部 7aのガス導入口 31、中央部 7b のガス導入口 34、及び梁部 7cのガス導入口 81毎にプロセスガス供給源 19を異なら せてもよい。
[0079] (第 9実施形態) 図 27及び図 28に示す本発明の第 9実施形態のドライエッチング装置 1は、以下に 説明する点を除いて、第 1実施形態のドライエッチング装置 1 (図 1から図 11)と同一 の構造及び機能を有する。従って、図 27及び図 28において第 1実施形態と同一の 要素には同一の符号を付して詳細な説明は省略する。また、以下の説明において、 図 3、図 4A、図 6から図 8を併せて参照する。
[0080] 図 27に示すように、梁状スぺーサ 7の外周部 7aの外側側壁から 1個の梁部 7cの内 部を通って中央部 7bまで達するガス流路 38と、梁状スぺーサ 7の外周部 7aに設けら れて環状ガス流路 32とは連通していない。従って、ガス流路 38を流れるガス(後述 するエッチングガス)と環状ガス流路 32とを流れるガス (後述するキャリアガス)は混合 されない。
[0081] 環状ガス流路 32は、導入流路 33を介してキャリアガス供給源 20に接続されている 。キャリアガス供給源 20から供給されるキャリアガスは、導入流路 33及び環状ガス流 路 32を通ってガス導入口(第 1のガス導入口) 31からチャンバ 3内に噴出される。前 述のように第 1ガス導入口 31は梁状スぺーサ 7の外周部 7aに形成され、かつ斜め下 向きにガスを噴出するので、ガス導入口 31から噴出されたキャリアガスは、真空中を 拡散しつつ、基板サセプタ 14上に保持された基板 2の外周部分から中央部分に向 力う。
[0082] 一方、ガス流路 38は、一端 (外周部 7a側の端部)がエッチングガス供給源 19 'に接 続され、他端が入口ガス流路 37と連通している。エッチングガス供給源 19 'から供給 されるエッチングガスは、ガス流路 38、入口ガス流路 37、及びガス分配室 41を経て 導入口プレート 36のガス導入口(第 2のガス導入口) 34からチャンバ 3内に噴射され る。ガス導入口 34は梁状スぺーサ 7の中央部 7bに取り付けた導入口プレート 36に設 けられ、かつ下向きにエッチングガスを噴出するので、ガス導入口 34から噴出された エッチングガスは、真空中を拡散しつつ、基板サセプタ 14上に保持された基板 2の 中央部分に向かう。
[0083] 高周波電源 13から ICPコイル 9に高周波電力が投入されると、図 27において符号 40で模式的に示すように、梁状スぺーサ 7の窓部 26に強磁場 (強い交番電界)が形 成される。キャリアガスは梁状スぺーサ 7の外周部 7aに形成されたガス導入口 31から 斜め下向きに噴出されるので、この強磁場 40を通過する。その結果、キャリアガスは 十分に解離ある 、はイオンィ匕される。キャリアガスの解離とイオンィ匕によりチャンバ 3 内にプラズマが発生し、維持される。これに対してエッチングガスは、梁状スぺーサ 7 の中央部 7bに形成された第 2ガス導入口 34から基板 2の中央部分に向けて下向き に噴出されるので、窓部 26に形成された強磁場 40を通過しない。そのため、エッチ ングガスが過剰に解離やイオンィ匕することがない。プラズマ中の解離によって生じる ラジカルはガス流れに沿って基板 2まで拡散するのに対し、イオンは高周波電源 16 力も基板サセプタ 14に印加されて生成する負のバイアス電圧により加速されて基板 2に衝突する。そして、ラジカルとイオンの働きにより、基板 2の表面がエッチングされ る。すなわち本実施形態では、キャリアガスは十分に解離及びイオンィ匕させつつ、ェ ツチングガスにっ 、ては過剰な解離及びイオンィ匕を抑制できるので、エッチングレー ト、選択比、エッチング形状等の制御性が格段に向上し、良好なエッチング処理を実 現できる。換言すれば、ガスの種類に応じて、すなわちエッチングガスとキャリアガス のそれぞれについて、ラジカルとイオンの比を個別に制御し、それによつて良好なェ ツチング処理を実現できる。
[0084] また、本実施形態のドライエッチング装置 1は、第 1及び第 2ガス導入口 31, 34がい ずれも梁状スぺーサ 7に設けられて ヽる点、誘電体板 8にはガス導入口がガス流路を 設ける必要がな 、点で比較的構造が簡易である。
[0085] (第 10実施形態)
基板 2のエッチング処理の際のマスク開口率やエッチング形状のアスペクト比によ つては、エッチングレートが基板 2の一部で局所的に低下する場合がある。詳細には 、マスク開口率が大きい (例えば 10%以上)場合、高アスペクト比(例えば 5以上)な どである場合、エッチング反応時により多くの反応生成物が発生する。そして、反応 生成物を含むガスが基板 2の中央に滞留しやすぐ反応生成物が基板 2のパターン に再付着する傾向がある。この反応生成物の再付着がエッチングレートの局所的低 下を引き起こし、面内での不均一処理が発生することがある。この場合、エッチング 処理の面内均一化を、前述のエッチングガスの過剰な解離やイオン化の防止よりも 重視する必要がある。第 10実施形態は係る観点力も構成したドライエッチング装置 1 である。
[0086] 図 29に示す本発明の第 10実施形態に係るドライエッチング装置 1では、第 9実施 形態とは逆に導入流路 33にエッチングガス供給源 19'が接続され、ガス流路 38にキ ャリアガス供給源 20が接続されている。従って、エッチングガス供給源 19'から供給 されるエッチングガスは、導入流路 33及び環状ガス流路 32を通ってガス導入口(第 1のガス導入口) 31からチャンバ 3内に斜め下向きに噴出され、基板サセプタ 14上に 保持された基板 2の外周部分カゝら中央部分に向かう。また、キャリアガス供給源 20か ら供給されるキャリアガスは、ガス流路 38、入口ガス流路 37、及びガス分配室 41を 経て導入口プレート 36のガス導入口(第 2のガス導入口) 34からチャンバ 3内に下向 きに噴射され、基板サセプタ 14上に保持された基板 2の中央部分に向かう。
[0087] 本実施形態では、梁状スぺーサ 7の外周部 7aに形成された第 1のガス導入口 31か らエッチングガスを斜め下向きに噴出させることにより、高密度のラジカル及びイオン を生成させつつ、第 2のガス導入口 34からキャリアガスを噴出させることにより、基板 2の中央でのエッチングガス及び反応生成物の排気を促進して流量分布を均一化す ることできる。その結果、エッチングレート、エッチング等のエッチング特性に寄与する プロセスガスの流量を増加又は低減することなしに基板 2全体でエッチングレート等 にむらのない均一なエッチング処理を施すことができる。なお、ここでプロセスガスの 流量を増加又は低減することなしにとは、エッチング特性に悪影響がない程度にプロ セスガスの流量を増加又は低減することをも排除する意味ではないことは言うまでも ない。
[0088] 上述の第 9及び第 10実施形態では、第 1及び第 2のガス導入口 31, 34のうちのい ずれか一方力 エッチングガスを噴出させ、他方力 キャリアガスを噴出させて 、る。 しかし、第 1及び第 2のガス導入口 31, 34の両方力もエッチングガス供給源 19,によ りエッチングガスを噴出させてもよい。また、エッチングガスが第 1及び第 2のガス導入 口 31, 34のいずれか一方から噴出されさているの力、両方から噴出されているのか にかかわらず、第 1及び第 2のガス導入口 31, 34のうちの一方又は両方力もキャリア ガス供給源 20によりキャリアガスを噴出させてもよい。
[0089] 前述のようにマスク開口率が大きい (例えば 10%以上)場合、高アスペクト比(例え ば 5以上)などである場合、エッチング反応時に生成される反応生成物を含むガスが 基板 2の中央に滞留し、反応生成物が基板 2の中央のパターンに再付着する傾向が ある。これによつて基板 2の中央でエッチングレートが局所的に低下する。また、マス ク開口率がより大きい場合 (例えば 30%)、より多量の反応生成物が生成されて基板 2の周辺部のパターン内に再付着する傾向がある。これによつて基板 2の周辺部でェ ツチングレートが局所的に低下する。
[0090] しかし、第 1及び第 2のガス導入口 31, 34のいずれか一方又は両方から適切な流 量でキャリアガスを噴出させることにより、基板 2上でのガス滞留を改善できる。これに よってエッチングレートの局所的低下が解消され、基板 2に対するエッチング処理が 均一化される。この場合、エッチングレート、エッチング形状等のエッチング特性に寄 与するエッチングガスの流量を増加又は低減する必要がない。換言すれば、第 1及 び第 2のガス導入口 31, 34の少なくとも一方力 適切な流量でキャリアガスを噴出す ることにより、エッチング特性に大きく寄与するプロセスガスの流量を変更することなく 、基板 2に対するエッチング処理を均一化できる。ここでプロセスガスの流量を増加又 は低減することなし〖ことは、エッチング特性に悪影響がな 、程度にプロセスガスの流 量を増加又は低減することをも排除する意味ではな 、ことは言うまでもな 、。
[0091] ICP型のドライエッチング処理装置を例に本発明を説明した力 プラズマ CVD装置 等の他のプラズマ処理装置にも本発明を適用できる。
[0092] 本発明は、添付図面を参照しながら好ましい実施形態に関連して充分に記載され ているが、この技術の熟練した人々にとつては種々の変形や修正は明白である。そ のような変形や修正は、添付した請求の範囲による本発明の範囲から外れない限り において、その中に含まれると理解されるべきである。
[0093] 2005年 11月 2曰に出願された曰本特許出願 2005— 319575号、 2005年 11月 1 5曰〖こ出願された曰本特許出願 2005— 329756号、及び 2006年 10月 6曰〖こ出願 された日本特許出願 2006— 275409号の明細書、図面、及び特許請求の範囲の 開示内容は、全体として参照されて本明細書の中に取り入れられる。

Claims

請求の範囲
[1] 内部に基板 (2)が配置される真空容器 (3)と、
前記基板と対向する前記真空容器の上部開口に配置され、前記真空容器によって 下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれ た領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に 延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲ま れた領域が窓部 (26)を構成する梁状構造物 (7)と、
前記梁状構造物の上面 (7g)に下面 (8a)が支持される誘電体板 (8)と、 前記誘電体板の上面側に配置され、高周波電力が投入されるプラズマ発生のため のコィノレ(9)と
を備えることを特徴とする、プラズマ処理装置。
[2] 前記梁状構造物の前記上面と前記誘電体板の前記下面との間に介在する弾性部 材(24, 25, 27, 28, 79)をさらに備えることを特徴とする請求項 1に記載のプラズマ 処理装置。
[3] 前記梁状構造物の放射状に延びる複数の前記梁部は、平面視で前記コイルを構 成する導電体(11)と直交する方向に延びることを特徴とする、請求項 1又は請求項 2 に記載のプラズマ処理装置。
[4] 前記コイルは螺旋状に延びる複数本の導電体で構成され、
前記梁状構造物の前記梁部の本数と、前記導電体の本数が一致することを特徴と する請求項 1又は請求項 2に記載のプラズマ処理装置。
[5] 前記誘電体板は円板状であり、かつ
前記梁状構造物は、円環状の前記外周部と、一定幅を有する長方形状の前記梁 部とを備えることを特徴とする、請求項 1から請求項 4の 、ずれ力 1項に記載のプラズ マ処理装置。
[6] 前記梁状構造物の前記外周部に配置された斜め下向きの外周部ガス導入口(31) と、
少なくともその一部が前記梁状構造物に形成され、プロセスガス供給源(19)から のプロセスガスを前記外周部ガス導入口に供給して前記真空容器内に噴出させるガ ス供給路と
をさらに備える請求項 1から請求項 5のいずれか 1項に記載のプラズマ処理装置。
[7] 前記ガス供給路は、前記梁状構造物の前記外周部に形成され、内周壁側が前記 外周部ガス導入口と連通し、かつ外周壁側が前記プロセスガス供給源側と連通する 環状ガス流路(32)を含み、
前記環状ガス流路内に設けられ、前記環状ガス流路内を前記内周壁側の吐出空 間と、前記外周壁側の供給空間とに仕切り、かつ前記吐出空間と前記供給空間とを 連通させる複数の連通孔が間隔をあけて形成されている仕切り壁(71b)をさらに備 える、請求項 6に記載のプラズマ処理装置。
[8] 前記梁状構造物の前記外周部に交換可能に取り付けられ、前記外周部ガス導入 口が形成された外周側導入口部材をさらに備える、請求項 6又は請求項 7に記載の プラズマ処理装置。
[9] 前記梁状構造物の前記中央部は、前記基板の中央部分の上方に位置し、
前記梁状構造物の前記中央部に配置され、前記ガス流路を介して前記プロセスガ ス供給源力 供給される前記プロセスガスを前記基板の前記中央部分に向けて下向 きに噴出する中央部ガス導入口(34)をさらに備える、請求項 6から請求項 8のいず れカ 1項に記載のプラズマ処理装置。
[10] 前記梁状構造物の前記中央部の下面に交換可能に取り付けられ、前記中央部ガ ス導入口が形成された中央部導入口部材をさらに備える、請求項 9に記載のプラズ マ処理装置。
[11] 前記梁状構造物の前記梁部の下面に配置され、前記ガス流路を介して前記プロセ スガス供給源カゝら供給される前記プロセスガスを前記基板に向けて下向きに噴射す る梁部ガス導入口(81)をさらに備える、請求項 6から請求項 8のいずれか 1項に記載 のプラズマ処理装置。
[12] 前記梁状構造物の前記梁状部に配置された下向きの梁部ガス導入口(81)と、 少なくともその一部が前記梁状構造物に形成され、プロセスガス供給源力 のプロ セスガスを前記梁部ガス導入口に供給して前記真空容器内に噴出させるガス供給 路と をさらに備える請求項 1から請求項 5のいずれか 1項に記載のプラズマ処理装置。
[13] 前記梁状構造物及び誘電体板を冷却する冷却機構 (51)を備えることを特徴とする
、請求項 1から請求項 12のいずれ力 1項に記載のプラズマ処理装置。
[14] 前記冷却機構は、前記梁状構造物に形成された冷媒流路 (52)と、前記冷媒流路 中に温調された冷媒を循環させる冷媒循環装置 (53)とを備えることを特徴とする、請 求項 13に記載のプラズマ処理装置。
[15] 前記誘電体板は酸化イットリウム、酸ィ匕アルミニウム、又は石英カゝらなることを特徴と する、請求項 1から請求項 14のいずれか 1項に記載のプラズマ処理装置。
[16] 前記誘電体板の下面側の前記梁状構造物の前記窓部力 露出している部位に設 けられた石英、酸化イットリウム、単結晶サフアイャ、又はアルミナ力もなるカバー(61
)をさらに備えることを特徴とする、請求項 1から請求項 14のいずれか 1項に記載のプ ラズマ処理装置。
[17] 前記カバーは、前記誘電体板と前記梁状構造物との間に介在することを特徴とす る、請求項 16に記載に記載のプラズマ処理装置。
[18] 前記梁状構造物の前記外周部に形成され、斜め下向きにガスを噴出する第 1のガ ス導入口(31)と、
前記梁状構造物の前記中央部に形成され、基板の中央部分に向けて下向きにガ スを噴出する第 2のガス導入口(34)と、
前記第 1及び第 2のガス導入口のうちの少なくともいずれか一方力 キャリアガスを 噴出可能なキャリアガス供給源 (20)と、
前記第 1及び第 2のガス導入口のうちの少なくともいずれか一方力 プロセスガスを 噴出可能なプロセスガス供給源( 19 ' )と
をさらに備えることを特徴とする、請求項 1に記載のプラズマ処理装置。
[19] 前記第 1のガス導入ロカ 前記キャリアガス供給源が前記キャリアガスを噴出させ、 前記第 2のガス導入口カゝら前記プロセスガス供給源が前記プロセスガスを噴出させ ることを特徴とする、請求項 18に記載のプラズマ処理装置。
[20] 前記第 1のガス導入口力 前記プロセスガス供給源が前記プロセスガスを噴出させ 前記第 2のガス導入口力 前記キャリアガス供給源が前記キャリアガスを噴出させる ことを特徴とする、請求項 18に記載のプラズマ処理装置。
PCT/JP2006/321890 2005-11-02 2006-11-01 プラズマ処理装置 WO2007052711A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/092,381 US20090218045A1 (en) 2005-11-02 2006-11-01 Plasma processing apparatus
KR1020087010672A KR101242248B1 (ko) 2005-11-02 2006-11-01 플라즈마 처리 장치
CN2006800502745A CN101351871B (zh) 2005-11-02 2006-11-01 等离子体处理装置

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2005-319575 2005-11-02
JP2005319575 2005-11-02
JP2005329756 2005-11-15
JP2005-329756 2005-11-15
JP2006275409A JP4522980B2 (ja) 2005-11-15 2006-10-06 プラズマ処理装置及びプラズマ処理方法
JP2006-275409 2006-10-06
JP2006294334A JP4522984B2 (ja) 2005-11-02 2006-10-30 プラズマ処理装置
JP2006-294334 2006-10-30

Publications (1)

Publication Number Publication Date
WO2007052711A1 true WO2007052711A1 (ja) 2007-05-10

Family

ID=38005864

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/321890 WO2007052711A1 (ja) 2005-11-02 2006-11-01 プラズマ処理装置

Country Status (5)

Country Link
US (1) US20090218045A1 (ja)
KR (1) KR101242248B1 (ja)
CN (1) CN101351871B (ja)
TW (1) TWI409873B (ja)
WO (1) WO2007052711A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009044023A (ja) * 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
CN103094413B (zh) * 2011-10-31 2016-03-23 三菱电机株式会社 太阳能电池的制造装置、太阳能电池及其制造方法
JP2014096553A (ja) * 2012-10-09 2014-05-22 Tokyo Electron Ltd プラズマ処理方法、及びプラズマ処理装置
US9384948B2 (en) * 2013-06-13 2016-07-05 Lam Research Corporation Hammerhead TCP coil support for high RF power conductor etch systems
JP6719290B2 (ja) * 2016-06-22 2020-07-08 東京エレクトロン株式会社 補強構造体、真空チャンバー、およびプラズマ処理装置
JP2018095901A (ja) * 2016-12-09 2018-06-21 東京エレクトロン株式会社 基板処理装置
KR102524258B1 (ko) 2018-06-18 2023-04-21 삼성전자주식회사 온도 조절 유닛, 온도 측정 유닛 및 이들을 포함하는 플라즈마 처리 장치
JP7240958B2 (ja) * 2018-09-06 2023-03-16 東京エレクトロン株式会社 プラズマ処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043289A (ja) * 2000-07-24 2002-02-08 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2004228182A (ja) * 2003-01-21 2004-08-12 Tokyo Electron Ltd 誘導結合プラズマ処理装置
JP2004356651A (ja) * 1999-05-13 2004-12-16 Tokyo Electron Ltd 誘導結合プラズマ処理装置
JP2005175460A (ja) * 2003-11-19 2005-06-30 Matsushita Electric Ind Co Ltd プラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JPH10261630A (ja) * 1997-03-19 1998-09-29 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US20050145341A1 (en) * 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356651A (ja) * 1999-05-13 2004-12-16 Tokyo Electron Ltd 誘導結合プラズマ処理装置
JP2002043289A (ja) * 2000-07-24 2002-02-08 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2004228182A (ja) * 2003-01-21 2004-08-12 Tokyo Electron Ltd 誘導結合プラズマ処理装置
JP2005175460A (ja) * 2003-11-19 2005-06-30 Matsushita Electric Ind Co Ltd プラズマ処理装置

Also Published As

Publication number Publication date
CN101351871B (zh) 2010-08-18
CN101351871A (zh) 2009-01-21
TWI409873B (zh) 2013-09-21
KR20080063818A (ko) 2008-07-07
TW200733229A (en) 2007-09-01
US20090218045A1 (en) 2009-09-03
KR101242248B1 (ko) 2013-03-12

Similar Documents

Publication Publication Date Title
WO2007052711A1 (ja) プラズマ処理装置
JP5188496B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR102430205B1 (ko) 플라즈마 처리 장치
KR100241171B1 (ko) 플라즈마 강화 화학적 처리 장치 및 그 장치를 작동시키는 방법
KR100839677B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 장치용 전극 및 전극 제조 방법
US6263829B1 (en) Process chamber having improved gas distributor and method of manufacture
JP2016223009A (ja) 縁部プレナムシャワーヘッドアセンブリを含む堆積装置
JP7458195B2 (ja) 載置台、プラズマ処理装置及びクリーニング処理方法
KR20120031911A (ko) 플라즈마 에칭용의 전극판 및 플라즈마 에칭 처리 장치
JP4114972B2 (ja) 基板処理装置
JP4522980B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI746923B (zh) 活性氣體生成裝置及成膜處理裝置
KR20200103556A (ko) 거치대 및 기판 처리 장치
JP5367000B2 (ja) プラズマ処理装置
JP4753306B2 (ja) プラズマ処理装置
JP4522984B2 (ja) プラズマ処理装置
JP2010183092A (ja) プラズマ処理装置
US8974600B2 (en) Deposit protection cover and plasma processing apparatus
JP2011146464A (ja) プラズマ処理装置
KR101949406B1 (ko) 기판 처리 장치
WO2023058480A1 (ja) 上部電極構造及びプラズマ処理装置
JP7194941B2 (ja) プラズマ処理装置
JP2022166511A (ja) プラズマ処理装置用の電極及びプラズマ処理装置
KR20070014606A (ko) 상부전극 어셈블리 및 플라즈마 처리 장치
TW201135837A (en) Gas supply structure for substrate processing apparatus

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020087010672

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 200680050274.5

Country of ref document: CN

122 Ep: pct application non-entry in european phase

Ref document number: 06822819

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 12092381

Country of ref document: US