WO2003087436A1 - Appareil et procedes de polissage et/ou de placage electrolytiques - Google Patents

Appareil et procedes de polissage et/ou de placage electrolytiques Download PDF

Info

Publication number
WO2003087436A1
WO2003087436A1 PCT/US2003/010725 US0310725W WO03087436A1 WO 2003087436 A1 WO2003087436 A1 WO 2003087436A1 US 0310725 W US0310725 W US 0310725W WO 03087436 A1 WO03087436 A1 WO 03087436A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
ofthe
chuck
liquid
further including
Prior art date
Application number
PCT/US2003/010725
Other languages
English (en)
Inventor
Hui Wang
Voha Nuch
Felix Gutman
Muhammed Afnan
Himanshu J. Chokshi
Mark Jacobus Van Kerkwyk
Damon L. Koehler
Peihaur Yih
My Hoang Nguyen
Ru Kao Chang
Frederick Ho
Original Assignee
Acm Research, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Acm Research, Inc. filed Critical Acm Research, Inc.
Priority to KR10-2004-7015977A priority Critical patent/KR20040099407A/ko
Priority to EP03746651A priority patent/EP1492907A4/fr
Priority to US10/510,522 priority patent/US20050218003A1/en
Priority to JP2003584368A priority patent/JP2005522585A/ja
Priority to CA002479794A priority patent/CA2479794A1/fr
Priority to AU2003226319A priority patent/AU2003226319A1/en
Publication of WO2003087436A1 publication Critical patent/WO2003087436A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Definitions

  • This invention relates generally to semiconductor processing apparatus and methods, and more particularly to electropolishing and/or electroplating apparatus and methods for electropolishing and/or electroplating conductive layers on semiconductor devices.
  • transistor and interconnection elements Semiconductor devices are manufactured or fabricated on semiconductor wafers using a number of different processing steps to create transistor and interconnection elements.
  • conductive (e.g., metal) trenches, vias, and the like are formed in dielectric materials as part ofthe semiconductor device.
  • the trenches and vias couple electrical signals and power between transistors, internal circuit ofthe semiconductor devices, and circuits external to the semiconductor device.
  • the semiconductor wafer may undergo, for example, masking, etching, and deposition processes to form the desired electronic circuitry of the, semiconductor devices.
  • multiple masking and etching steps can be performed to form a pattern of recessed areas in a dielectric layer on a semiconductor wafer that serve as trenches and vias for the interconnections.
  • a deposition process may then be performed to deposit a metal layer over the semiconductor wafer thereby depositing metal both in the trenches and vias and also on the non-recessed areas ofthe semiconductor wafer.
  • the metal deposited on the non-recessed areas ofthe semiconductor wafer is removed.
  • CMP chemical mechanical polishing
  • CMP methods may have deleterious effects on the underlying semiconductor structure because ofthe relatively strong mechanical forces involved.
  • the mechanical properties ofthe conductive materials for example copper and the low k films used in typical damascene processes.
  • the Young Modulus of a low k dielectric film may be less than one tenth ofthe magnitude of copper. Consequently, the relatively strong mechanical force applied on the dielectric films and copper in a CMP process, among other things, can cause stress related defects on the semiconductor structure that include delamination, dishing, erosion, film lifting, scratching, or the like.
  • a metal layer may be removed or deposited from a wafer using an electropolishing or electroplating process.
  • an electropolishing or electroplating process the portion ofthe wafer to be polished or plated is immersed within an electrolyte fluid solution and an electric charge is applied to the wafer. These conditions result in copper being deposited or removed from the wafer depending on the relative charge applied to the wafer.
  • One aspect ofthe present invention relates to an exemplary apparatus and method for electropolishing and/or electroplating a conductive film on a wafer.
  • the exemplary apparatus includes various processing modules such as cleaning modules, processing modules, alignment modules, as well as various apparatus for carrying out the processes ofthe difference modules such as robotics, end effectors, liquid delivery systems, and the like.
  • One aspect ofthe present invention includes various apparatus and processing methods.
  • One exemplary apparatus includes a cleaning module having a wafer edge clean assembly to remove metal residue on the bevel or outer portion of a major surface of a wafer.
  • the edge cleaning apparatus includes a nozzle head configured to supply a liquid and a gas to a major surface ofthe wafer.
  • the nozzle supplies the liquid in a region adjacent an outer edge ofthe major surface ofthe wafer, and supplies the gas radially inward relative to the location the liquid is supplied. Directing the gas to the wafer surface at a location radially inward ofthe location the liquid is supplied may reduce the potential ofthe liquid flowing radially inward on the wafer to a metal layer formed thereon.
  • Fig. 1 illustrates an exemplary semiconductor processing assembly that may be used to electropolish and/or electroplate semiconductor wafers
  • Fig.2 illustrates a robot including an exemplary end effector for transferring semiconductor wafers
  • Fig.3 illustrates a plan view of an exemplary end effector
  • FIG. 4A and 4B illustrate plan and cross-sectional views of an exemplary end effector;
  • Fig. 5 illustrates a plan view of an exemplary end effector;
  • Fig. 6 illustrates a plan view of an exemplary end effector;
  • Fig. 7 illustrates a plan view of an exemplary end effector;
  • Fig. 8 illustrates a side view of an exemplary vacuum cup;
  • Fig. 9A illustrates an exemplary cleaning chamber module with a dome cover;
  • Fig. 9B illustrates a partial interior view of a cleaning chamber module;
  • Fig. 9C illustrates an exploded view of cleaning chamber module with details of cleaning nozzles;
  • FIGs. 10A and 10B illustrate a top view and side view of an exemplary edge clean assembly
  • FIGs. 11A - 11H illustrate various views of an exemplary nozzle head included as a part of a bevel clean assembly
  • Fig. 12 illustrates an exploded view of an exemplary chuck motor assembly included as part of a cleaning chamber module
  • Fig. 13 illustrates an exploded view of a cleaning chamber window included in a cleaning chamber module
  • Fig. 14 illustrates an exploded view of an exemplary optical sensor included in a cleaning chamber module
  • Fig. 15 illustrates an exemplary method for determining proper placement of a wafer in a chuck
  • FIG. 16A-16C and 17A-17C illustrate exemplary wafer cleaning processes
  • FIG. 18 illustrates an exploded view of an exemplary process chamber assembly
  • Fig. 19 illustrates an exploded view of an exemplary process drive system, which may be included in the process chamber assembly embodied in Fig. 18;
  • Fig. 20 illustrates an exemplary nozzle with an energy enhancement element
  • Fig. 21 illustrates an exploded view of an exemplary electroplating apparatus
  • Fig. 22 illustrates an exploded view ofthe exemplary plating shower head assembly shown in Fig. 21;
  • Fig. 23 illustrates an exploded view of an exemplary plating shower head for 300 mm wafers
  • Fig.24 illustrates an exploded view of an exemplary plating shower head for 200 mm wafers
  • FIGs. 25A-25E illustrate various views ofthe shower head shown in Figs.22 — 24;
  • Figs. 26A and 26B illustrate a top view and cross-sectional view of an exemplary leveling tool and wafer chuck
  • Fig. 26C illustrates a cross-sectional view of an exemplary sensor shown in Figs. 26A and 26B;
  • Fig.27 illustrates an exemplary view of a software panel for a leveling tool.
  • a first aspect ofthe invention includes an exemplary electropolishing and/or electroplating assembly for processing semiconductor wafers.
  • an apparatus for processing one or more semiconductor wafers may include a module for storing wafers, two or more vertically stacked processing modules for electropolishing the wafer or electroplating the wafer, a cleaning module, and a robot (with an end effector or the like) for transferring the wafer.
  • the apparatus may be divided into two or more sections characterized by separate frames.
  • the robot transfers the wafer between the module for storing the wafer, the processing module, and the cleaning module to perform a desired process on the wafer.
  • Fig. 1 illustrates an exploded view of an exemplary electropolishing and/or electroplating assembly 100.
  • the assembly 100 includes a mainframe (backend, "BE") 108 and frontframe (factory interface, "FI") 132; however, the assembly 100 may be divided into fewer or more sections.
  • BE backend
  • FI factor interface
  • the BE 108 may include an electrical chassis assembly 102, cleaning drain/process exhaust 104, cleaning module assembly 106, AC control assembly 110, liquid delivery system (LDS) 112, gas control system (GCS) 114, process drain 116, pumps and surge suppressors 118, cabinet exhaust 120, process tank 122, liquid filters 124, liquid containment tray 126, and double containment area 128, process module assembly 130.
  • LDS liquid delivery system
  • GCS gas control system
  • the FI 132 may include a wafer pre-aligner 134, front panels 136, light tower 138, robot frame assembly 140, robot controller 142, emergency machine off (EMO) button 144, front opening unified pod (FOUP) 146, and fan filter unit 152.
  • EMO emergency machine off
  • FOUP front opening unified pod
  • Assembly 100 may be detached into two sections, i.e., the FI 132 and the BE 108, allowing the two sections to be transported separately and be reassembled on site into a single unit.
  • the robot frame assembly 140 which can include robot assembly 147, dry end effector 148, wet end effector 149, and robot controller 142, may detach from and roll out ofthe FI 132 during transit or for maintenance, for example. Assembly 100 may therefore be modularized or divided into various sections to assist in transporting, cleaning, maintenance, and the like.
  • FOUP 146 may include one or more pods for storing wafers.
  • the dry end effector 148 transfers a wafer 150 from any one ofthe pods to the wafer pre-aligner 134.
  • the wafer pre-aligner 134 aligns wafer 150 before the wet end effector 149 retrieves the wafer and transfers it to the process module assembly 130. It should be recognized that wafer 150 may be transported between modules by other methods and devices.
  • the process module assembly 130 may include one or more racks of electropolishing assemblies for polishing wafers, or electroplating assemblies for plating wafers 131.
  • the electropolishing assemblies or electroplating assemblies 131 may be stacked vertically to reduce the footprint ofthe process module assembly 130.
  • the cleaning module assembly 106 can include racks of cleaning chamber modules 107 for cleaning wafers. Similarly, cleaning chamber modules 107 may be stacked vertically.
  • the wet end effector 149 transfers wafer 150 to the cleaning chamber module 107.
  • Dry end effector 148 retrieves wafer 150 from cleaning chamber 107 and returns wafer 150 to its pod in FOUP 146.
  • the "dry" end effector 148 is used when retrieving wafer 150 from and returning to a pod in FOUP 146, or from the cleaning chamber module 107.
  • the "wet" end effector 149 is generally used to retrieve wafer 150 after processing because wafer 150 may have residue from the processing. Limiting the retrieval of processed wafers with the wet end effector 149 will reduce the potential for cross contamination between dry end effector 148 and wet end effector 149 and the wafers they handle and transfer within assembly 100.
  • FIG. 1 An exemplary electropolishing assembly that may be used in conjunction with assembly 100 is described in PCT Patent Application No. PCT/US02/36567, entitled ELECTROPOLISHING ASSEMBLY AND METHODS FOR ELECTROPOLISHING CONDUCTIVE LAYERS, filed on November 13, 2002, which is incorporated in its entirety by reference herein.
  • a majority ofthe electrical equipment is housed in the BE 108, particularly, in electrical chassis assembly 102 and AC control assembly 110.
  • the LDS 112 and the GCS 114 are also located in the BE 108.
  • the LDS 112 can include supply lines for Dl water, and various chemical and/or electrolyte fluids, which may vary in composition depending on the particular application and processing modules included in assembly 100.
  • the GCS 114 may also include various control valves, sensors, and supply lines to control and monitor delivery of various chemicals and fluids.
  • Pumps and surge suppressors 118 pump the process liquid from the process tank 122 to process module assembly 130.
  • Liquid filters 124 may be included in the supply lines to filter the process liquid before it goes to the process module assembly 130. After wafer 150 is processed, the process liquid maybe drained into the process tank 122 through process drain 116.
  • Any gases, e.g., potentially harmful gases, from process module assembly 130 and cleaning module assembly 106 may be exhausted through process exliaust 104.
  • the cleaning drain/process exhaust 104 can also be used to release Dl water or gas from the cleaning module assembly 106.
  • the cabinet exhaust 120 can be used to release gas generally present inside ofthe BE 108.
  • FI 132 may include a fan filter unit 152 to provide filtered clean air in FI 132.
  • the BE 108 may also include liquid containment tray 126 and double containment area 128.
  • the liquid containment tray 126 can be useful in case of an overflow from the process tank 122, or leaks in the supply lines.
  • the liquid containment tray 126 may further include leak sensors i to detect leaks.
  • the double containment area 128 can contain leaks from supply lines that are already insulated by external tubing.
  • the supply lines, pumps and surge suppressors 118, liquid filters 124, liquid containment tray 126, and double containment area 128 may generally include materials resistant to acid and corrosion.
  • BE 108, FI 132, and robot frame assembly 140 can be made of stainless steel, preferably grade 316 stainless steel.
  • the robot assembly 147 can be made of aluminum, stainless steel, or the like. If robot assembly 147 includes aluminum or other materials susceptible to corrosion, the surface ofthe aluminum portions may be anodized and coated with Teflon or the like to protect them from corrosion.
  • Cleaning module assembly 106 can be made of stainless steel, plastic, PVC, PVDF, polyurethane, Teflon, and the like, preferably grade 316 stainless steel.
  • GCS 114 and liquid containment tray 126 can be made of plastic materials, preferably non-flammable plastics.
  • Process tank 122 can be made of plastics such as PNC, PVDF, Teflon, and the like, preferably PVDF. It should be recognized, however, that other suitable materials or coatings for use in BE 108 and/or FI
  • An exemplary process for electropolishing or electroplating a semiconductor wafer begins with a pod containing wafers placed in FOUP 146. The pod, or door to the pod, is opened to allow robot assembly 147 access therein to pick a wafer with end effector 148. Robot assembly 147 and dry end effector 148 transfer wafer 150 to wafer pre-aligner 134 to align wafer 150 for processing. After wafer pre-aligner 134 aligns wafer 150, robot assembly 147 picks up wafer 150 from wafer pre-aligner 134 using the wet end effector 149, and transfers wafer 150 to electropolishing or electroplating assembly 131 for processing.
  • robot assembly 147 picks up wafer 150 by using the wet end effector 149, and moves the wafer into cleaning chamber module 107.
  • dry end effector 148 picks up wafer 150 and transfers wafer 150 back to the pod in FOUP 146 for retrieval.
  • the exemplary process described above may be applied to a first wafer as simultaneously similar steps are applied to a second wafer, a third wafer, etc.
  • End effector Seal In one aspect of a semiconductor assembly, an exemplary end effector apparatus and method are described. End effectors are commonly used in wafer fabrication processes for transferring wafers, for example, from one processing module to another for further processing, cleaning, storage, and the like.
  • An exemplary end effector according to one embodiment includes a vacuum cup seal to securely hold and transfer a semiconductor wafer.
  • the exemplary end effector may be included within a semiconductor processing assembly, and more specifically, a robot assembly of a semiconductor assembly. The exemplary end effector may enable a more secure hold of a semiconductor wafer surface and in turn may transfer the wafer more accurately and reliably to its destination.
  • Fig.2 illustrates an exemplary robot assembly for transferring semiconductor wafers in a processing assembly.
  • Robot assembly includes exemplary end effector 206 associated with the robot for picking up and transferring wafer 216.
  • End effector 206 creates a vacuum on the underside of end effector 206 to secure wafer 216 thereto for transfers from one module to another.
  • End effector 206 may place or release wafer 216 by eliminating the vacuum or increasing the pressure such that the force of gravity overcomes the seal and wafer 216 is released from end effector 206.
  • end effector 206 may hold the underside of wafer 216 with a relatively smaller pressure than the environment to hold the wafer 216 thereto against vibration, acceleration during transfer, and the like.
  • Fig.3 illustrates one side of an exemplary end effector 306 in greater detail.
  • end effector 306 is coupled to a vacuum source controlled by vacuum valve 322 and with a pressured nitrogen source controlled by nitrogen valve 320.
  • vacuum valve 322 When vacuum valve 322 is turned ON, the vacuum source is coupled to end effector 306 and will reduce the pressure in vacuum cup 302 to hold wafer 216 to end effector 306.
  • nitrogen valve 320 When vacuum valve 322 is turned OFF and nitrogen valve 320 is turned ON, end effector 306 will release wafer 216 from vacuum cup 302 as the pressure is increased within cup 302.
  • an absolute or near absolute vacuum is not required; rather, a reduced pressure relative to the processing environment sufficient to hold and secure wafer 216 against gravity, vibrations, acceleration during transfer, and the like is sufficient.
  • gas other than nitrogen for example, air or the like may be used to introduce gas and increase the pressure when releasing a wafer.
  • Nitrogen valve 320 may be left ON when a wafer is not being held or transferred to purge particles and/or prevent acid and the like from entering vacuum cup 302 or the vacuum passage within end effector 306 by maintaining the pressure near or greater than the surrounding environment pressure within vacuum cup 302.
  • FIGs. 4A and 4B illustrate a plan view and cross-sectional view of one exemplary end effector 406, which includes vacuum cup 402, mushroom cap 404, groove 405, cut out portions 408 (to reduce weight of end effector), vacuum passage 412, and screws 416 (for attachment to a robot or the like).
  • End effector 406 may include any suitable material in its construction, such as stainless steel, aluminum, various alloys or metals, ceramics, plastics, and the like.
  • a vacuum source removes gas through vacuum passage 412 and aperture 414 located on a major side and near the distal end of end effector 406.
  • Vacuum passage 412 may be formed integral or within end effector 406 (as shown) or through a separate passage located adjacent to end effector 406, e.g., on the opposite surface of end effector 406.
  • a wafer positioned adjacent end effector 406 is pulled or forced compliant against the vacuum cup 402 to create a temporary seal between the opposing major surface ofthe wafer and the vacuum cup 402 of end effector 406.
  • Vacuum cup 402 may have any suitable shape such as elliptical, elongated circle, square, and the like. Vacuum cup 402 fits over the rim of a mushroom cap 404 and extends above the surface of end effector 406. Vacuum cup 402 may include an elastomer, silicon rubber, or other suitable material that is generally flexible or compliant to create a temporary seal with a wafer without causing damage to the wafer such as scratching or cracking. ,
  • a shallow groove 405 is formed across the mushroom cap 404 for increasing the hold ofthe vacuum, e.g., to prevent the wafer 416 from plugging aperture 414.
  • the groove 405 separates the top plane of mushroom cap 404 into two half circles.
  • the shallow groove 405 may also be formed as a cross-hair shape, square, circle, or other suitable shape to improve suction and vacuum of end effector 406 and reduce the potential for aperture 414 from becoming blocked.
  • Mushroom cap 404 may be made out of a similar material as end effector 406 such as metal or plastic.
  • mushroom cap 404 is at a similar height as the distal end of end effector 406 (see Fig.4B), such that as the wafer is pulled by vacuum cup 402 the wafer is pulled against the to distal ends and the mushroom cap 404.
  • Fig. 8 illustrates a cross-section view of a vacuum cup that may be included in an exemplary end effector.
  • the vacuum cup is generally a cavity formed on one surface of an end effector that may include a bottom portion 818 and sidewall 820 slanted generally at angle ⁇ .
  • Angle ⁇ may vary between 0-180 degrees depending on the particular application, preferably between 5 and 50 degrees, and more preferably approximately 30 degrees.
  • Sidewall 820 may extend to a height H above the surface ofthe end effector to be compliant and form a seal with a wafer.
  • end effector 406 will be positioned such that wafer 416 comes in contact with the edge of sidewall 820 as gas is drawn out from aperture 414 through the vacuum passage 410.
  • the vacuum cup 402 will pull and hold wafer 416 by the vacuum created in the cavity of vacuum cup 402.
  • the pressure difference will create a sufficient force to maintain a holding force on wafer 416 greater than the force of gravity on the wafer.
  • gas e.g. nitrogen or the like
  • gas may be introduced through vacuum passage 410 and through aperture 414 to increase the pressure within the aperture 414 such that the holding force is overcome by gravity.
  • FIG. 5 illustrates a plan view of another exemplary end effector 506.
  • End effector 506 illustrated in Fig.5 is similar to that of Figs.3, 4A, and 4B except that end effector 506 includes three apertures 514 and three vacuum cups 502.
  • the apertures 514 and vacuum cups 502 may be located in various positions on the end effector 506, depending on the design and particular application ofthe end effector 506.
  • the shape of an end effector may include any suitable shape, such as horseshoe, rectangular, circular, pronged including one or more prongs, and the like.
  • Fig. 6 illustrates a plan view of another exemplary end effector 606.
  • End effector 606 is similar to that of Figs.
  • end effector 606 has a plurality of vacuum cups 602, in this instance five vacuum cups 602, each including an elongated (i.e., not circular) mushroom caps 604. Further, end effector 606 includes a common vacuum passage positioned adjacent the apertures 614 as opposed to Fig. 5, which includes vacuum passages branched apart for each separate aperture 514.
  • Fig.7 illustrates a plan view of another exemplary end effector 706.
  • the end effector 706 of Fig. 7 is similar to that of Figs. 3 A and 3B except that one vacuum cup 702 includes a plurality of apertures 714 therein.
  • Vacuum cup 702 of this example is shaped like a horseshoe, but with similar functionality as vacuum cup 402 and includes several elongated mushroom caps 704, which are similar to the mushroom caps 604.
  • the exemplary wafer cleaning method and apparatus may clean a wafer of debris or particles before an electropolishing or electroplating process as well as clean the wafer of processing liquid after an electropolishing or electroplating processing step.
  • the edge or outer region ofthe major surface ofthe wafer (often referred to as the "bevel region") may include copper residue. It is desirable to etch away this copper residue from the outer region and clean the wafer without damaging the thin metal layer in the inner region ofthe wafer.
  • a cleaning module includes an edge clean assembly to remove metal residue on the outer or edge portion of a wafer.
  • the edge cleaning apparatus includes a nozzle head configured to supply a liquid and a gas to a major surface ofthe wafer. The nozzle supplies the liquid in the edge region and supplies the gas at the inner edge of the edge to reduce the potential ofthe liquid flowing radially inward on the wafer to the metal film.
  • Figs. 9A - 9C illustrate various views of an exemplary cleaning chamber module for cleaning a wafer. As shown in Figs.
  • the exemplary cleaning chamber module may include a dome cover 902, cleaning chamber window 904, cylinder cover 906, leak sensor 908, drip pan drain line 910, base block 912, drip pan clamp 914, drip pan 916, bottom chamber 918, cutout for chuck motor assembly wiring 920, two Dl water nozzles 922 (backside) and 926 (top), two nitrogen nozzles 924 (backside) and 928 (top), edge clean assembly 930, optical sensor 932, nozzle for wafer front side chemical 934, chuck 936, drain plate 938, top chamber 940, exhaust and drain tube 942, nitrogen line 944, edge clean cover 946, nozzle for wafer backside chemical 948, and chuck motor assembly 950.
  • a cleaning chamber module can include one or more nozzles for chemicals.
  • Wafer 901 may be positioned in the cleaning chamber by an end effector 903 or the like.
  • the chuck motor assembly 950 can rotate chuck 936 and wafer 901 around the axis perpendicular to the major surfaces ofthe wafer.
  • the Dl water nozzles 922 and 926 can supply streams of Dl water to the top and backside surfaces of wafer 901. The Dl water can flow past the edge of wafer 901 toward the wall ofthe cleaning chamber and drain through the drain plate 938 and into the exhaust and drain tube 942.
  • the chuck motor assembly 950 may increase the rotation speed to 2,000 rpm, ⁇ 1,000 rpm.
  • the nitrogen nozzles 924 and 928 can then supply streams of nitrogen (or other suitable gas) to the top and backside of wafer 901 to further remove Dl water from the top and backside of wafer 901.
  • FIGs. 10A - 10B illustrate an exemplary wafer edge clean assembly 930, which may include Dl water tube 1006, rod 1010, adapter rod 1008, bracket 1012, screws 1014, air table cylinder 1016, adjustable screw 1018, flow regulator 1020, compressed air tube 1022, rod clamp 1024, acid tube 1026, nitrogen tube 1028, nozzle head 1030, rod wiper 1032, nitrogen nozzle 1034, and liquid nozzle 1036.
  • the length ofthe edge clean assembly 930 may be adjusted for use with 200mm wafer, 300 mm wafer, or other size by adding or removing adapter rod 1008.
  • the gap between the top of wafer 901 and the nitrogen nozzle 1034 can be in the range from 0.1 to 10 mm, and the liquid nozzle 1036 can be positioned above the edge area 1004.
  • Figs. 11 A - 11C illustrate plan, side, and front views respectively of exemplary nozzle head 1030 included with an edge clean assembly.
  • nitrogen nozzle 1034 produces a nitrogen curtain 1102 of nitrogen gas near the edge of wafer 901.
  • wafer 901 may rotate at a rotation speed of approximately 50 ⁇ 500 rpm, preferably at 200 rpm.
  • Liquid nozzle 1036 supplies a stream of chemical to form a thin layer of about 10 mm in width on the outer major surface of wafer 901 or edge area 1004. The chemical removes the metal layer or metal residue, but the chemical may accidentally spread toward the center of wafer 901, which may have deleterious effects on the metal layer.
  • a variety of chemicals can be used to etch the metal residue in edge area 1004. For instance, H 4 S0 4 at 10% concentration and H 2 0 2 at 20% concentration can be used to etch copper metal from edge area 1004. Also, for increasing etch rates, the chemical solution can be heated to the range of 25°C to 80°C. [0078] To reduce the potential for the chemical spreading inward from the edge, nitrogen nozzle 1034 supplies or directs a stream of gas, e.g., nitrogen, to create nitrogen curtain 1102 at the inside edge ofthe edge area 1004 to prevent or at least reduce the potential ofthe chemical from spreading toward the center of wafer 901.
  • a stream of gas e.g., nitrogen
  • liquid nozzle 1036 can supply liquid jet 1104 of Dl water to dilute and/or rinse off the chemical from wafer 901 at the edge area 1004. Additionally, in one example, after the edge cleaning process an additional Dl water wash may be performed by using Dl water nozzles 922 and 926 to clean the top and backside of wafer 901.
  • chuck motor assembly 950 can stop rotating chuck 936 and wafer 901, and edge clean assembly 930 can glide back from the edge cleaning position to a rest position.
  • FIGs. 1 ID - HE illustrate various views of another exemplary nozzle head 1030.
  • the example in Figs. 11D - HE are similar to that of Figs. HA - 11C except that the nitrogen nozzle 1034 has a horizontal span 1034h extended from the nozzle.
  • the horizontal span 1034h may create a nitrogen curtain 3002 that more effectively prevents chemicals from edge nozzle 1036 from spreading towards the center of wafer 901.
  • the distance between the horizontal span 1034h and wafer 901 surface is preferably in the range of approximately 0.1mm to 3.0mm, and more preferably approximately 1.5 mm.
  • FIGs. 11F -11G illustrate various views of another exemplary nozzle head 1030.
  • the example in Figs. 11F - 11G is similar to that of Figs. 11D - HE except that the horizontal span 1034h is extended from both sides ofthe lower portion ofthe nozzle.
  • FIG. HH illustrates another exemplary nozzle head 1030.
  • the example in Fig. HH is similar to that of Figs. HA - HC except that it has two liquid nozzles 1036, one for chemical and another for Dl water. Separate nozzles may provide improved performance during a Dl water rinse, for example.
  • Fig. 12 illustrates an exemplary chuck motor assembly 950 that may be included in the wafer cleaning apparatus.
  • chuck motor assembly 950 includes chuck 936, top motor plate 1202, optical sensor 1204, shaft sleeve 1206, motor 1208, flag 1210, spacer 1212, centrifugal block shaft 1214, centrifugal block 1216, and plug 1218.
  • FIG. 13 illustrates an exemplary cleaning chamber window 904 that includes inner plate 1302, outer plate 1304, bracket 1306, flow controller 1308, cylinder 1310, cylinder cover 906, and limit sensor 1312.
  • the end effector 903 loads wafer 901 in chuck 936.
  • the cylinder 1310 can raise the outer plate 1304 and close the cleaning chamber window 904 to begin a wafer cleaning process.
  • exemplary chuck 936 includes base 1220 and three positioners 1222.
  • Chuck 936 may be modified for 200mm wafer, 300 mm wafer, or any other wafer size.
  • optical sensor 932 can detect the position of wafer 901 in chuck 936. To check the error in wafer positioning, optical sensor 932 directs a beam to the top surface of wafer 901 as shown in Fig. 15. If end effector 903 positions wafer 901 on the top surface of a positioner 1222, the beam will not fully reflect back to reflective sensor 932.
  • the reflectivity may change accordingly. Furthermore, since the distance between wafer 901 and reflective sensor 932 changes, the difference or variance in the reflectivity may be used to verify if wafer 901 is placed accurately on chuck 936 and the three positioners 1222 or not. In one example, when wafer 901 is accurately positioned on chuck 936, by the three positioners 1222, the reflectivity is read between approximately 70 ⁇ 75 % while the chuck is rotating. However, when wafer 901 is not positioned accurately, the reflectivity is read between approximately 30 ⁇ 60%. A misplaced wafer might move out of chuck 936 when chuck 936 is rotating at high speeds, which may cause wafer 901 to break inside ofthe cleaning chamber module.
  • An exemplary optical sensor 932 is shown in Fig. 14 and may include a fitting tube 1402, fitting o-ring 1404, reflective sensor 1406, holder 1408, viton o-ring 1410, and holder flange 1412. It should be recognized that other suitable optical sensors may be used to determine proper positioning of a wafer in relation to chuck 936. In other examples, optical sensor 932 may be replaced by a non-optical sensor to measure the surface of a wafer such as a proximity sensor, eddy current sensor, acoustic sensor, and the like.
  • chuck positioner 1222 may include a centrifugal block 1216.
  • the centrifugal block 1216 can include a lower element (i.e., a weight) that is heavier than the top portion, which is approximate to the centrifugal block shaft 1214.
  • a lower element i.e., a weight
  • the centrifugal force will cause the weights in centrifugal blocks 1216 to rotate outward. Consequently, the upper portion of centrifugal block 1216 moves inward to hold and secure wafer 901 to chuck 936.
  • the weight, length, and the like of positioner 1222 and centrifugal block 1216 may be varied to change the speed at which the positioner 1222 moves to secure the wafer.
  • the chuck motor assembly 950 decelerates or stops, centrifugal block 1216 will return to its upright position due to reduced or zero centrifugal force.
  • the chuck rotation speed is set in the range of approximately 200 ⁇ 3,000 rpm, preferably at 2,000 rpm.
  • Figs. 16A-16C illustrate an exemplary backside wafer cleaning process and the wafer in relation to positioners 1222 and wafer backside chemical 948.
  • motor 1208 oscillates chuck 936 to face the nozzle for wafer backside chemical 948 such that the chemicals can be delivered to the backside of wafer 901 without splashing the three wafer positioners 1222.
  • Chemicals that contact wafer positioner 1222 may splash onto and chemically etch the top surface of wafer 901, which may cause defects in the structures and devices formed on wafer 901.
  • the backside chemical 948 may be positioned between two positioners 1222 and oscillated between angles ⁇ and — ⁇ .
  • the backside chemicals may cover an area of wafer 901 beyond angles ⁇ and - ⁇ by directing backside chemical 948 off center by moving backside chemical 948 between angles - ⁇ and ⁇ as illustrated in Figs. 16A-16C.
  • Figs. 17A-17C illustrate another exemplary backside wafer cleaning process. The process is similar to that described with reference to Figs. 16A-16C except that chuck 936 is continuously rotated and backside chemical 948 is pulsed or timed to be "on" between positioners 1222 and "off' when directed at positioners 1222. Similar to Figs. 16A-16C, nozzle backside chemical 948 may oscillate ⁇ during the process. As shown in Figs.
  • Dl water nozzle 922 After cleaning the entire surface ofthe backside of wafer 901, Dl water nozzle 922 will supply streams of Dl water to rinse the chemicals on the backside of wafer 901. [0092] Wafer 901 can go through one final cleaning cycle. As chuck 936 and wafer 901 are rotating at a rotation speed of about 30 rpm, the Dl water nozzles 922 and 926 can supply streams of Dl water to the top and backside of wafer 901 simultaneously. To remove the Dl water from and to dry wafer 901, the chuck rotation speed can be increased to 2,000 rpm, ⁇ 1,000 rpm.
  • exemplary cleaning recipes or sequences may proceed as follows.
  • Initiate Cleaning a. Home chuck.
  • Front side Cleaning e. Rotate chuck 936 at speed of 10 to 100 rpm, preferably at 50 rpm.
  • Edge Cleaning j. Move the edge cleaning assembly 930 from its rest position to edge cleaning position by powering the air tube cylinder 1016. k.Rotate wafer 901 at the rotation speed of 100 ⁇ 500 rpm, preferably at 350 rpm, deliver nitrogen from nitrogen nozzle 1034 through nitrogen tube 1028.
  • a second exemplary recipe includes following steps a through d as described above to initiate the cleaning process, followed by steps y through q for edge cleaning, and finishing with steps e through i to clean and dry the front side with Dl water and nitrogen gas.
  • Another exemplary recipe includes: following steps a through d as described above to initiate the cleaning process; followed by stepsy through q for edge cleaning; continuing with steps r and s to clean the backside with chemical; steps e through i to clean and dry the front side using Dl water and nitrogen gas; and steps t through z to clean and dry the backside with Dl water and nitrogen gas. Additionally, during a backside cleaning process, Dl water may be supplied to the top ofthe wafer to protect the top surface from any ofthe chemical used during the backside etch. Accordingly, it should be apparent to those skilled in the art that various processes are contemplated for cleaning semiconductor wafers with the exemplary apparatus and methods. [00101] Although the apparatus and methods for cleaning wafers have been described with respect to certain embodiments, examples, and applications, it will be apparent to those skilled in the art that various modifications and changes may be made without departing from the invention.
  • a processing chamber is included for electropolishing and/or electroplating semiconductor wafers.
  • the exemplary processing chamber is interchangeable with electropolishing apparatus and electroplating apparatus.
  • a wafer is rotated while a stream of process fluid is directed to a relatively small portion of a major surface ofthe wafer.
  • a nozzle or the like directing the stream of fluid is translated along a linear direction parallel to the major surface ofthe wafer, e.g., from the inner to outer radius ofthe wafer.
  • Fig. 18 includes an exploded view of an exemplary process chamber assembly according to one embodiment.
  • Exemplary process chamber assembly can include dynamic shroud 1802, magnetic coupler 1804, shaft 1806, bracket for mounting shaft 1808, splashguard 1810, tube 1812, chamber tray 1814, bottom chamber 1816, feed through for optical sensor 1818, plugs 1820, process chamber 1822, manifold 1824, nozzle plate 1826, end point detector 1828, nozzle block 1830, side plate 1832, chamber window 1834, half moon chamber 1836, gate chuck 1838, and window cylinder 1840.
  • dynamic shroud 1802 magnetic coupler 1804
  • shaft 1806 bracket for mounting shaft 1808, splashguard 1810, tube 1812, chamber tray 1814, bottom chamber 1816, feed through for optical sensor 1818, plugs 1820, process chamber 1822, manifold 1824, nozzle plate 1826, end point detector 1828, nozzle block 1830, side plate 1832, chamber window 1834, half moon chamber 1836, gate chuck 1838, and window cylinder 1840.
  • the exemplary chambers may be used equally well for electropolishing and/or electroplating, but are described generally with regard to electropolishing processes.
  • nozzle block 1830, nozzle plate 1826, manifold 1824 and dynamic shroud 1802 may also be used in an electropolishing process.
  • they may be replaced with a concentric circle electroplating apparatus.
  • An exemplary concentric circle electroplating apparatus is described in U.S. Patent No. 6,395,152, entitled METHODS AND APPARATUS FOR ELECTROPOLISHING METAL INTERCONNECTIONS ON SEMICONDUCTOR DEVICES, filed on July 2, 1999, and U.S. Patent No.
  • the power drive system which can be included in the process chamber assembly, can include x-axis flag 1902, x-axis drive assembly 1904, coupling 1906, motor 1908, bracket for z-axis mount 1910, theta drive belt and pulley 1912, theta y-axis reflective sensor 1914, x-axis sensor 1916, theta mount 1918, z-axis universal ball joints 1920, z-drive table assembly 1922, bracket for z-motion mount 1924, theta motor 1926, theta drive pulley 1928, chuck assembly 1930, lid back cover assembly 1932, x-axis linear bearing 1934, y-axis adjustment thumb screw 1936, z-axis plate 1938, top lid 1940, z-axis linear bearings 1942, shafts 1944, x-axis magnet 1946, magnetic disconnect plate 1948, y-axis stage 1950, magnets 1952, and bracket for magnet mount 1954.
  • the process chamber 1822 can include a dynamic shroud 1802 that translates with chuck assembly 1930 and a splashguard 1810 to contain process liquid or electrolyte fluid within the chamber area.
  • An optical sensor cable can be installed through the feed-through 1818 for an optical sensor and end point detector 1828, or other components such as sensors to detect leaks in the bottom chamber 1816 or the chamber tray 1814. Additional plugs 1820 may be used for further feed-throughs.
  • the exemplary apparatus of Figs. 18 and 19 includes magnets 1952 to connect to the x- axis drive magnet mount plate 1946.
  • the chuck assembly 1930 can move along the x-direction by gliding on shafts 1944 through the x-axis linear bearing 1934.
  • the process drive system can undock from the process chamber assembly.
  • Motor 1908 will rotate an internal screw in the x- axis drive assembly 1904 counterclockwise to move forward along the x-direction.
  • the same or new process drive assembly may dock with the process chamber assembly in the same fashion.
  • One example includes a safety measure such that if there is an object between the process drive system and the chamber, or something preventing the x-axis drive assembly 1904 from moving forward or backward, the magnets 1952 or 1946 will disengage from the x-axis disconnect plate 1948.
  • the x-axis drive 1904 and motor 1908 will not be able to move the chuck assembly and top lid further; at which point, the x-axis sensor 1916 will recognize the disengagement of x-axis from the rest ofthe process drive system and motor 1908 will power down.
  • y-axis adjustment thumb-screw 1936 can adjust the position of chuck assembly 1930 over the dynamic shroud 1802 and nozzle plate 1826 along the y-direction.
  • the process drive system will be docked in the process chamber assembly by connecting magnets 1952 on the process drive system to magnetic coupler 1804 on the process chamber assembly.
  • Window cylinder 1840 raises gate chuck 1838 from half moon chamber 1836 to create an opening in the chamber window 1834.
  • a robot may transfer wafer 1801 from a pre-aligner (see Fig. 1) through the chamber window 1834. Wafer 1801 is loaded into chuck assembly 1930 for an electropolishing and/or electroplating process.
  • the motor in z-drive table assembly 1922 turns its internal shaft assembly to lower the z-axis plate 1938 from the top ofthe z-axis linear bearings 1942 until the gap between chuck assembly 1930 and the top of nozzle block 1830 is in the range of approximately 0.5 to 10 mm, and preferably 5 mm.
  • the motor in z-drive table assembly 1922 can lower the z-axis plate 1938 from the top ofthe z-axis linear bearings 1942 until the gap between wafer 1801 on chuck assembly 1930 and the top of concentric circle apparatus is in the range of approximately 0.5 to 20 mm, and preferably 5 mm.
  • z-axis plate 1938 may move up incrementally in accordance with a process recipe for the wafer 1801 for additional plating.
  • the exemplary process chamber removes copper from the plated copper wafer 1801 uniformly and incrementally by applying electrical current at a different current density for different locations on the wafer 1801.
  • the recipe for electrical current and flow of process liquid will be based on the profile of said wafer and other user-defined requirements depending on the particular application.
  • User-defined requirements might include the number of runs for large removals, the use of larger or smaller nozzles, or thickness ofthe copper layers to remain on the wafers.
  • a wafer measurement metrology tool measures the thickness profile ofthe copper plating on a sampling of wafers. The measurements will help generate a current ratio table that can include the current ratio to be used in the polishing process at a given set-point on the wafers.
  • the data and the resulting ratio table create a metal film thickness profile, which can be further modified by user-defined requirements to formulate the profiled thickness of the wafers, and the recipe for electrical current density and flow rate during a polishing process.
  • the electrical current density applied to wafer 1801 may vary depending on the type of removals. For example, to remove a thick metal film on wafer 1801, a higher current will generally be used. To remove a thin metal film a smaller current will generally be used to enable a more controlled and precise removal process.
  • the exemplary recipe generally entails four or more steps of processing. First, a removal of a large portion ofthe thick layer ofthe metal, e.g., copper, is performed. Second, the end point detector 1828 measures the reflectivity ofthe remaining copper layer to determine set-points for further polishing at a given location on wafer 1801. This process recalculates the film thickness profile based on the reflectivity readings. Third, the process removes relatively thin layers ofthe copper in accordance with the new metal film thickness profile.
  • a removal of a large portion ofthe thick layer ofthe metal e.g., copper
  • the end point detector 1828 measures the reflectivity ofthe remaining copper layer to determine set-points for further polishing at a given location on wafer 1801. This process recalculates the film thickness profile based on the reflectivity readings. Third, the process removes relatively thin layers ofthe copper in accordance with the new metal film thickness profile.
  • the end point detector 1828 measures the reflectivity ofthe copper layers to determine if wafer 1801 has been polished to the desired thickness and/or profile.
  • the third and fourth processes may be repeated until wafer 1801 is polished to the desired thickness and/or profile.
  • the present invention may include a electroplating process wherein certain areas on the surface ofthe wafer are re-plated with copper.
  • the electroplating process can include a method of reversing the voltage for the nozzle in the nozzle block 1830 with a suitable electrolyte fluid such as CuS0 + I S0 4 +H 2 0 or the like.
  • a suitable electrolyte fluid such as CuS0 + I S0 4 +H 2 0 or the like.
  • An exemplary electroplating apparatus and method is described in U.S. Patent No. 6,391,166 cited previously and incorporated herein.
  • Exemplary Process Recipe Step 1. In order to remove layers of copper on wafer 1801, theta motor 1926 rotates chuck assembly 1930 in a constant linear velocity as the chuck assembly 1930 moves along the x- direction.
  • the nozzle in nozzle block 1830 may direct process liquid to wafer 1801 at a constant flow rate.
  • the rotation speed of theta motor 1926 can be in relation to the current density and the linear travel distance of rotating chuck assembly 1930.
  • the electrical current ratio that is being applied to wafer 1801 can also be based on the metal film thickness profile and user-defined requirements.
  • the exemplary recipe can continuously extrapolate new current density between, and new linear velocity at, each data point on the linear travel of rotating chuck assembly 1930.
  • the recipe can be further recalculated using the new current ratio and linear velocity.
  • Process drive system moves the chuck assembly 1930 back to the start position along the x-direction.
  • Step 2 End point detector 1828 measures the reflectivity of copper plated surface of wafer 1801, as theta motor 1926 rotates chuck assembly 1930 again in a constant linear velocity as the chuck assembly moves back and forth along the x-direction.
  • the present example records the reflectivity of wafer 1801 and the corresponding linear distance ofthe chuck assembly, at a user defined intervals. The present example extrapolates the new data into part ofthe metal film thickness profile.
  • Step 3. Repeat Step 1 except the current flow will be adjusted based upon the reflectivity of end point detector 1828 to wafer 1801 at a given wafer location of linear distance. A smaller nozzle in nozzle block 1830 can be used to achieve a more controlled polishing ofthe copper plated surface.
  • Step 4. Repeat Step 2. If the new reflectivity measurements from the end point detector 1828 are larger than a pre-set value, repeat Step 3. [00119] During exemplary polishing processes, chuck assembly 1930 may be rotated in the following three modes:
  • V is the linear velocity
  • R is the horizontal distance between nozzle and wafer center
  • C 4 is a constant set according to the particular apparatus and application.
  • C 5 is a constant set according to the particular apparatus and application.
  • C 7 is a constant set according to the particular apparatus and application.
  • FIGs. 18 and 19 show a process drive system in which the chuck assembly 1930 moves along the x-direction, it should be recognized that during a process the nozzle plate 1826 or both the chuck assembly 1930 and nozzle plate 1826 can move along the x-direction depending on the particular application.
  • Fig. 20 shows an exemplary nozzle 2054 that may be included in the exemplary process chamber assembly.
  • the exemplary nozzle 2054 includes an enhancement energy unit 2080 that may be attached or mechanically coupled to the nozzle 2054.
  • the enhancement energy unit 2080 may enhance the agitation of electrolyte fluid 2081 at the metal film 2004 surface to provide a higher polishing rate, better surface finishing, and quality.
  • the energy enhancement energy unit 2080 includes an ultrasonic or magnasonic transducer.
  • Electrolyte fluid 2081 may be input from side inlet 5200 of nozzle 2054.
  • the frequency of an ultrasonic transducer may be in the range of 15 kHz to 100 Mega Hz to agitate the fluid.
  • Ultrasonic transducer can be made of ferroelectric ceramics such as barium titanate (LiTa0 3 ), lead titanate, lead zirconate, and the like.
  • the power of an ultrasonic transducer may be in the range of 0.01 to 1 W/cm 2 .
  • the energy enhancement energy unit 2080 may include a laser.
  • a laser can be irradiated on the metal surface during an electropolishing process.
  • the laser may be, e.g., a solid state laser such as ruby laser, Nd-glass laser, or Nd: YAG (yttrium aluminum garnet, Y 3 Al 5 0n) laser, gas laser such as He-Ne laser, C02 laser, HF laser, or the like.
  • the average power ofthe laser may be in the range of 1 watt to 100 watt/cm 2 for continuous mode.
  • the laser can be operated in pulse mode.
  • the pulse mode laser power can be much higher than the average mode power as will be recognized by those skilled in the art.
  • the laser may also detect film thickness ofthe metal film on wafer 1004.
  • a laser directed to the metal film stimulates ultrasonic waves on metal film.
  • the metal film 2004 thickness may be measured through the detected ultrasonic wave during an electropolishing process.
  • the thickness of metal film 2004 may be used to control the polishing rate by changing the current, the nozzle speed in the radius direction, and the like.
  • the energy enhancement energy unit 2080 may include an infrared light source to anneal the metal film 2004 during a polishing process.
  • the infrared light source can provide additional options to control surface temperature ofthe metal film during polishing.
  • the power ofthe infrared source may be in the range of lw to 100 w/cm 2 .
  • An infrared source may also be used to anneal the metal film during a polishing process.
  • the grain size and structure are very important for determining the copper interconnect electro-migration performance and resistivity.
  • an infrared sensor can also be used to detect a surface temperature ofthe metal film during a polishing process.
  • An infrared sensor may also be used to determine the temperature of metal film 2004.
  • Monitoring the temperatures allows adjustments ofthe temperature during a polishing process with varying infrared source power, changing the current density, and the like.
  • the energy enhancement energy unit 2080 may include a magnetic field to focus the polishing current on the metal film 2004 during a polishing process. Focusing the polishing current allows for increased control ofthe polishing rate profile ofthe nozzle, which is increasingly important for relatively large diameter nozzles.
  • the magnetic field may be generated in the direction of electrolyte flow, i.e., vertical direction to the metal film surface.
  • a magnet and electric magnet, superconductor coil driving magnet or the like may be used to create and focus the magnetic field.
  • an electroplating apparatus and method is included for electroplating semiconductor wafers.
  • process fluid In a plating apparatus and process it is generally desired for process fluid to be distributed evenly over the surface ofthe wafer to plate a metal film of uniform thickness.
  • a shower head for plating apparatus is described that includes a filter block that impedes an immediate stream of electrolyte fluid and distributes the process fluid more uniformly through a channel ofthe shower head prior to emerging from the shower head. Distributing the fluid through the channel more uniformly leads to equal or nearly equal flow rates of electrolyte fluid from each orifice ofthe shower head assembly to increase the uniformity ofthe plating process.
  • Fig. 21 illustrates an exploded view of an exemplary electroplating apparatus for plating semiconductor wafers 2102.
  • the electroplating apparatus can include half-moon chamber 2104, stationary shroud 2106, plating shower head assembly 2108, exhaust 2110, liquid inlets 2112, electrolyte fit through 2114, liquid fit through 2116, chamber tray 2118, bottom chamber window 2120, bottom chamber 2122, process chamber 2124, chamber window 2126, top lid assembly 2130, liquid inlet tubing 2132, electrode cable 2134, and shafts 2136.
  • Top lid assembly 2130 may be functionally similar to the exemplary top lid assembly previously discussed under the heading "Process Chamber.”
  • the stationary shroud 2106 covers the wafer chuck (not shown) to prevent electrolyte from splashing out ofthe chamber during the electroplating and spin dry process, for example.
  • top lid assembly 2130 will lower wafer 2102 and position the wafer above the top of plating shower head assembly 2108.
  • a first metal layer partial deposition is performed while the gap between wafer 2102 and plating shower head assembly 2108 is in a range of about 0.1 mm to about 10 mm, and preferably about 2 mm.
  • Top lid assembly 2130 may raise wafer 2102 an additional 2 mm to 5 mm and a second layer deposition may be performed where a thicker layer of copper is deposited on the wafer.
  • FIG. 22 illustrates an exploded view of an exemplary shower head assembly 2108 for a plating process.
  • shower head assembly 2108 may include outer channel ring 2202, shower head top 2204, and shower head 2206.
  • Figs. 23 and 24 illustrate exploded views of exemplary shower heads configured for electroplating 300 mm wafer and 200 mm wafer respectively.
  • shower head 2006 can be used for both 300 mm and 200 mm wafers.
  • Fig. 25A illustrates an exploded view of an exemplary shower head.
  • shower head 2206 may include electrode rings 2502, nuts 2504, electrode connectors 2506, electrode outer connectors 2508, small inlet flare fittings 2510, inlet flare fittings 2512, plate filter blocks 2514, shower head base 2516, filter spacers 2518, and plate filter rings 2520.
  • Each electrode ring 2502 is fitted on top of a matching plate filter ring 2520 and locked into place on the shower head base 2516 by fastening the electrode of electrode ring 2502 with nuts 2504, electrode connector 2506 and electrode outer connector 2508. Each electrode is attached with an electrode cable 2134 to electrode outer connector 2508 as shown in Fig. 21.
  • Electrode ring 2502 can be made of anticorrosive metals or alloys, such as platinum, titanium coated with platinum, and the like.
  • Shower head base 2516 will have channels for electrolyte flow from inlet flare fittings 2512 and from small inlet flare fittings 2510.
  • an inlet flare fitting 2512 can be larger than the width of a channel in shower head base 2516 and the inlet flare fittings cannot be fasten on the same position for all 7- or 10-rings.
  • every other small inlet flare fitting 2510 or inlet flare fitting 2512 and opposing filter block 2514 are positioned on an opposite half of the circle (not shown for filter blocks 2514).
  • electrode ring 2502 fits over plate filter ring 2520 such that the electrode is positioned on the other half of the circle with every other electrode ring.
  • Fig.25B illustrates an exploded view of a plate filter ring 2520 and plate filter block 2514 joined together by filter spacers 2518 to form a liquid flow block assembly, with an electrode ring 2502 fitting over the liquid flow block assembly.
  • the exemplary liquid flow block assembly will be positioned above shower head base 2516 with an inlet flare fitting 2512 beneath and center of each plate filter block 2514 with an o-ring 2530 (not shown).
  • Each plate filter ring 2520 has orifices 2522 with a narrow aperture in the center of each orifice.
  • Electrolyte fluid will flow in from inlet flare fitting 2512. The electrolyte stream will first hit the center ofthe plate filter block 2514 above the inlet and be distributed throughout the channel. As the electrolyte fluid rises in the channels, the electrolyte will flow out of orifice 2522 uniformly and reach the electrode rings 2502. The electrolyte fluid passes the electrode ring 2502, and flows uniformly to the surface of wafer 2102 through apertures 2524 in nozzle head 2004. [00144] Fig.
  • 25C illustrates the relationship between orifices 2522 and the nozzle head's apertures 2524 on the bottom of shower head 2006.
  • the shower head top 2004 is stacked over shower head 2006 such that the apertures 2524 are positioned in between two orifices 2522. This staggered positioning allows the flow of electrolyte discussed in above to flow more uniformly through each recess on the liquid block flow assembly.
  • the apertures 2524 are disposed around the outer ring on shower head top 2204 (or 2304 or 2404).
  • apertures 2524 may be formed in any shape, such as circle, elongated, and the like depending on the particular application. With reference to Fig. 24, apertures 2524 may be formed in an elongated circular shape created by forming three round holes.
  • inlet flare fitting 2512 may deliver electrolyte directly through one or more apertures above the proximity ofthe inlet flare fitting, causing disproportionate distribution of electrolyte throughout the channel. Since electrolyte is flowing from one outlet, the liquid pressure of electrolyte can be difficult to control.
  • the exemplary apparatus may provide for better control of electrolyte for metal deposition, e.g., copper, because plate filter block 2514 will impede the immediate stream of electrolyte and distribute the electrolyte throughout the channel. Distributing the electrolyte throughout the channel allows equal or nearly equal volumes of electrolyte to flow out of each orifice 2522 on plate filter ring 2520. As shown in Fig. 25E, electrolyte comes out of electrode outer connectors 2508, through the shower head base 2516 and plate filter ring 2520, then around the sides of electrode ring 2502 and flows out ofthe apertures 2524 on shower head top 2004. [00146] Although the exemplary shower head apparatus has been described with respect to certain embodiments, examples, and applications, it will be apparent to those skilled in the art that various modifications and changes may be made without departing from the invention.
  • a method and apparatus for leveling a semiconductor wafer relative to a processing module such as an electropolishing or electroplating apparatus.
  • a processing module such as an electropolishing or electroplating apparatus.
  • the wafer be leveled such that the major surface ofthe wafer is generally parallel to a level surface of a processing chamber or tool.
  • aligning the wafer in the processing apparatus increases the uniformity ofthe polishing or plating processes.
  • Figs. 26A and 26B show an exemplary leveling tool 2604 that may be used to measure the parallelism of wafer 2602 within ⁇ 0.001 inch relative to the processing apparatus, e.g., a processing chamber. As shown in Figs.
  • the leveling apparatus generally includes leveling tool 2604, ground line 2610, signal lines 1612, control system 2614, and chuck 2616.
  • An exemplary chuck is described in U.S. Patent No. 6,248,222 Bl, entitled METHOD AND APPARATUS FOR HOLDING AND POSITIONING SEMICONDUCTOR WORKPIECES DURING ELECTROPOLISHING AND/OR ELECTROPLATING OF THE WORKPIECES, filed on September 7, 1999, and U.S. Patent No.
  • chuck 2616 holds wafer 2602 during a semiconductor electropolishing and/or electroplating process.
  • wafer 2602 is positioned parallel or nearly parallel to processing chamber 2630, and in particular with the plating head or polishing nozzles (not shown) ofthe processing apparatus.
  • Leveling tool 2604 may be positioned within the process chamber 2630 to provide increased alignment ofthe wafer 2602.
  • Leveling tool 2604 may include three sensors 2606 and corresponding signal lines 2612.
  • signal lines 2612 (through sensors 2606) provide connection to the control system 2614 through a thin metal layer formed on the surface ofthe wafer 2602.
  • a ground line 2610 from control system 2614 is connected to the wafer 2602 metal layer.
  • sensors 2606 contact the thin metal layer a circuit is completed between the sensors 2606 and the ground line 2610 that may be measured by controller system 2614.
  • leveling tool 2604 may include supports 2608 for use in measuring the parallelism of wafer 2602 in chuck 2616 and the polishing nozzles as well as position the leveling tool 2604 near the surface of wafer 2602.
  • Fig. 26C illustrates a cross-sectional view of an exemplary sensor 2606.
  • Sensor 2606 can include holder 2626, set screws 2618, pin adjustment 2620, contact screw 2622, and pin 2624.
  • Signal line 2602 is connected to sensor 2606 through contact screw 2622.
  • Holder 2626, pin adjustment 2620, and pin 2624 can be made of metals or alloys, such as stainless steel, titanium, tantalum, or gold.
  • chuck 2616 descends toward leveling tool 2604 until the pin 2624 of one of sensors 2606 contacts the conductive surface of wafer 2602.
  • the contact completes an electrical circuit that includes signal line 2612, ground line 2610, and control system 2614, and provides a signal to control system 2614.
  • the control system 2614 determines the distance from the original (home) position of chuck 2616 to the pin's position at the moment ofthe contact.
  • Chuck 2616 continues its descent until the second sensor 2606, and the third sensor 2606 contact the surface of wafer 2602. Corresponding distances for both sensor contacts are taken and the measurement process ends.
  • the exemplary process may include a software interface, which displays the measured distance in the moment of contact for each sensor 2606.
  • the interface can also display the location of sensors 2606. The smaller in the difference between a maximum and minimum distance ofthe measured distances the closer the wafer 2602 is to being aligned or in a parallel relationship.
  • the data can be used to make adjustment to chuck 2616 and consequently, position of wafer 2602. After the adjustment is made, the measurement cycle can be repeated until the difference between the maximum and minimum ofthe measured distances is within design specification such as ⁇ 0.001 inch or the like depending on the particular application.

Abstract

Dans un mode de réalisation, l'invention concerne un appareil et des procédés de polissage et/ou de placage électrolytiques de tranches semi-conductrices. Un appareil comprend un module de nettoyage comportant un assemblage (930) de nettoyage de bord destiné à éliminer des résidus métalliques sur le chanfrein ou sur la portion de bord d'une tranche (901). L'appareil de nettoyage de bord comprend une tête de buse (1030) conçue afin d'envoyer un liquide et un gaz sur une surface principale de la tranche. La buse envoie le liquide dans une région adjacente à la bordure extérieure de la surface principale de la tranche, et envoie le gaz radialement vers l'intérieur de l'emplacement d'envoi du liquide, afin de réduire la possibilité que le liquide s'écoule radialement vers l'intérieur du film métallique formé sur la tranche.
PCT/US2003/010725 2002-04-08 2003-04-08 Appareil et procedes de polissage et/ou de placage electrolytiques WO2003087436A1 (fr)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR10-2004-7015977A KR20040099407A (ko) 2002-04-08 2003-04-08 전해연마 및/또는 전기도금 장치 및 방법
EP03746651A EP1492907A4 (fr) 2002-04-08 2003-04-08 Appareil et procedes de polissage et/ou de placage electrolytiques
US10/510,522 US20050218003A1 (en) 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods
JP2003584368A JP2005522585A (ja) 2002-04-08 2003-04-08 電気研磨および/または電気めっき装置および方法
CA002479794A CA2479794A1 (fr) 2002-04-08 2003-04-08 Appareil et procedes de polissage et/ou de placage electrolytiques
AU2003226319A AU2003226319A1 (en) 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods

Applications Claiming Priority (16)

Application Number Priority Date Filing Date Title
US37095502P 2002-04-08 2002-04-08
US37091902P 2002-04-08 2002-04-08
US37095602P 2002-04-08 2002-04-08
US37092902P 2002-04-08 2002-04-08
US60/370,956 2002-04-08
US60/370,929 2002-04-08
US60/370,919 2002-04-08
US60/370,955 2002-04-08
US37254202P 2002-04-14 2002-04-14
US37256702P 2002-04-14 2002-04-14
US37256602P 2002-04-14 2002-04-14
US60/372,542 2002-04-14
US60/372,566 2002-04-14
US60/372,567 2002-04-14
US39046002P 2002-06-21 2002-06-21
US60/390,460 2002-06-21

Publications (1)

Publication Number Publication Date
WO2003087436A1 true WO2003087436A1 (fr) 2003-10-23

Family

ID=29255769

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/010725 WO2003087436A1 (fr) 2002-04-08 2003-04-08 Appareil et procedes de polissage et/ou de placage electrolytiques

Country Status (10)

Country Link
US (1) US20050218003A1 (fr)
EP (1) EP1492907A4 (fr)
JP (5) JP2005522585A (fr)
KR (1) KR20040099407A (fr)
CN (2) CN101353810B (fr)
AU (1) AU2003226319A1 (fr)
CA (1) CA2479794A1 (fr)
SG (1) SG159384A1 (fr)
TW (1) TWI274393B (fr)
WO (1) WO2003087436A1 (fr)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1873820A1 (fr) * 2005-04-21 2008-01-02 Ebara Corporation Dispositif de transfert de galettes, dispositif de polissage et procédé de réception de galettes
WO2008057567A2 (fr) * 2006-11-07 2008-05-15 Integrated Dynamics Engineering, Inc. Organe préhenseur sous vide pour la manipulation de substrats très élaborés
WO2008064507A1 (fr) * 2006-11-27 2008-06-05 Tec-Sem Ag Dispositif de transfert pour une installation de transport aérien
CN100419121C (zh) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 湿蚀刻设备
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
EP2222896A2 (fr) * 2007-11-26 2010-09-01 Sunpower Corporation Prévention du placage des bords d'un substrat dans un procédé de placage à la fontaine
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
WO2013154501A1 (fr) 2012-04-09 2013-10-17 Semiconductor Technologies & Instruments Pte Ltd Manipulateur d'extrémité
US8751047B2 (en) 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
US8860955B2 (en) 2007-12-27 2014-10-14 Lam Research Corporation Arrangements and methods for determining positions and offsets
US8954287B2 (en) 2007-12-27 2015-02-10 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
US9269529B2 (en) 2007-12-27 2016-02-23 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
CN106737805A (zh) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 一种多功能机器人夹爪
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
CN108453651A (zh) * 2018-05-24 2018-08-28 明峰医疗系统股份有限公司 一种pet探测器轴定位工装
CN109560029A (zh) * 2017-09-26 2019-04-02 天津环鑫科技发展有限公司 一种自动圆形硅片倒片机构

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101359150B1 (ko) * 2006-08-04 2014-02-05 이 아이 듀폰 디 네모아 앤드 캄파니 기판 캐리어 인클로저
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
EP2187598A4 (fr) * 2007-12-27 2012-01-25 Nec Corp Terminal téléphonique portable
US7901475B2 (en) * 2008-01-18 2011-03-08 Gm Global Technology Operations, Inc. Diesel particulate filter with zoned resistive heater
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
KR101944325B1 (ko) * 2008-09-04 2019-01-31 어플라이드 머티어리얼스, 인코포레이티드 다중 스펙트럼들을 이용한 화학 기계적 연마에서의 종료점 검출
WO2010080997A1 (fr) 2009-01-11 2010-07-15 Applied Materials, Inc. Appareil effecteur d'extrémité électrostatique, systèmes et procédés de transport de substrats
CN101851776B (zh) * 2009-03-30 2011-10-05 昆山中辰矽晶有限公司 硅芯片边缘的处理方法
CN103320846B (zh) * 2010-05-19 2015-12-02 易生科技(北京)有限公司 支架抛光装置和支架抛光方法
TWI410532B (zh) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd 晶圓填孔垂直式電極電鍍設備
CN102140669B (zh) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 硅片电镀铜后的清洗方法
CN102427047B (zh) * 2011-09-28 2014-03-12 上海华力微电子有限公司 晶圆背面清洁设备以及晶圆背面清洁方法
CN102864486A (zh) * 2012-10-24 2013-01-09 哈尔滨电机厂有限责任公司 电解抛光装置
CN104838480B (zh) * 2012-12-10 2018-03-02 盛美半导体设备(上海)有限公司 晶圆抛光方法
JP6114060B2 (ja) * 2013-02-27 2017-04-12 東京エレクトロン株式会社 基板搬送装置、基板受渡位置確認方法及び基板処理システム
CN103510149B (zh) * 2013-10-14 2015-11-18 陈功 一种带电解抛光液的湿式自动抛光方法及其设备
CN105316754B (zh) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
US11008669B2 (en) * 2015-12-04 2021-05-18 Acm Research (Shanghai) Inc. Apparatus for holding a substrate
CN105780101B (zh) * 2016-01-27 2018-06-26 杨继芳 一种新型电解抛光设备
FR3049940B1 (fr) * 2016-04-06 2018-04-13 Saint- Gobain Glass France Dispositif de support pour feuille de verre notamment dans une installation de lavage
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
JP6756540B2 (ja) 2016-08-08 2020-09-16 株式会社荏原製作所 めっき装置、めっき装置の制御方法、及び、めっき装置の制御方法をコンピュータに実行させるためのプログラムを格納した記憶媒体
CN106191983B (zh) * 2016-08-12 2018-06-29 厦门大学 一种用于电化学刻蚀加工的微流控装置及其应用方法
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
WO2019041154A1 (fr) * 2017-08-30 2019-03-07 Acm Research (Shanghai) Inc. Appareil de métallisation
KR102446560B1 (ko) * 2017-09-07 2022-09-26 에이씨엠 리서치 (상하이) 인코포레이티드 도금 척
CN108406568B (zh) * 2018-05-21 2023-08-22 浙江工业大学 一种使用液态金属抛光液对叶片边缘抛光的装置及方法
CN109652850B (zh) * 2018-11-23 2021-01-26 铜陵蓝盾丰山微电子有限公司 多通道片式电镀装置
CN109609996B (zh) * 2018-12-12 2020-12-18 东华大学 经编机槽针批量镀硬铬用组合挂具
CN109712923B (zh) * 2018-12-26 2020-12-11 上海福赛特机器人有限公司 一种晶圆周转装置及晶圆周转方法
CN109676274B (zh) * 2018-12-27 2021-01-15 深圳市大族数控科技有限公司 一种释压机构及具有该释压机构的真空吸附和吸尘装置
CN109759957A (zh) * 2019-02-21 2019-05-17 中国工程物理研究院激光聚变研究中心 环抛中抛光液的循环供液装置及供液方法
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
EP3851916A1 (fr) * 2020-01-17 2021-07-21 ASML Netherlands B.V. Pince d'aspiration, gestionnaire d'objet, appareil à platine et appareil lithographique
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
JP7422586B2 (ja) * 2020-03-30 2024-01-26 東京エレクトロン株式会社 基板処理装置および基板処理方法
CN111693852B (zh) * 2020-06-23 2023-12-22 宏茂微电子(上海)有限公司 一种塑封装元器件开封方法及开封装置
CN114473818B (zh) * 2022-02-28 2023-05-02 南京尚吉增材制造研究院有限公司 用于义齿的组合式调节型抛光夹具
CN114990549B (zh) * 2022-05-30 2024-01-12 东莞海雅特汽车科技有限公司 一种汽车冲压模具铸件表面拉毛处理装置及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3517958A (en) * 1968-06-17 1970-06-30 Ibm Vacuum pick-up with air shield
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4430178A (en) * 1982-05-24 1984-02-07 Cominco Ltd. Method and apparatus for effecting current reversal in electro-deposition of metals
US4600229A (en) * 1984-08-03 1986-07-15 Oten Peter D Vacuum cup
EP0456426B1 (fr) * 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Porte substrat du type à dépression
JPH07136885A (ja) * 1993-06-30 1995-05-30 Toshiba Corp 真空チャック
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5937993A (en) * 1997-01-14 1999-08-17 Tamarac Scientific Co., Inc. Apparatus and method for automatically handling and holding panels near and at the exact plane of exposure
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
CN1191605C (zh) * 1998-11-28 2005-03-02 Acm研究公司 在电镀和/或电抛光期间装载和定位半导体工件的方法与设备
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6279976B1 (en) * 1999-05-13 2001-08-28 Micron Technology, Inc. Wafer handling device having conforming perimeter seal
CN1319130C (zh) * 1999-12-24 2007-05-30 株式会社荏原制作所<Del/> 半导体基片处理装置及处理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6365025B1 (en) * 1998-07-17 2002-04-02 Cutek Research, Inc. Method for depositing and/or removing material on a substrate

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1492907A4 *

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
CN100419121C (zh) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 湿蚀刻设备
EP1873820A4 (fr) * 2005-04-21 2011-07-13 Ebara Corp Dispositif de transfert de galettes, dispositif de polissage et procédé de réception de galettes
US8118640B2 (en) 2005-04-21 2012-02-21 Ebara Corporation Wafer transferring apparatus, polishing apparatus, and wafer receiving method
EP1873820A1 (fr) * 2005-04-21 2008-01-02 Ebara Corporation Dispositif de transfert de galettes, dispositif de polissage et procédé de réception de galettes
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
WO2008057567A3 (fr) * 2006-11-07 2008-06-26 Integrated Dynamics Eng Gmbh Organe préhenseur sous vide pour la manipulation de substrats très élaborés
WO2008057567A2 (fr) * 2006-11-07 2008-05-15 Integrated Dynamics Engineering, Inc. Organe préhenseur sous vide pour la manipulation de substrats très élaborés
WO2008064507A1 (fr) * 2006-11-27 2008-06-05 Tec-Sem Ag Dispositif de transfert pour une installation de transport aérien
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
EP2222896A4 (fr) * 2007-11-26 2013-03-13 Sunpower Corp Prévention du placage des bords d'un substrat dans un procédé de placage à la fontaine
EP2222896A2 (fr) * 2007-11-26 2010-09-01 Sunpower Corporation Prévention du placage des bords d'un substrat dans un procédé de placage à la fontaine
US9269529B2 (en) 2007-12-27 2016-02-23 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
US8860955B2 (en) 2007-12-27 2014-10-14 Lam Research Corporation Arrangements and methods for determining positions and offsets
US8954287B2 (en) 2007-12-27 2015-02-10 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
US8751047B2 (en) 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
EP2837025A4 (fr) * 2012-04-09 2015-11-11 Semiconductor Tech & Instr Inc Manipulateur d'extrémité
WO2013154501A1 (fr) 2012-04-09 2013-10-17 Semiconductor Technologies & Instruments Pte Ltd Manipulateur d'extrémité
US9524897B2 (en) 2012-04-09 2016-12-20 Semiconductor Technologies & Instruments Pte Ltd End handler for film and film frames and a method thereof
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
CN106737805A (zh) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 一种多功能机器人夹爪
CN109560029A (zh) * 2017-09-26 2019-04-02 天津环鑫科技发展有限公司 一种自动圆形硅片倒片机构
CN109560029B (zh) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 一种自动圆形硅片倒片机构
CN108453651A (zh) * 2018-05-24 2018-08-28 明峰医疗系统股份有限公司 一种pet探测器轴定位工装
CN108453651B (zh) * 2018-05-24 2024-05-07 明峰医疗系统股份有限公司 一种pet探测器轴定位工装

Also Published As

Publication number Publication date
TW200402821A (en) 2004-02-16
EP1492907A4 (fr) 2008-01-09
JP2007077501A (ja) 2007-03-29
KR20040099407A (ko) 2004-11-26
CN1653211A (zh) 2005-08-10
SG159384A1 (en) 2010-03-30
CN101353810B (zh) 2012-02-15
AU2003226319A1 (en) 2003-10-27
TWI274393B (en) 2007-02-21
CN101353810A (zh) 2009-01-28
JP2005522585A (ja) 2005-07-28
CN100430526C (zh) 2008-11-05
CA2479794A1 (fr) 2003-10-23
US20050218003A1 (en) 2005-10-06
JP2006319348A (ja) 2006-11-24
EP1492907A1 (fr) 2005-01-05
JP2006328543A (ja) 2006-12-07
JP2007051377A (ja) 2007-03-01

Similar Documents

Publication Publication Date Title
US20050218003A1 (en) Electropolishing and/or electroplating apparatus and methods
JP4108941B2 (ja) 基板の把持装置、処理装置、及び把持方法
JP4067307B2 (ja) 回転保持装置
US7223323B2 (en) Multi-chemistry plating system
US7731802B2 (en) Methods for transitioning a fluid meniscus to and from surfaces of a substrate
US20040238481A1 (en) Electropolishing assembly and methods for electropolishing conductive layers
US20060081478A1 (en) Plating apparatus and plating method
KR102383389B1 (ko) 저항성 기판들 상에서의 최적화된 전기 도금 성능을 위한 웨이퍼 에지의 금속화
KR20060063808A (ko) 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법
US6899111B2 (en) Configurable single substrate wet-dry integrated cluster cleaner
WO2006076088A2 (fr) Douchette multi-zone conçue pour le sechage d&#39;un seul substrat semi-conducteur
KR20110042245A (ko) 도금방법
IL176467A (en) Edge wheel dry manifold
WO2002004715A2 (fr) Commande d&#39;uniformite de depot pour appareil de metallisation et son procede associe
US7967960B2 (en) Fluid-confining apparatus
US9640384B2 (en) Substrate cleaning apparatus and substrate cleaning method
EP1694885A2 (fr) Systeme de galvanisation a chimie multiple
KR102463961B1 (ko) 반도체 기판들을 전기화학적으로 처리하기 위한 장치

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2479794

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 2003746651

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10510522

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020047015977

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003584368

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20038102064

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020047015977

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003746651

Country of ref document: EP