TWI800332B - 用於在基板選擇側沉積的pecvd沉積系統 - Google Patents

用於在基板選擇側沉積的pecvd沉積系統 Download PDF

Info

Publication number
TWI800332B
TWI800332B TW111111545A TW111111545A TWI800332B TW I800332 B TWI800332 B TW I800332B TW 111111545 A TW111111545 A TW 111111545A TW 111111545 A TW111111545 A TW 111111545A TW I800332 B TWI800332 B TW I800332B
Authority
TW
Taiwan
Prior art keywords
deposition
substrate
pecvd
selective side
deposition system
Prior art date
Application number
TW111111545A
Other languages
English (en)
Other versions
TW202227663A (zh
Inventor
法亞茲 謝赫
尼可 恩巴格
柯蒂斯 貝利
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202227663A publication Critical patent/TW202227663A/zh
Application granted granted Critical
Publication of TWI800332B publication Critical patent/TWI800332B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
TW111111545A 2017-08-31 2018-08-30 用於在基板選擇側沉積的pecvd沉積系統 TWI800332B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/692,300 2017-08-31
US15/692,300 US10851457B2 (en) 2017-08-31 2017-08-31 PECVD deposition system for deposition on selective side of the substrate

Publications (2)

Publication Number Publication Date
TW202227663A TW202227663A (zh) 2022-07-16
TWI800332B true TWI800332B (zh) 2023-04-21

Family

ID=65434865

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111111545A TWI800332B (zh) 2017-08-31 2018-08-30 用於在基板選擇側沉積的pecvd沉積系統
TW112109300A TWI832722B (zh) 2017-08-31 2018-08-30 用於在基板選擇側沉積的pecvd沉積系統
TW107130221A TWI762709B (zh) 2017-08-31 2018-08-30 用於在基板選擇側沉積的pecvd沉積系統

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW112109300A TWI832722B (zh) 2017-08-31 2018-08-30 用於在基板選擇側沉積的pecvd沉積系統
TW107130221A TWI762709B (zh) 2017-08-31 2018-08-30 用於在基板選擇側沉積的pecvd沉積系統

Country Status (8)

Country Link
US (5) US10851457B2 (zh)
EP (1) EP3676418A4 (zh)
JP (1) JP7303796B2 (zh)
KR (7) KR20230060549A (zh)
CN (2) CN115613010A (zh)
SG (1) SG11202001651UA (zh)
TW (3) TWI800332B (zh)
WO (1) WO2019046134A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112513324B (zh) * 2018-08-09 2023-04-11 东京毅力科创株式会社 成膜装置和成膜方法
WO2020068254A1 (en) * 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
KR20210111354A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 설정가능한 (configurable) 가스 유출구들을 갖는 샤워헤드
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
US20220189817A1 (en) * 2019-03-15 2022-06-16 Lam Research Corporation Friction stir welding in semiconductor manufacturing applications
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP6860048B2 (ja) * 2019-08-30 2021-04-14 株式会社明電舎 原子層堆積方法
JP2023509451A (ja) * 2020-01-03 2023-03-08 ラム リサーチ コーポレーション 裏面反り補償堆積のステーション間制御
KR20230117632A (ko) 2020-02-11 2023-08-08 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
US20230238223A1 (en) * 2020-06-25 2023-07-27 Lam Research Corporation Carrier rings with radially-varied plasma impedance
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
CN113388826A (zh) * 2021-06-01 2021-09-14 长江存储科技有限责任公司 一种沉积装置和沉积方法
WO2023141162A1 (en) * 2022-01-21 2023-07-27 Lam Research Corporation Apparatuses for backside wafer processing with edge-only wafer contact related application(s)
CN116716590A (zh) * 2023-04-06 2023-09-08 拓荆科技(上海)有限公司 一种背面沉积腔室及化学气相沉积设备
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715403A (en) * 2005-09-12 2007-04-16 Matsushita Electric Ind Co Ltd Plasma processing apparatus
TW200837875A (en) * 2007-01-26 2008-09-16 Lam Res Corp Bevel etcher with vacuum chuck
TW200908136A (en) * 2007-03-16 2009-02-16 Sosul Co Ltd Apparatus for plasma processing and method for plasma processing
TW200930826A (en) * 2007-12-06 2009-07-16 Intevac Inc System and method for dual-sided sputter etch of substrates
CN105088177A (zh) * 2014-05-22 2015-11-25 朗姆研究公司 后侧沉积装置和应用
TW201701318A (zh) * 2015-03-31 2017-01-01 蘭姆研究公司 具有傾斜的限制環之電漿處理系統與結構

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH07110991B2 (ja) * 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
JP3061401B2 (ja) * 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
DE69227575T2 (de) 1991-12-30 1999-06-02 Texas Instruments Inc Programmierbarer Multizonen-Gasinjektor für eine Anlage zur Behandlung von einzelnen Halbleiterscheiben
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19522525A1 (de) * 1994-10-04 1996-04-11 Kunze Concewitz Horst Dipl Phy Verfahren und Vorrichtung zum Feinstreinigen von Oberflächen
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
DE19622402C1 (de) 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
NL1003538C2 (nl) 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5789028A (en) 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
JP3582330B2 (ja) 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6170496B1 (en) 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
NL1011856C2 (nl) 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
US6403479B1 (en) * 2000-03-17 2002-06-11 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
KR100717583B1 (ko) * 2000-08-26 2007-05-15 주성엔지니어링(주) Pecvd 장치
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP4545955B2 (ja) 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
NL1018086C2 (nl) 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP2003027242A (ja) * 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
MY148924A (en) 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
JP2003115483A (ja) 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP4252537B2 (ja) 2002-12-25 2009-04-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US6892769B2 (en) 2003-06-30 2005-05-17 Lg.Philips Lcd Co., Ltd. Substrate bonding apparatus for liquid crystal display device panel
JP4413084B2 (ja) 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20070110895A1 (en) 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
KR101022616B1 (ko) 2004-07-09 2011-03-16 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP4185483B2 (ja) 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
US7217670B2 (en) 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
KR100690491B1 (ko) 2005-02-18 2007-03-09 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4508054B2 (ja) 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
US7666766B2 (en) 2005-09-27 2010-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, method for forming film, and method for manufacturing photoelectric conversion device
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
JP2007242858A (ja) 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
KR100818390B1 (ko) 2006-08-24 2008-04-01 동부일렉트로닉스 주식회사 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
KR20080048243A (ko) * 2006-11-28 2008-06-02 엘지디스플레이 주식회사 플라즈마 화학기상 증착장치
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
KR101357699B1 (ko) * 2007-03-16 2014-02-04 참엔지니어링(주) 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법
KR20080092766A (ko) * 2007-04-13 2008-10-16 (주)소슬 기판 지지대 및 이를 구비하는 플라즈마 처리 장치
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US20090000550A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
WO2009031520A1 (ja) 2007-09-04 2009-03-12 Sharp Kabushiki Kaisha プラズマ処理装置およびプラズマ処理方法ならびに半導体素子
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
KR101432561B1 (ko) 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
SG10201407723PA (en) 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
EP2104135B1 (en) * 2008-03-20 2013-06-12 Siltronic AG A semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
WO2009125951A2 (en) 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR101515150B1 (ko) 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20090118676A (ko) 2008-05-14 2009-11-18 (주)퓨전에이드 기판처리장치
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
CN101358337B (zh) 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
EP2251897B1 (en) 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
US20100314725A1 (en) 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
EP2281921A1 (en) * 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
WO2011035157A2 (en) 2009-09-18 2011-03-24 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP4794685B1 (ja) 2010-10-19 2011-10-19 ミクロ技研株式会社 基板処理装置及び基板処理方法
US8531654B2 (en) 2010-10-21 2013-09-10 Panduit Corp. Method for designing and selecting optical fiber for use with a transmitter optical subassembly
US8470614B2 (en) * 2010-10-28 2013-06-25 Texas Instruments Incorporated PECVD showerhead configuration for CMP uniformity and improved stress
EP2481830A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
EP2481832A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9175391B2 (en) 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8980767B2 (en) 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
WO2014064779A1 (ja) 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理系统及电池制备方法
KR101562192B1 (ko) 2013-02-15 2015-10-22 최대규 플라즈마 반응기
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9783448B2 (en) * 2014-07-31 2017-10-10 Corning Incorporated Thin dicing glass article
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
KR102458230B1 (ko) 2014-01-21 2022-10-21 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
KR101564962B1 (ko) 2014-01-29 2015-11-03 주식회사 루미스탈 기판의 하면 에칭이 가능한 반도체 기판 처리 장치 및 이를 이용한 반도체 기판 처리 방법
KR102014279B1 (ko) 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US11097974B2 (en) 2014-07-31 2021-08-24 Corning Incorporated Thermally strengthened consumer electronic glass and related systems and methods
US10611664B2 (en) * 2014-07-31 2020-04-07 Corning Incorporated Thermally strengthened architectural glass and related systems and methods
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
CN104835712A (zh) * 2015-03-25 2015-08-12 沈阳拓荆科技有限公司 一种应用于半导体等离子体处理装置的弧面喷淋头
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9870917B2 (en) 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US10301718B2 (en) 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US9644271B1 (en) * 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
CN112513324B (zh) 2018-08-09 2023-04-11 东京毅力科创株式会社 成膜装置和成膜方法
CN109273378B (zh) 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
KR102185623B1 (ko) 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
JP2021090042A (ja) * 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200715403A (en) * 2005-09-12 2007-04-16 Matsushita Electric Ind Co Ltd Plasma processing apparatus
TW200837875A (en) * 2007-01-26 2008-09-16 Lam Res Corp Bevel etcher with vacuum chuck
TW200908136A (en) * 2007-03-16 2009-02-16 Sosul Co Ltd Apparatus for plasma processing and method for plasma processing
TW200930826A (en) * 2007-12-06 2009-07-16 Intevac Inc System and method for dual-sided sputter etch of substrates
CN105088177A (zh) * 2014-05-22 2015-11-25 朗姆研究公司 后侧沉积装置和应用
TW201701318A (zh) * 2015-03-31 2017-01-01 蘭姆研究公司 具有傾斜的限制環之電漿處理系統與結構

Also Published As

Publication number Publication date
CN111094620A (zh) 2020-05-01
KR20230015507A (ko) 2023-01-31
US11441222B2 (en) 2022-09-13
KR102490172B1 (ko) 2023-01-18
CN111094620B (zh) 2022-09-09
CN115613010A (zh) 2023-01-17
KR20230107707A (ko) 2023-07-17
EP3676418A1 (en) 2020-07-08
SG11202001651UA (en) 2020-03-30
TW202342808A (zh) 2023-11-01
KR20210157436A (ko) 2021-12-28
KR102490169B1 (ko) 2023-01-18
US11725283B2 (en) 2023-08-15
KR20230060549A (ko) 2023-05-04
JP7303796B2 (ja) 2023-07-05
TWI832722B (zh) 2024-02-11
TWI762709B (zh) 2022-05-01
KR20210157435A (ko) 2021-12-28
TW201930640A (zh) 2019-08-01
US20220162755A1 (en) 2022-05-26
US20220162753A1 (en) 2022-05-26
KR20200038317A (ko) 2020-04-10
US20220162754A1 (en) 2022-05-26
KR102490171B1 (ko) 2023-01-18
TW202227663A (zh) 2022-07-16
US10851457B2 (en) 2020-12-01
KR20230158133A (ko) 2023-11-17
US20210108314A1 (en) 2021-04-15
US20190062918A1 (en) 2019-02-28
WO2019046134A1 (en) 2019-03-07
JP2020532858A (ja) 2020-11-12
US11851760B2 (en) 2023-12-26
EP3676418A4 (en) 2021-07-21

Similar Documents

Publication Publication Date Title
TWI800332B (zh) 用於在基板選擇側沉積的pecvd沉積系統
TWI799494B (zh) 沈積方法
EP3707573A4 (en) SUN SENSITIVE VEHICLE ROUTE PLANNING METHODS AND SYSTEMS
EP3363044A4 (en) SUBSTRATE SUPPORT SYSTEM
EP3539150A4 (en) ACTIVE SHOWERHEAD
EP3430765A4 (en) MULTI-STAGE AUTHENTICATION FOR ONBOARD SYSTEMS
EP3655213A4 (en) SHAVING SYSTEMS
EP3655208A4 (en) SHAVING SYSTEMS
EP3755134A4 (en) SUBSTRATE WORKING SYSTEM
EP3596173A4 (en) INTUMESCENT COATING SYSTEM
EP3386642A4 (en) SYSTEMS AND METHODS FOR SOLUTION COATING OF A SUBSTRATE
EP3638417A4 (en) COATING SYSTEM
EP3596254A4 (en) SELECTIVE DEPOSITION ON SILICON-CONTAINING SURFACES
EP3770082A4 (en) STOCKER SYSTEM
EP3686323A4 (en) GROUP III NITRIDE SINGLE CRYSTAL SUBSTRATE
EP3789266A4 (en) CARRIER SYSTEM
EP3606757A4 (en) DEVICE FOR SPRAY SEPARATION
EP3671341A4 (en) SUBSTRATE
EP3429669A4 (en) INTRA-MOLD SUBSTRATE
IL280881A (en) Selective deposition on surfaces containing silicon
EP3661343A4 (en) SUBSTRATE TREATMENT MANAGEMENT SYSTEM
EP3610053A4 (en) UNIFORM DEPOSITION
EP3535781A4 (en) SUBSTRATE CHARGING SYSTEM
EP3482415A4 (en) SUBSTRATE CARRIER
EP3885824A4 (en) SUBSTRATE