KR20200038317A - 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템 - Google Patents

기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템 Download PDF

Info

Publication number
KR20200038317A
KR20200038317A KR1020207009256A KR20207009256A KR20200038317A KR 20200038317 A KR20200038317 A KR 20200038317A KR 1020207009256 A KR1020207009256 A KR 1020207009256A KR 20207009256 A KR20207009256 A KR 20207009256A KR 20200038317 A KR20200038317 A KR 20200038317A
Authority
KR
South Korea
Prior art keywords
pedestal
substrate
shower
showerhead
gas
Prior art date
Application number
KR1020207009256A
Other languages
English (en)
Other versions
KR102490169B1 (ko
Inventor
파야즈 셰이크
닉 라인버거
커티스 베일리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020217041761A priority Critical patent/KR102490171B1/ko
Priority to KR1020217041758A priority patent/KR102490172B1/ko
Publication of KR20200038317A publication Critical patent/KR20200038317A/ko
Application granted granted Critical
Publication of KR102490169B1 publication Critical patent/KR102490169B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 프로세싱 시스템이 제공된다. 시스템은 챔버, 제어기, 및 챔버 내에 배치된 샤워헤드를 포함한다. 제 1 가스 매니폴드가 제어기로부터의 제어에 응답하여 제 1 가스 소스로부터 제 1 가스를 제공하기 위해 샤워헤드에 연결된다. 샤워-페데스탈이 챔버 내에 배치되고 샤워헤드 맞은편에 배향된다. 제 2 가스 매니폴드가 제어기로부터의 제어에 응답하여 제 2 가스 소스로부터 제 2 가스를 제공하기 위해 샤워-페데스탈에 연결된다. 샤워-페데스탈로부터 이격된 관계로 기판을 홀딩하기 위한 기판 지지부가 제공된다. 플라즈마를 생성하도록 샤워헤드에 전력을 제공하기 위한 무선 주파수 (RF) 전력 공급부가 제공된다. 챔버 내에 존재한다면 기판의 후면 상에 막을 증착하기 위해 플라즈마가 사용된다. 기판은 후면 증착 동안 샤워-페데스탈로부터 이격된 관계로 기판 지지부에 의해 홀딩된다. 샤워헤드는 후면 증착 동안 퍼지 가스를 제공한다.

Description

기판의 선택된 측면 상의 증착을 위한 PECVD 증착 시스템
본 실시예들은 반도체 웨이퍼 프로세싱 장비 툴들에 관한 것이고, 보다 구체적으로, 상단면 상에 보다 많은 층들이 형성될 때 웨이퍼 보잉 (bowing) 을 상쇄하기 위해 후면 증착을 가능하게 하기 위한 페데스탈 (pedestal) 구성들을 갖는 챔버들에 관한 것이다.
PECVD (Plasma-Enhanced Chemical Vapor Deposition : 플라즈마-향상된 화학적 증기 증착) 는 웨이퍼와 같은 기판 상에 가스 상태 (즉, 증기) 로부터 고체 상태로 박막들을 증착하도록 사용되는 플라즈마 증착의 유형이다. PECVD 시스템들은 액체 전구체를 챔버로 전달되는 증기 전구체로 변환한다. PECVD 시스템들은 증기 전구체를 생성하기 위해 제어된 방식으로 액체 전구체를 기화시키는 기화기 (vaporizer) 를 포함할 수도 있다. 통상적으로, PECVD를 위해 사용된 챔버들은 프로세싱 동안 웨이퍼를 지지하기 위해 세라믹 페데스탈들을 사용하고, 이는 고온 하에서 프로세싱을 가능하게 한다.
통상적으로, 디바이스들을 형성하기 위한 대부분의 증착 및 다른 프로세싱은 웨이퍼의 전면, 예를 들어 상단면 상에서 발생한다. 증착된 층들이 축적되면서, 웨이퍼에 응력을 도입할 수 있다. 이 응력은 웨이퍼로 하여금 보우되게 할 수 있고, 이는 바람직하지 않다. 보잉이 상당하면, 후속 프로세싱 단계들에 해로운 영향을 줄 수 있다.
가끔, 웨이퍼의 후면 상에 재료들을 증착하는 것이 웨이퍼 보잉 및 응력을 상쇄시킬 수도 있다. 그러나, 웨이퍼의 후면에 증착하기 위해, 웨이퍼는 뒤집히고 (flipped) 후면이 위로 로딩되어야 한다. 웨이퍼를 뒤집는 것은 추가 처리, 입자로의 잠재적 노출, 및/또는 프로세싱 수율 감소와 같은 추가 문제들을 도입한다.
이러한 맥락에서 발명들이 발생한다.
본 개시의 실시예들은 상단면 층 증착 동안 웨이퍼 뒤틀림 (warping) 을 상쇄하기 위해 후면 기판 증착을 위한 구현예들을 제공한다. 본 명세서에 개시된 시스템들 및 방법은 플라즈마 프로세싱 챔버의 샤워헤드에 맞은편에 위치되는 샤워-페데스탈을 포함한다. 샤워-페데스탈은 복수의 홀들로부터 프로세스 가스들을 공급하도록 구성되고, 이는 기판의 후면 상으로 재료들의 증착을 위한 프로세스 가스들의 전달을 가능하게 한다. 일 실시예에서, 기판은 기판의 후면이 증착 가스들에 노출되도록 샤워-페데스탈로부터 이격되는 캐리어 링에 의해 홀딩되도록 구성된다. 일 실시예에서, 샤워헤드는 후면 증착 가스들이 기판의 상단면 상에 재료들 층들을 형성하는 것을 방지하기 위해 퍼지 가스들, 예를 들어 불활성 가스들을 공급하도록 구성된다.
일 실시예에서, 플라즈마 프로세싱 시스템이 개시된다. 시스템은 챔버, 제어기, 및 챔버 내에 배치된 샤워헤드를 포함한다. 제 1 가스 매니폴드가 제어기로부터의 제어에 응답하여 제 1 가스 소스로부터 제 1 가스를 제공하기 위해 샤워헤드에 연결된다. 샤워-페데스탈이 챔버 내에 배치되고 샤워헤드 맞은편에 배향된다. 제 2 가스 매니폴드가 제어기로부터의 제어에 응답하여 제 2 가스 소스로부터 제 2 가스를 제공하기 위해 샤워-페데스탈에 연결된다. 샤워-페데스탈로부터 이격된 관계로 기판을 홀딩하기 위한 기판 지지부가 제공된다. 플라즈마를 생성하도록 샤워헤드에 전력을 제공하기 위한 무선 주파수 (RF) 전력 공급부가 제공된다. 챔버 내에 존재한다면 기판의 후면 상에 막을 증착하기 위해 플라즈마가 사용된다. 기판은 후면 증착 동안 샤워-페데스탈로부터 이격된 관계로 기판 지지부에 의해 홀딩된다. 샤워헤드는 후면 증착 동안 퍼지 가스를 제공한다.
또 다른 실시예에서, 샤워헤드 및 샤워헤드 아래에 배향된 샤워-페데스탈을 갖는 플라즈마 프로세싱 시스템에서 기판을 프로세싱하기 위한 방법이 제공된다. 방법은 샤워헤드와 샤워-페데스탈 사이에 캐리어 링을 제공하는 단계를 포함한다. 캐리어 링은 기판을 지지하도록 구성된다. 이후, 기판의 후면을 향하는 방향으로 샤워-페데스탈로부터 프로세스 가스를 흘리는 단계이다. 시스템은 플라즈마 프로세싱 시스템의 전극에 전력을 인가할 것이다. 전력은 샤워-페데스탈의 상단 표면과 기판의 후면 사이의 영역에서 플라즈마를 생성하도록 구성된다. 플라즈마는 기판의 후면 상에 증착되는 프로세스 가스로부터 재료 층을 생성하도록 구성된다. 방법은 기판의 상단면을 향하는 방향으로 샤워헤드로부터 불활성 가스를 흘리는 단계를 더 포함한다. 불활성 가스의 흐름은 프로세스 가스의 흐름 동안 진행되도록 구성된다. 재료 층이 기판의 후면 상에 증착되는 동안 상단면 상의 증착을 방지하기 위해 프로세스 가스가 불활성 가스를 사용하여 기판의 상단면으로부터 퍼지된다.
도 1a 및 도 1b는 일부 실시예들에 따른 후면 웨이퍼 증착을 위해 구성될 수 있는 기판 프로세싱 시스템들을 예시한다.
도 2는 일 실시예에 따른 복수의 스테이션 프로세싱 툴의 상면도를 예시하고, 네 개의 프로세싱 스테이션들이 제공된다.
도 3은 일 실시예에 따른 인바운드 로드 록 (inbound load lock) 및 아웃바운드 로드 록 (outbound load lock) 이 있는 복수의 스테이션 프로세싱 툴의 일 실시예의 개략도를 도시한다.
도 4a는 기판 (128) 이 샤워-페데스탈의 상단 표면으로부터 분리 거리로 올려질 때 샤워-페데스탈의 에지의 단면도를 제공한다.
도 4b는 일 실시예에 따른 기판의 후면 증착 동안 분리 거리에서 캐리어 링을 지지하도록 활용된 스페이서들 (spacers) 의 상면도를 예시한다.
도 5a 및 도 5b는 일 실시예에 따른 기판 상으로 후면 증착을 수행하는 동안 분리 거리에서 캐리어 링을 지지하는 스페이서들을 도시하는 챔버 도면들을 예시한다.
도 6a 및 도 6b는 일 실시예에 따른 기판 상으로 후면 증착을 수행하는 동안 분리 거리에서 캐리어 링을 지지하도록 활용된 스파이더 포크들 (spider forks) 을 도시하는 챔버 도면들을 예시한다.
도 7a 내지 도 7c는 일부 실시예들에 따른 전체 패턴이 미세한 오리피스들 (orifices) 의 동심 링들을 갖는 샤워-페데스탈의 상면도를 도시하며, 중심 반경 영역들, 중간 반경 영역들, 외측 반경 영역들 및 상이한 세그멘테이션들 (segmentations) 을 포함하는 상이한 반경 영역들에 대해 규정될 수 있는 다양한 존들 (zones) 을 도시한다.
도 8은 일 실시예에 따른 시스템들을 제어하기 위한 제어 모듈을 도시한다.
본 개시의 실시예들은 반도체 웨이퍼들을 프로세싱하기 위해 사용되는 프로세싱 챔버의 실시예들을 제공한다. 일 구현예에서, 챔버는 기판의 상단면 상에 보다 많은 층들이 형성될 때 웨이퍼 보잉 및/또는 응력들을 상쇄하도록 후면 증착을 가능하게 하는 페데스탈 구성으로 구성된다.
본 적용예에서, 용어들 “반도체 웨이퍼”, “웨이퍼”, “기판”, “웨이퍼 기판”, 및 “부분적으로 제조된 집적 회로” 는 상호 교환 가능하게 사용된다. 당업자는 용어 “부분적으로 제조된 집적 회로” 가 임의의 집적 회로 제조의 많은 단계들 동안 실리콘 웨이퍼를 참조할 수 있다는 것을 이해할 수 있다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 또는 300 mm의 직경을 갖지만, 산업은 450 mm 직경의 기판들을 채택하는 방향으로 이동하고 있다. 본 명세서의 기술은 웨이퍼 기판의 상이한 측면들을 기술하기 위해 용어들 “전면” 및 “후면”을 사용한다. 전면은 대부분의 증착 및 프로세싱이 발생하는 곳이고, 반도체 디바이스들 자체가 제조되는 곳이라는 것이 이해된다. 후면은 웨이퍼의 반대 측면이고, 통상적으로 제조 동안 최소한의 프로세싱을 경험하거나 프로세싱이 없다.
본 명세서에 제공된 플로우 레이트들 및 전력 레벨들은 달리 명시되지 않는 한, 300 mm 기판에 대한 프로세싱에 적절하다. 당업자들은 이들 플로우들 및 전력 레벨들이 다른 사이즈들의 기판들에 대해 필요에 따라 조정될 수도 있는 것을 인식할 것이다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현된다고 추정한다. 그러나, 본 발명은 이렇게 한정되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들 등과 같은 다양한 물품들을 포함한다.
발전된 메모리 및 로직 칩들을 제작하는 복수의 레벨 반도체 프로세싱 흐름은 기판들로 하여금을 압축 및 인장 방향들에서 상당히 뒤틀리게 한다. 이러한 보통에서 심각으로 기판 뒤틀림들로 인해, 다양한 제작 프로세스들의 프로세싱 조건들이 절충되어 프로세스 제어 문제들, 리소그래피 척킹 (chucking) 및 오버레이 (overlay) 문제들을 유발하고, 가끔 수율 손실의 증가를 유발한다. 일 실시예에서, 뒤틀림을 제어하는 한 가지 방법은 반대 방향으로 뒤틀림을 보상하기 위해 기판의 반대편 측면 (즉, 후면) 상에 희생 막 또는 복수의 막들을 증착하여 기판의 평탄화를 발생시키는 것이다. 종래의 듀얼 전극 RF PECVD 시스템들은 RF 또는 접지일 수 있는 하나의 가스 흐름 전극을 갖는다. 통상적으로, 가스 흐름 전극 (또한 샤워헤드 (104) 로 지칭됨) 은 PECVD 반응기의 상단면 상에 있어서 반응물질들이 웨이퍼의 전면 상에 흐르게 하여 웨이퍼의 전면 상에만 증착을 유발한다.
일 실시예에 따라, 듀얼 가스 흐름 전극들을 갖는 RF PECVD 시스템이 개시된다. 전극들 중 어느 하나가 CVD 막 증착들을 위해 플라즈마 향상을 가능하게 하는 AC 필드들을 제공하는 RF 전극일 수 있다. 이 듀얼 가스 흐름 전극 PECVD 시스템은 웨이퍼의 양면 또는 일 측면 상에만 막들을 선택적으로 증착할 수 있다. 일례에서, 가스 흐름 페데스탈 (본 명세서에 “샤워-페데스탈” 또는 “show-ped”로 지칭됨) 은 장비 설정에 기반한 표준 이송 메커니즘들을 통해 인접한 스테이션들 사이의 챔버 내에서 또는 챔버 외부에서 이송을 위해 웨이퍼를 홀딩할 수 있지만, 웨이퍼의 후면으로부터 가스들을 흘리는 것이 가능하다.
일 실시예에서, 전면 가스 플로우가 웨이퍼의 전면 상에 증착할 수 있는 반면 후면 가스 플로우는 웨이퍼의 후면 상에 PECVD 증착을 가능하게 한다. 시스템은 막 증착을 유발하는 반응물질들을 턴 온하고 턴 오프하고 비반응 가스들 (예를 들어, 불활성 가스들) 과 대체함으로써 증착의 측면을 선택적으로 가능하게 하도록 설정될 수 있다. 이 시스템의 또 다른 양태는 반응물질 흐름 가스들로부터 기판의 측면의 거리를 제어하는 것이 가능하다. 이 제어는 후면 보상과 같은 적용예들에 필요한 증착 프로파일 및 막 특성들을 달성하는 것을 가능하게 한다.
또 다른 실시예에서, 샤워-페데스탈 (show-ped) 및 샤워헤드는 웨이퍼의 후면, 또는 전면 상의 PECVD 증착 프로세스들을 위해 적절한 반응물질 혼합 및 적절한 플로우 동역학들을 제공하는 것을 가능하게 하는 샤워헤드-유사 피처들을 제공하는 구성들을 포함한다. 부가적으로, 일부 실시예들은 증착을 위해 웨이퍼의 목표된 (하나 또는 두) 측면들 상에 플라즈마를 억제 또는 허용할 수 있는 제어 가능한 갭에 가능하다. 제어되는 갭들은, 예를 들어 웨이퍼의 상단면과 샤워헤드 (104) 의 상단 표면 사이의 갭 간격, 및 웨이퍼의 후면과 샤워-페데스탈 (106) 의 상단 표면 사이의 갭 간격을 포함할 수 있다. 예를 들어, 웨이퍼의 후면이 증착되는 동안, 웨이퍼의 상단면과 샤워헤드의 상단 표면 사이의 갭은 최소화된다. 예시로서, 이 분리는 약 2 mm 내지 약 0.5 mm이고, 또 다른 실시예에서 (웨이퍼 보잉 한계들에 따라) 약 1 mm 내지 약 0.5 mm이다.
샤워헤드-페데스탈 (106) 은 가스들의 고른 분배를 위해 (즉, 웨이퍼의 하단을 향해 프로세스 가스들이 전달되게 하기 위해) 샤워헤드 홀 패턴 및 내측 플레넘들을 포함하도록 더 구성된다. 실시예들은 또한 적절한 온도로 프로세스 가스를 획득하기 위해 가스 흐름 페데스탈 (즉, 샤워-페데스탈) 로 하여금 능동 가열기를 갖도록 한다. 샤워-페데스탈 (106) 과 샤워헤드 (104) 의 조합은 핵심 속성들 모두의 동시 기능을 허용한다. 일 실시예에서, 샤워-페데스탈 (106) 은 여전히 웨이퍼를 가열하고 반응기 챔버 내에서 또는 반응기 외부로 웨이퍼 이송 특징들을 제공할 수 있지만, 샤워헤드 (104) 컴포넌트들은 프로세스 가스 플로우를 허용한다. 본 명세서에 개시된 가스 흐름 페데스탈 (즉, 샤워-페데스탈) 은 따라서 종래의 PECVD 프로세스들의 구현예가 선택적으로 웨이퍼의 양 측면 상에 증착하게 한다. 이 구성들은 또한 상단 또는 하단 전극에 선택적으로 RF 전력으로 구성되고, 증착이 필요한 웨이퍼의 측면 상에 플라즈마를 동적으로 인에이블하거나/디스에이블하도록 (enable/disable) 구성된다.
일반적으로 말하면, 샤워-페데스탈은 웨이퍼의 후면 상에 막을 증착시킴으로써 응력 및 보잉 문제들을 방지하기 위한 몇 가지 이점들을 제공한다. 후면 막은 보잉을 보이지 않는 (또는 실질적으로 보잉이 없는, 예를 들어, 약 150 μm 미만의 보우 (bow)) 중립 응력 (또는 실질적으로 중립 응력, 예를 들어, 약 +/- 150 MPa 미만) 웨이퍼를 발생시키도록 전면 증착으로부터 응력을 상쇄시킨다. 전면 상에 증착된 막이 인장성이면, 이후 후면 막 또한 전체적인 응력의 균형을 맞추기 위해 인장성이어야 한다. 마찬가지로, 전면 막이 압축성이면, 이후 후면 막 또한 압축성이어야 한다. 후면 막은 다양한 반응 메커니즘들 (예를 들어, CVD (Chemical Vapor Deposition), PECVD, ALD (Atomic Layer Deposition), PEALD (Plasma Enhanced Atomic Layer Deposition), LPCVD (Low Pressure Chemical Vapor Deposition)) 을 통해 증착될 수도 있다. 다양한 경우들에서, PECVD가 이 유형의 반응에서 달성된 높은 증착 레이트로 인해 사용된다.
특정한 증착 파라미터들이 목표된 응력 레벨을 갖는 후면 막을 생성하도록 튜닝될 수 있다. 이들 증착 파라미터들 중 하나는 증착된 후면 막의 두께이다. 보다 두꺼운 막들은 웨이퍼에 보다 큰 응력을 유도하지만, (동일한 조건들 하에서 증착된 그리고 동일한 조성들의) 보다 얇은 막들은 웨이퍼에 보다 작은 응력을 유도한다. 따라서, 후면 층 형성에 소비된 재료의 양을 최소화하기 위해, 이 층은 고 응력 막의 형성을 촉진하는 조건들 하에서 상대적으로 얇게 증착될 수도 있다.
언급한 바와 같이, 증착된 재료들의 스택들은 특히 웨이퍼 응력 및 보잉을 발생시키는 경향이 있다. 이들 문제들을 유발할 수도 있는 일 예시적인 스택은 옥사이드 및 나이트라이드의 교번하는 층들 (예를 들어, 실리콘 옥사이드 (silicon oxide)/실리콘 나이트라이드 (silicon nitride)/실리콘 옥사이드/실리콘 나이트라이드, 등) 을 갖는 스택이다. 보잉을 발생시키기 쉬운 또 다른 예시적인 스택은 옥사이드 및 폴리실리콘 (polysilicon) 은 교번하는 층들 (예를 들어, 실리콘 옥사이드/폴리실리콘/실리콘 옥사이드/폴리실리콘, 등) 을 포함한다. 문제가 있을 수도 있는 스택 재료들의 다른 예들은 텅스텐 및 티타늄 나이트라이드 (titanium nitride) 를 포함하지만, 이에 제한되지 않는다. 스택들의 재료들은 PECVD, LPCVD, MOCVD (Metal Organic Chemical Vapor Deposition), ALD, PEALD와 같은 화학적 기상 증착 기법들을 통해, 또는 DMD (Direct Metal Deposition), 등을 통해 증착될 수도 있다. 이들 예들은 제한하는 것으로 의도되지 않았다. 개시된 특정한 실시예들은 웨이퍼의 전면 상에 존재하는 재료로 인해 웨이퍼 응력 및/또는 보잉이 유도될 때마다 유용할 수도 있다.
전면 스택들은 임의의 수의 층들 및 두께들로 증착될 수도 있다. 통상적 예에서, 스택은 약 32 내지 72 개의 층들을 포함하며, 약 2 내지 4 mm의 총 두께를 갖는다. 스택에 의해 웨이퍼에 유도된 응력은 흔히 (300 mm 웨이퍼에 대해) 약 200 내지 400 μm이고, 일부 경우들에서 훨씬 큰 보우를 발생시키는 약 -500 MPa 내지 약 +500 MPa일 수도 있다.
다양한 실시예들에서 웨이퍼의 후면 상에 증착된 재료는 유전체 재료일 수도 있다. 일부 경우들에서, 옥사이드 및/또는 나이트라이드 (예를 들어, 실리콘 옥사이드/실리콘 나이트라이드) 가 사용된다. 사용될 수도 있는 실리콘-함유 반응물질들의 예들은 실란들 (silanes), 할로실란들 (halosilanes), 및 아미노실란들 (aminosilanes) 을 포함하지만, 이에 제한되지 않는다. 실란이 수소 족 및/또는 탄소 족을 포함하지만, 할로겐은 포함하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란 (methylsilane), 에틸실란 (ethylsilane), 이소프로필실란 (isopropylsilane), t-부틸실란 (t-butylsilane), 디메틸실란 (dimethylsilane), 디에틸실란 (diethylsilane), 디-t-부틸실란 (di-t-butylsilane), 알릴실란 (allylsilane), sec-부틸실란 (sec-butylsilane), 덱실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란 (t-butyldisilane), 디-t-부틸디실란 (di-t-butyldisilane), 등과 같은 유기 실란들이다. 할로실란은 적어도 하나의 할로겐 족을 포함하고, 수소 족 및/또는 탄소 족을 포함하거나 포함하지 않을 수도 있다. 할로실란들의 예들은 요오도실란들 (iodosilanes), 브로모실란들 (bromosilanes,), 클로로실란들 (chlorosilanes), 및 플루오로실란들 (fluorosilanes) 이다. 할로실란들, 특히 플루오로실란들이 본 명세서에 기술된 특정한 실시예들에서 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종을 형성할 수도 있지만, 실리콘-함유 반응물질들은 플라즈마가 스트라이킹될 때 존재하지 않는다. 특정한 클로로실란들은 테트라클로로실란 (SiCl4), 트리클로로실란 (HSiCl3), 디클로로실란 (H2SiCl2), 모노클로로실란 (ClSiH3), 클로로알릴실란 (chloroallylsilane), 클로로메틸실란 (chloromethylsilane), 디클로로메틸실란 (dichloromethylsilane), 클로로디메틸실란 (chlorodimethylsilane), 클로로에틸실란 (chloroethylsilane), t-부틸클로로실란 (t-butylchlorosilane), 디-t-부틸클로로실란 (di-t-butylchlorosilane), 클로로이소프로필실란 (chloroisopropylsilane), 클로로-sec-부틸실란 (chloro-sec-butylsilane), t-부틸디메틸클로로실란 (t-butyldimethylchlorosilane), 덱실디메틸클로로실란 (thexyldimethylchlorosilane), 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 수소, 산소, 할로겐, 및 탄소를 또한 포함할 수도 있다. 아미노실란들의 예들은 모노아미노실란, 디아미노실란, 트리아미노실란, 및 테트라아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 일 뿐만 아니라, 치환된 모노아미노실란, 디아미노실란, 트리아미노실란, 및 테트라아미노실란, 예를 들어, t-부틸아미노실란 (t-butylaminosilane), 메틸아미노실란 (methylaminosilane), tert-부틸실란아민 (tert-butylsilanamine), 비스(3차부틸아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸실릴카바메이트, SiH(CH3)―(N(CH3)2)2, SiHCl―(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가 예는 트리실릴아민 (N(SiH3)) 이다. 다른 잠재적 실리콘-함유 반응물질들은 테트라에틸 오르토실리케이트 (TEOS), 및 테트라메톡시실란 (TMOS), 플루오로트리에톡시실란 (FTES), 트리메틸실란 (TMS), 옥타메틸테트라사이클로실록산 (OMCTS), 테트라메틸사이클로테트라실록산 (TMCTSO), 디메틸디메톡시실란 (DMDS), 헥사메틸디실라잔 (HMDS), 헥사메틸디실록산 (HMDSO), 헥사메틸사이클로트리실록산 (HMCTSO), 디메틸디에톡시실란 (DMDEOS), 메틸트리메톡시실란 (MTMOS), 테트라메틸디실록산 (TMDSO), 디비닐테트라메틸디실록산 (VSI2), 메틸트리에톡시실란 (MTEOS), 디메틸테트라메톡시디실록산 (DMTMODSO), 에틸트리에톡시실란 (ETEOS), 에틸트리메톡시실란 (ETMOS), 헥사메톡시디실란 (HMODS), 비스(트리에톡시실릴)에탄 (BTEOSE), 비스(트리메톡시실릴)에탄 (BTMOSE), 디메틸에톡시실란 (DMEOS), 테트라에톡시디메틸디실록산 (TEODMDSO), 테트라키스(트리메틸실록시)실란 (TTMSOS), 테트라메틸디에톡시디실록산 (TMDEODSO), 트리에톡시실란 (TIEOS), 트리메톡시실란 (TIMEOS), 또는 테트라프로폭시실란 (TPOS) 을 포함한다.
예시적인 질소-함유 반응물질들은 암모니아, 하이드라진, 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진과 같은 아민류 (예를 들어, 탄소 함유 아민), 뿐만 아니라 아닐린류, 피리딘류, 및 벤질아민류와 같은 방향족 함유 아민을 포함하지만, 이에 제한되지는 않는다. 아민류는 1차, 2차, 3차 또는 4차 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. 질소-함유 반응물질은, 질소 이외의 헤테로원자들을 함유할 수 있으며, 예를 들어 하이드록실아민, t-부틸옥시카보닐아민 및 N-t-부틸하이드록실아민은 질소 함유 반응물이다.
산소-함유 공반응물질들의 예들은 산소, 오존, 아산화질소, 일산화탄소, 산화질소, 이산화질소, 산화황, 이산화황, 산소-함유 탄화수소 (CxHyOz), 물, 이들의 혼합물들, 등을 포함한다.
이들 반응물질들의 플로우 레이트는 후면 층이 증착되는 반응의 유형에 크게 의존할 것이다. 후면 층을 증착하기 위해 CVD/PECVD가 사용되는 경우, 실리콘-함유 반응물의 플로우 레이트는 약 0.5 내지 10 mL/분 (원자화 전), 예를 들어 약 0.5 내지 5 mL/분일 수도 있다. 질소-함유 반응물질, 산소-함유 반응물질, 또는 다른 공반응물질의 플로우 레이트는 약 3 내지 25 SLM, 예를 들어 약 3 내지 10 SLM일 수도 있다.
특정한 구현예들에서 후면 층은 추가 프로세싱 후 제거될 수도 있다. 이러한 경우에, 후면 층의 조성은 적절한 시간에 기판으로부터 쉽게 제거될 수 있도록 선택되어야 한다. 이와 관련하여, 목표된 제거 화학물질에서 후면 층의 재료 (예를 들어, 유전체) 와 하부 기판의 재료 (예를 들어, 실리콘) 사이에 높은 선택성이 있어야 한다.
후면 층의 최적 두께는 웨이퍼의 전면 상의 증착에 의해 유도된 응력의 양뿐만 아니라, 후면 층이 증착되는 조건들에 의존할 것이다. 후면 층은 웨이퍼의 응력을 무시할 수 있게 되는 (예를 들어, 약 150 MPa 미만) 두께로 증착될 수도 있다. 이들 또는 다른 실시예들에서, 후면 층은 웨이퍼 보우를 무시할 수 있게 되는 (예를 들어, 약 150 μm 미만의 보우) 두께로 증착될 수도 있다. 일부 경우들에서, 이는 약 0.1 내지 2 μm 두께, 예를 들어 약 0.3 내지 2 μm, 또는 약 0.1 내지 1 μm, 또는 약 0.3 내지 1 μm의 후면 층 두께에 대응한다. 실리콘 나이트라이드가 후면 층을 형성하도록 사용되면, 약 0.3 μm의 두께를 갖는 막이 약 50 내지 200 μm의 보우를 완화시키기에 충분하다. 전술한 바와 같이, 보다 높은 응력의 후면 층이 요구된 두께를 감소시키도록 사용될 수도 있다. 이는 재료들을 절약하고 비용을 감소시키도록 돕는다. 후면 증착 기법들에 관한 보다 많은 정보를 위해, 본 출원과 동일한 양수인에 의해 소유되고, 본 명세서에 참조로 인용된 미국 특허 출원 번호 제 14/285,554 호에 대한 참조가 이루어질 수도 있다.
본 실시예들이 프로세스, 장치, 시스템, 디바이스, 또는 방법과 같은 다양한 방식들로 구현될 수 있다는 것을 인식해야 한다. 몇 가지 실시예들이 이하에 기술된다.
막들의 증착은 일 실시예에서 PECVD 시스템에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼를 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 챔버 각각은 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (위치 내에서 움직임이 있거나 없는, 예를 들어 회전, 진동, 또는 다른 교반) 에서 웨이퍼를 유지한다. 증착을 겪는 웨이퍼가 프로세스 동안 반응기 챔버 내 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 물론, 막 증착은 단일 스테이션에서 전적으로 발생할 수도 있고, 또는 막의 임의의 부분이 임의의 수의 스테이션들에서 증착될 수도 있다.
프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 특정한 동작들에 대해, 장치는 웨이퍼를 가열하기 위한 가열 플레이트와 같은 가열기를 포함할 수도 있다.
도 1a는 웨이퍼 (128) 를 프로세싱하기 위해 사용되는 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 챔버 (102) 를 포함한다. 중앙 기둥은 기판 (128) 의 상단 표면이 프로세싱될 때, 예를 들어 막이 상단 표면 상에 형성될 때 페데스탈을 지지하도록 구성된다. 본 명세서에 개시된 실시예들에 따라, 페데스탈은 샤워헤드-페데스탈 (“show-ped”) (106) 로 지칭된다. 샤워헤드 (104) 가 샤워헤드-페데스탈 (106) 위에 배치된다. 일 실시예에서, 샤워헤드 (104) 는 매칭 네트워크 (125) 를 통해 전력 공급부 (122) 에 전기적으로 커플링된다. 전력 공급부 (122) 는 제어 모듈 (120), 예를 들어, 제어기에 의해 제어된다. 다른 실시예들에서, 전력을 샤워헤드 (104) 대신 샤워헤드-페데스탈 (106) 에 제공하는 것이 가능하다. 제어 모듈 (120) 은 특정한 레시피들에 대한 프로세스 입력 및 제어를 실행함으로써 기판 프로세싱 시스템 (100) 을 작동 시키도록 구성된다. 기판 (128) 의 상단 표면이 증착된 막을 수용하는지, 또는 기판 (128) 의 하단 표면이 증착된 막을 수용하는지 여부에 따라, 제어기 모듈 (120) 은, 예를 들어 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (128) 의 기계적 이동, 샤워헤드-페데스탈 (106) 로부터 웨이퍼 (128) 의 높이 등과 같은 프로세스 레시피에 대한 다양한 동작 입력들을 설정한다.
중앙 기둥은 또한 리프트 핀 제어에 의해 제어되는 리프트 핀들을 포함할 수 있다. 리프트 핀들은 엔드-이펙터로 하여금 웨이퍼를 픽킹 (pick) 하게 하도록 샤워헤드-페데스탈 (106) 로부터 웨이퍼 (128) 를 상승시키고 엔드 이펙터 단부에 의해 배치된 후 웨이퍼 (128) 를 하강하게 하도록 사용된다. 엔드 이펙터 (미도시) 는 또한 스페이서들 (130) 위에 웨이퍼 (128) 를 배치할 수 있다. 이하에 기술될 바와 같이, 스페이서들 (130) 은 샤워헤드 (104) 의 (웨이퍼 대면) 상단 표면과 샤워헤드-페데스탈 (106) 의 (웨이퍼 대면) 상단 표면 사이에서 웨이퍼 (128) 의 제어된 분리를 제공하도록 크기가 정해진다.
기판 프로세싱 시스템 (100) 은 가스 소스들 (110), 예를 들어 설비로부터 가스 화학물질 공급부들 및/또는 불활성 가스들에 연결되는 가스 매니폴드 (108) 를 더 포함한다. 기판의 상단 표면 위에 수행되는 프로세싱에 따라, 제어 모듈 (120) 은 가스 매니폴드 (108) 를 통한 가스 소스들 (110) 의 전달을 제어한다. 선택된 가스들은 이후 샤워헤드 (104) 내로 흐르고, 웨이퍼가 페데스탈 (140) 위에 놓일 때 웨이퍼 (128) 와 대면하는 샤워헤드 (104) 의 면 사이에 규정된 공간 볼륨에 분배된다.
기판 프로세싱 시스템 (100) 은 가스 소스들 (114), 예를 들어 설비로부터 가스 화학물질 공급부들 및/또는 불활성 가스들에 연결되는 가스 매니폴드 (112) 를 더 포함한다. 기판의 하단 표면 위에 수행되는 프로세싱에 따라, 제어 모듈 (120) 은 가스 매니폴드 (112) 를 통한 가스 소스들 (114) 의 전달을 제어한다. 선택된 가스들은 이후 샤워헤드 (104) 내로 흐르고, 웨이퍼가 스페이서들 (130) 상에 놓일 때 웨이퍼 (128) 의 하부 표면/측면을 대면하는 샤워헤드-페데스탈 (106) 의 표면 사이에 규정된 공간 볼륨에 분배된다. 스페이서들 (130) 은 웨이퍼의 상단 표면 위의 증착을 감소시키는 동안, 웨이퍼 (128) 의 하단 표면으로의 증착을 최적화하는 분리를 제공한다. 일 실시예에서, 증착이 웨이퍼 (128) 의 하단 표면을 타겟팅하는 동안, 불활성 가스는 샤워헤드 (104) 를 통해 웨이퍼 (128) 의 상단 표면 위로 흐르고, 이는 반응물질 가스를 상단 표면으로부터 멀어지게 밀어내고, 샤워헤드-페데스탈 (106) 로부터 제공된 반응물질 가스들로 하여금 웨이퍼 (108) 의 하단 표면으로 지향되게 한다.
또한, 가스들은 사전 혼합되거나 혼합되지 않을 수도 있다. 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 올바른 가스들이 전달되는 것을 보장하도록 적절한 밸브 및 질량 유량 제어 메커니즘들이 채용될 수도 있다. 프로세스 가스들은 유출구를 통해 챔버를 빠져나간다. 진공 펌프 (예를 들어, 1단계 또는 2단계 기계적 건식 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고, 쓰로틀 (throttle) 밸브 또는 진자 (pendulum) 밸브와 같은 폐 루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 낮은 압력을 유지한다.
또한 도시된 것은 샤워헤드-페데스탈 (106) 의 외측 영역을 둘러싸는 캐리어 링 (124) 이다. 웨이퍼 (128) 의 상단 표면이 프로세싱될 때, 예를 들어 재료가 그 위에 증착될 때, 캐리어 링 (124) 은 페데스탈 샤워헤드-페데스탈 (106) 의 중심부의 웨이퍼 지지 영역으로부터 스텝 다운 (step down) 인 캐리어 링 지지부 영역 위에 놓이도록 구성된다. 캐리어 링 (124) 은 디스크 구조의 외측 에지 측면, 예를 들어 외측 반경, 및 웨이퍼 (128) 가 놓이는 곳에 가장 가까운 디스크 구조의 웨이퍼 에지 측면, 예를 들어 내측 반경을 포함한다. 캐리어 링 (124) 의 웨이퍼 에지 측면은 캐리어 링 (124) 이 스페이서들 (130) 에 의해 홀딩될 때 웨이퍼 (128) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다.
도 1b에서, 스파이더 포크들 (132) 이 프로세스 높이에서 캐리어 링 (124) 을 리프팅하고 유지하도록 (즉, 웨이퍼 (128) 의 하단 표면에 증착하기 위해) 사용된다. 따라서 캐리어 링 (124) 은 웨이퍼 (128) 와 함께 리프팅되고, 예를 들어 복수의 스테이션 시스템에서 또 다른 스테이션으로 로테이팅될 수 있다.
도 2는 복수의 스테이션 프로세싱 툴의 상면도를 예시하고, 네 개의 프로세싱 스테이션들이 제공된다. 도 1a 및 도 1b의 실시예는 네 개의 챔버 스테이션들을 갖는 도 2 및 도 3의 챔버 (102) 로 구현될 수 있는 챔버 (102) 를 예시한다. 도 2 및 도 3은 (예를 들어, 예시를 위해 챔버 상단 부분이 제거된) 챔버 부분의 상면도들을 제공하고, 네 개의 스테이션들이 스파이더 포크들 (132) 에 의해 액세스된다. 스파이더 포크 (132), 또는 포크 각각은 제 1 암 및 제 2 암을 포함하고, 각각은 샤워헤드-페데스탈 (106) 의 측면 각각의 부분 주위에 위치된다. 이 도면에서, 스파이더 포크들 (132) 은 캐리어 링 (124) 아래에 있다는 것을 전달하기 위해 점선들로 도시된다. 인게이지먼트 및 로테이션 메커니즘 (220) 을 사용하는 스파이더 포크들 (132) 은 스테이션들로부터 (즉, 캐리어 링들 (124) 의 하부 표면으로부터) 캐리어 링들 (124) 을 동시에 들어올리고 리프팅하고, 이후 각각의 웨이퍼들 (128) 상에 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 발생할 수 있도록 다음 위치로 캐리어 링들 (124) 을 하강시키기 전 (적어도 하나의 캐리어 링들이 웨이퍼 (128) 를 지지하는) 적어도 하나 이상의 스테이션들을 로테이팅하도록 구성된다. 상기 기술된 바와 같이, 일 실시예에서, 스파이더 포크들 (132) 은 웨이퍼 (128) 의 후면 상에 증착을 가능하게 하지만, 예를 들어 도 1b에 도시된 바와 같이 웨이퍼 (128) 의 상단면 상의 증착을 실질적으로 방지하는 높이로 웨이퍼 (128) 를 들어올리도록 사용될 수 있다.
도 3은 인바운드 로드 록 (148) 및 아웃바운드 로드 록 (140) 이 있는 복수의 스테이션 프로세싱 툴의 실시예의 개략도를 도시한다. 대기압에서, 로봇 (142) 이 포드 (pod) (150) 를 통해 로딩된 카세트 (carssette) 로부터 대기 포트 (144) 를 통해 인바운드 로드 록 (148) 내로 기판들 (128) 을 이동시키도록 구성된다. 인바운드 로드 록 (148) 은 대기 포트 (144) 가 폐쇄될 때 인바운드 로드 록 (148) 이 펌핑 다운될 수도 있도록, 진공 소스 (미도시) 에 커플링된다. 인바운드 로드 록 (148) 은 또한 프로세싱 챔버 (102) 와 인터페이스된 챔버 이송 포트 (146) 를 포함한다. 따라서, 챔버 이송 포트 (146) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드 록 (148) 으로부터 제 1 프로세스 스테이션의 샤워헤드-페데스탈 (106) 로 기판을 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102) 는 도 3에 도시된 실시예에서 1부터 4까지 넘버링된 네 개의 프로세스 스테이션들을 포함한다. 일부 실시예들에서, 프로세싱 챔버 (102) 는 기판들이 진공 파괴 및/또는 공기 노출을 경험하지 않고 프로세스 스테이션들 사이에서 캐리어 링 (124) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 후면 증착이 발생할 때 프로세스 가스를 전달하도록 구성되는 샤워헤드-페데스탈 (106) 을 도시한다. 후면 증착 동안, 스페이서들 또는 스파이더 포크들이 샤워헤드-페데스탈 (106) 로부터 웨이퍼를 올리도록 사용되는지 여부에 따라, 샤워헤드 (104) 는 웨이퍼 (106) 의 상단 표면 위의 증착을 방지하거나 감소시키기 위해 기판의 상단 표면 위에 불활성 가스를 공급하도록 구성된다.
도 3은 또한 후면 증착 동안 프로세싱 챔버 (102) 내에서 웨이퍼들을 이송하고 웨이퍼 (128) 를 리프팅하기 위한 스파이더 포크들 (132) 을 도시한다. 이하에 보다 상세하게 기술될 바와 같이, 스파이더 포크들 (132) 은 또한 로테이팅할 수 있고 일 스테이션으로부터 또 다른 스테이션으로 웨이퍼들의 이송을 가능하게 한다. 이송은 스파이더 포크들 (132) 이 외측 하단표면으로부터 캐리어 링들 (124) 을 리프팅하는 것을 가능하게 함으로써 발생하고, 이는 이후 웨이퍼를 리프팅하고, 그리고 이후 다음 스테이션으로 웨이퍼와 캐리어 (124) 를 함께 로테이팅시킨다. 일 구성에서, 스파이더 포크들 (132) 은 프로세싱 동안 고레벨들의 열을 견디도록 세라믹 재료로 이루어진다.
다른 실시예들에서, 웨이퍼들을 리프팅하고 이송하기 위해 스파이더 포크들 (132) 을 사용하는 대신, 패들 유형 구조체가 또한 웨이퍼들을 리프팅하고 이송하도록 기능할 수 있다. 패들들은 스파이더 형태들 (132) 이 놓인 것과 유사하게 스테이션들 사이에 배치될 수도 있고, 동일한 방식으로 기능할 수 있다. 따라서, 이해의 용이함을 위해, 스파이더 형태들 (132) 에 대한 참조들은 패들 구성들에도 또한 적용되는 것으로 이해되어야 하고, 이는 (예를 들어, 후면 웨이퍼 증착 동안) 리프팅 및 스테이션들 사이에서 이송들의 제어를 제공할 수 있다.
일반적으로 말하면, 본 명세서에 개시된 실시예들은 동역학 제어와 함께 (전면 및/또는 후면) 웨이퍼의 선택된 측면 상에 PECVD 막들을 증착하기 위한 시스템에 대한 것이다. 일 실시예는 용량성으로 커플링된 PECVD 시스템을 규정하기 위한 듀얼 가스 흐름 전극을 포함한다. 시스템은 가스 흐름 샤워헤드 (104) 및 샤워헤드-페데스탈 (106) 을 포함할 것이다. 일 실시예에서, 가스 흐름 페데스탈 (즉, 샤워헤드-페데스탈) 은 웨이퍼의 후면 상의 증착을 가능하게 하는 샤워헤드와 페데스탈의 조합이다. 전극 기하학적 구조는, 예를 들어 가스 혼합 플레넘, 홀들, 홀-패턴, 가스 분출 방지 배플과 같은 샤워헤드의 특징들과, 예를 들어 임베디드 제어된 가열기, 웨이퍼-리프트 메커니즘들, 플라즈마 억제 링들을 홀딩하는 능력 및 이동성과 같은 페데스탈의 특징들을 결합한다. 이는 웨이퍼들의 이송 및 페데스탈로부터의 RF 전력을 사용하거나 사용하지 않고 가스들의 프로세싱을 가능하게 한다.
일 실시예에서, 시스템은 전극들에 대고 기판들의 유사성의 타이트한 제어를 허용하는 웨이퍼 리프트 메커니즘을 갖는다. 일 실시예에서, 이는 두 개의 전극들에 평행한 리프트 메커니즘을 설정하고, 제작 오차들, 예를 들어 스핀들 또는 리프트 핀 메커니즘들을 제어함으로써 달성된다. 또 다른 실시예가 웨이퍼 리프트 부품을 들어올림으로써 규정되지만, 이 옵션은 증착되는 측면의 동역학 제어를 허용하지 않는다.
일 구성에서, 리프트 메커니즘은 증착의 측면, 증착의 프로파일, 및 증착 막 특성들을 제어하도록 프로세스 동안 (플라즈마 전, 플라즈마 동안, 플라즈마 후) 동적으로 거리를 제어할 수 있게 한다. 이 시스템은 또한 반응물질들이 흐르는 측면을 선택적으로 인에이블/디스에이블하게 한다. 일 측면은 반응물질을 흘릴 수 있고, 다른 측면은 증착 및 플라즈마를 억제하기 위해 불활성 가스들을 흘릴 수 있다.
일 실시예에서, 플라즈마/증착이 필요하지 않은 웨이퍼의 측면 사이의 갭은 플라즈마를 억제하기 위해 요구되는 최소한의 값으로 타이트하게 제어되어야 한다 (그렇지 않으면 플라즈마 손상이 있을 것이다). 일례에서, 이 시스템은 약 2 mm 내지 약 0.5 mm의 최소 갭을 허용하고, 또 다른 실시예에서, 약 1 mm 내지 약 0.05 (웨이퍼 보우에 의해 제한됨) 이고, 이러한 갭은 제어될 수 있다. 일 실시예에서, 이 갭은 프로세스 조건들에 따른다.
일 실시예에서, 가스 흐름 페데스탈 (즉, 샤워헤드-페데스탈) 은 제한 없이, (a) 프로세싱 전 프로세싱 온도로 웨이퍼의 열 안정화; (b) 웨이퍼의 후면의 상이한 영역들에 막을 선택적으로 증착하도록 샤워헤드-페데스탈 상의 홀 패턴들의 선택적인 설계; (c) 적절한 플라즈마 한정 및 홀 패턴을 달성하기 위해 부착될 수 있는 교환 가능한 링들; (d) 리프트 핀들, RF-커플링 피처들, 최소-콘택트 어레이들 (arrays) 과 같은 챔버 내의 안정한 웨이퍼 이송 메커니즘 및 웨이퍼를 또 다른 챔버 또는 카세트로 이송하기 위한 웨이퍼 이송 메커니즘; (e) 예를 들어 내측 플레넘, 배플 및 매니폴드 라인 개구부들과 같은 가스 혼합 피처들의 구현; 및 (f) 웨이퍼의 후면의 상이한 영역들로 선택적인 가스 흐름을 가능하게 하고 유량 제어기들 및/또는 복수의 플레넘들을 통해 플로우 레이트들을 제어하도록 가스 흐름 페데스탈 (즉, 샤워헤드-페데스탈) 에 구획을 추가하는 것을 가능하게 한다.
또 다른 실시예에서, 웨이퍼 리프트 메커니즘을 사용하는 동적 갭 제어는, (a) 증착 또는 반응물질 흐름 전극으로부터 증착이 필요한 웨이퍼의 측면으로 또는 양 측면들이 증착될 수 있도록 중간에서 거리 제어; 및 (b) 증착의 측면, 증착의 프로파일, 및 증착 막 특성들을 제어하기 위해 프로세스 동안 (플라즈마 전, 플라즈마 동안, 플라즈마 후) 동적으로 거리를 제어하도록 리프트 메커니즘을 인에이블하게 한다. 또 다른 실시예에서, 웨이퍼의 후면 상에 증착하도록 사용된 증착 모드에 대해, 막 에지 배제 제어는 리소그래피-관련된 오버레이 문제들을 방지하기에 매우 바람직하다. 이 시스템에 사용된 리프트 메커니즘은 에지 상의 증착을 차단 (shadow) 하는 설계 특징을 갖는 캐리어 링 (124) 을 통해 이루어진다. 이는 캐리어 링의 설계 및 형상을 통해 에지 배제 제어를 명시한다.
도 4a는 샤워헤드-페데스탈 (106) 의 에지 영역의 단면도를 도시한다. 이 도면은 캐리어 링 내측 반경 (124a) 및 캐리어 링 외측 반경 (124b) 을 갖는 캐리어 링 (124) 의 단면 표현을 제공한다. 스페이서 (130) 는 샤워헤드-페데스탈 (106) 의 단차 영역 (106g) 에 의해 규정된 에지에서 샤워헤드-페데스탈 (106) 위에 놓이도록 구성된다. 도 4b에 도시된 바와 같이, 스페이서들 (130) 은 캐리어 링 (124) 의 하부 표면으로부터 캐리어 링 (124) 에 대한 고른 지지를 제공하도록 바람직하게 이격된다. 일 실시예에서, 캐리어 링 (124) 은 캐리어 링 (124) 의 실질적인 평평한 표면 아래로 연장하는 지지 연장부들 (124c) 을 포함할 것이다.
일부 실시예들에서, 캐리어 내측 반경으로 웨이퍼 에지의 오버랩이 감소되고, 기판의 노치 (notch) 가 노출될 수도 있다. 노치를 커버하는 갭을 갖는 것을 방지하기 위해, 캐리어 링은 노치가 위치되는 영역에 연장부를 갖도록 구성될 수도 있다. 이 방식에서, 노출된 웨이퍼 노치들로 인한 증착 불균일성들을 피하는 동안, 후면 증착이 발생하는 영역을 확장할 수 있다. 이와 같이, 다양한 크기들의 에지 배제부들 (EE : Edge Exclusion) 은 캐리어 링 (124) 의 기하학적 구조를 조정함으로써 처리될 수 있다.
지지 연장부들 (124c) 은 스페이서들 (130) 의 상단 표면 내로 규정된 지지 표면들 내에 메이팅되고 (mate) 놓이도록 구성된다. 지지 표면들은 캐리어 링 (124) 이 스페이서들 (130) 에 의해 지지될 때 슬라이딩하거나 이동하는 것이 방지되도록, 지지 연장부들 (124c) 에 대해 상호 보완적인 메이팅 표면을 제공한다. 세 개의 스페이서들 (130) 이 도 4b에 도시되지만, 캐리어 링이 샤워헤드-페데스탈 (106) 의 표면에 실질적으로 평행하게 지지될 수 있는 한, 임의의 수의 스페이서들이 제공될 수도 있고, 간격은 샤워헤드-페데스탈 (106) 의 상단 표면으로부터 이격된 관계로 기판 (128) 을 지지하기 위해 규정되는 것으로 구상된다.
샤워헤드-페데스탈 (106) 의 상단 표면이 동작 동안 가스들의 고른 분배 및 출력을 제공하기 위해 표면 전체에 분포되는 홀 패턴 (106a) 을 포함할 것이라는 것이 또한 도시된다. 일 실시예에서, 홀 패턴 (106a) 은 샤워헤드-페데스탈 (106) 의 상단 표면의 중심에서 시작하고, 샤워헤드-페데스탈 (106) 의 외측 주변부로 연장하는 복수의 동심 링들로 분포된다. 홀 패턴의 에지 홀 영역 (107) 에 홀들 (106a) 의 적어도 하나의 링이 제공되고, 에지 홀 영역 (107) 에 규정된 오리피스들은 샤워헤드-페데스탈 (106) 의 표면에 수직이 아닌 가스들을 제공하도록 바람직하게 기울어진다.
일례에서, 에지 홀 영역 (107) 의 오리피스들의 각도 또는 틸트는 샤워헤드-페데스탈 (106) 의 중심으로부터 틸팅하거나 기울어지도록 규정된다. 일 실시예에서, 각도는 수평으로부터 대략 45°이다. 다른 실시예들에서, 각도는 수평으로부터 20° 내지 수평으로부터 약 80°로 가변할 수 있다. 일 실시예에서, 에지 홀 영역 (107) 에 기울어진 오리피스들을 제공함으로써, 프로세스 가스들의 부가적인 분배가 기판 (128) 의 후면 증착 동안 제공될 수 있다. 일 실시예에서, 홀 패턴 (106a) 의 나머지 오리피스들 (106d) 은 샤워헤드-페데스탈 (106) 의 표면에 실질적으로 수직으로 배향되며, 기판 (128) 의 하단면을 향해 지향된다.
도 4b는 기판 (128) 이 캐리어 링 (124) 에 의해 홀딩되는 때, 기판 (128) 에지는 캐리어 링 (124) 의 캐리어 링 내측 반경 (124a) 에 보다 근접한 에지 영역 상에 놓일 것이라는 것을 예시한다. 다른 도면들에 보다 상세하게 도시될 바와 같이, 스페이서들 (130) 을 사용하여 위치될 때 기판 (128) 의 상단 표면에 대면하는 샤워헤드 (104) 의 표면은, 증착이 기판 (128) 의 후면에 수행되는 모드 동안 증착을 방지하도록 실질적으로 근접할 것이다.
예시로서, 기판 (128) 의 상단과 샤워헤드 (104) 의 표면 사이 거리는 바람직하게 약 2 mm 내지 0.5 mm이고, 일부 실시예들에서 웨이퍼 보우에 따라 약 1 mm 내지 약 0.5 mm이다. 즉, 웨이퍼가 실질적으로 보우되면, 분리는 0.5 mm보다 클 것이다. 웨이퍼가 아직 실질적으로 보우되지 않았으면, 분리는 0.5 mm보다 작을 수 있다. 일 실시예에서, 기판의 후면이 재료의 층으로 증착되는 때 기판의 상단면 상의 증착을 방지하도록 분리는 최소화되는 것이 바람직하다. 일 실시예에서, 샤워헤드 (104) 는 기판의 후면이 증착되고 증착 가스들이 샤워헤드-페데스탈 (106) 에 의해 공급되는 동안 기판 (128) 의 상단면 위에 불활성 가스 플로우를 공급하도록 구성된다.
도 5a는 샤워헤드-페데스탈 (106) 위에 위치된 샤워헤드 (104) 를 포함하는 플라즈마 프로세싱 시스템의 예를 예시한다. 이 예에서, 스페이서들 (130) 이 캐리어 링 (124) 을 지지하고, 지지 연장부들 (124c) 은 지지부들 (130) 의 리세스된 (recessed) 영역 내에 홀딩되는 것으로 도시된다. 상기 언급된 바와 같이, 지지부들 (130) 은 캐리어 링 (124) 및 그 위에 샤워헤드-페데스탈 (106) 의 표면으로부터 이격된 곳에 지지된 웨이퍼 (128) 를 상승시키는 분리 거리에서 캐리어 링 (124) 을 홀딩하도록 구성된다.
이 방식에서, 웨이퍼 (128) 의 상단 표면은 감소된 분리에서 샤워헤드 (104) 의 노출된 표면 (104a) 에 실질적으로 근접하여 위치된다. 상기 언급된 바와 같이, 감소된 분리는 바람직하게 약 2 mm 내지 0.5 mm이고, 일부 실시예들에서 웨이퍼 보우에 따라 약 1 mm 내지 약 0.5 mm이다. 즉, 웨이퍼가 실질적으로 보우되면, 분리는 0.5 mm보다 클 것이다. 웨이퍼가 아직 실질적으로 보우되지 않았으면, 분리는 0.5 mm보다 작을 수 있다. 부가적으로, 샤워헤드 (104) 는 후면 증착이 샤워헤드-페데스탈 (106) 에 의한 반응물질들 및 프로세스 가스들의 공급에 의해 프로세싱되는 모드 동안 캐리어 링 (124) 에 의해 지지되는 웨이퍼의 상단 표면 위에 흐르는 내측 가스를 공급하도록 구성된다.
샤워헤드-페데스탈 (106) 의 표면 위에 홀 패턴 (106a) 을 규정하는 복수의 오리피스들 (106d) 이 또한 도시된다. 에지 홀 영역 (107) 이 또한 도시되고, 에지 홀 영역이 샤워헤드-페데스탈 (106) 의 외측 에지를 향해 프로세스 가스들을 제공하도록, 그리고 캐리어 링 (124) 에 의해 지지되는 기판의 하단면의 에지에 보다 근접한 프로세스 가스들을 제공하도록 기울어지는 동심으로 배열된 홀들의 적어도 하나의 열을 포함할 수 있다는 것을 나타낸다.
도 5b는 도 5a의 플라즈마 프로세싱 시스템의 단면도를 예시한다. 이 예에서, 샤워헤드-페데스탈 (106) 의 오리피스들 (106d) 및 샤워헤드의 오리피스들 (104d) 은 각각 플레넘 영역들 (306 및 304) 로부터 경로를 제공하는 것으로 도시된다. 플레넘들 (306 및 304) 은 샤워헤드-페데스탈 (106) 및 샤워헤드 (104) 내로 도입된 가스들의 분배를 돕도록 제공된다. 배플 (206) 이 샤워헤드-페데스탈 (106) 내에 배치되고, 이는 샤워헤드-페데스탈 (106) 내로 가스 진입 경로 (312) 를 따라 위치된다. 배플 (206) 은 샤워헤드-페데스탈 (106) 의 플레넘 (306) 내로 도입된 가스들을 분배하는 것을 돕는다. 배플 (204) 이 샤워헤드 (104) 내에 배치되고, 이는 샤워헤드 (104) 내로 가스 진입 경로 (308) 를 따라 위치된다. 배플 (204) 은 샤워헤드 (104) 의 플레넘 (304) 내로 도입된 가스들을 분배하는 것을 돕는다.
샤워헤드-페데스탈 (106) 의 가스 진입 경로 (312) 에 커플링된 가스 매니폴드 (312) 가 또한 도시된다. 가스 소스들 (114) 은 가스 매니폴드 (112) 에 커플링되는 것으로 도시된다. 가스 소스들 (114) 은 샤워헤드-페데스탈 (106) 에 하나 이상의 상이한 유형들의 가스들을 제공하도록 구성된다. 예시로서, 캐리어 링 (124) 이 지지부들 (130) 에 의해 지지될 때, 가스들은 기판 (128) 의 후면 상의 증착에 사용되는 반응 가스들일 수 있다. 일 실시예에서, 샤워헤드 (104) 가 질소 (N2) 퍼지 가스의 플로우를 전달하는 동안, 프로세스 경우, 예를 들어 실란 (SiH4) + 암모니아 (N3) 를 흘림으로써 후면 재료 층이 샤워헤드-페데스탈 (106) 을 사용하여 증착될 수 있다.
또 다른 예시적인 후면 증착 프로세스는 SiH4 + N2O 화학물질들일 수 있으며, 이는 SiH4/N2O의 플로우 레이트들 및 전력들을 변화시킴으로써 0 MPa 내지 -400MPa 범위의 응력을 부여하도록 조정될 수 있다. 또한, SiH4 + NH3 화학물질들을 사용한 SiN 막은 -1200 MPa 내지 +1200 MPa로 응력을 받을 수 있다는 것으로 믿어진다. TEOS 화학물질을 사용한 SiO2 프로세스는 +200 MPa 내지 -400 MPa 범위의 응력들을 제공할 수 있다. 따라서, 화학물질, 가스를 인가할 위치, 및 두께들을 선택함으로써, 층들의 전면 증착에 의해 유발되는 응력들을 전략적으로 상쇄하고 웨이퍼/기판 뒤틀림을 감소시키거나 방지하는 것이 가능하다.
또한, 상기 언급한 바와 같이, 퍼지 가스가 샤워헤드 (104) 에 의해 전달되는 동안, 샤워 헤드와 기판 (128) 의 상단 표면 사이의 갭은, 예를 들어 약 0.5 mm 내지 약 5 mm로 최소화된다. 웨이퍼가 너무 많이 보우되면, 분리는 0.5 mm보다 클 수 있지만 바람직하게 5 mm보다 작고, 일 실시예에서, 약 3 mm보다 작거나, 약 2 mm보다 작거나, 약 1 mm보다 작다.
(샤워헤드-페데스탈 (106) 의 오리피스들 (106d) 을 통해) SiH4 + NH3을 흘리면, 기판이 샤워헤드-페데스탈 (106) 의 상단 표면에 이격된 관계로 캐리어 링 (124) 에 의해 홀딩되는 동안 SiN/SiO2 막 층이 기판 (128) 의 후면 상에 증착된다. 상단면 증착이 동일한 챔버에서 발생하는 모드에서, 샤워헤드-페데스탈 (106) 은 오리피스들 (106d) 에서 가스들을 흘리지 않고 페데스탈로서 동작한다. 이 구성에서, 선택된 레시피에 따라 상이한 유형들의 재료들이 기판 (128) 의 상단 표면 위에 증착될 수 있다. 따라서, 후면 증착은, 예를 들어 질소, 옥사이드 막들에 의해 유도된 높은 응력들을 다룰 수 있도록 한다. 즉, 후면 증착은 상단면 증착 동안 유도된 응력들을 보상하고, 웨이퍼 보우를 감소시키는 것을 돕는다.
가스 진입 경로 (308) 에 커플링된 가스 매니폴드 (108) 에 가스 소스들 (110) 로 연결이 제공된다. 가스 진입 경로 (308) 는 배플 (204) 및 플레넘 (304) 으로 이어지는, 샤워헤드 (104) 내로 가스들을 공급하기 위한 채널을 제공한다. 가스 소스들 (110) 은 수행될 프로세싱에 따라 상이한 유형들의 가스들을 제공할 수 있다. 예로서, 기판 (128) 에 대해 후면 증착이 발생하면, 샤워헤드 (104) 는 기판 (128) 의 상단 표면 위에 증착을 방지하기 위해 기판 (128) 의 상단 표면 위로 흐르는 불활성 가스를 전달하도록 구성된다.
샤워헤드 (104) 는 후면이 증착될 때 증착 가스들이 상단 표면 위로 스며들지 않도록 상이한 레이트들로 가스들을 공급하도록 구성될 수 있다. 상단 표면 증착이 진행중이면, 기판 (128) 은 샤워헤드-페데스탈 (106) 의 표면 상으로 하강되고, 지지부들 (130) 은 제거된다. 이 구성에서, 상단 표면 증착은 샤워헤드 (104) 내로 도입된 프로세스 가스들의 활용을 진행할 수 있다. 이 페이즈 동안, 프로세스 가스들은 샤워헤드-페데스탈 (106) 에 의해 도입되지 않으며, 샤워헤드-페데스탈은 표준 지지 척 또는 페데스탈로서 동작한다. 이와 같이, 샤워헤드-페데스탈 (106) 은 증착되는 표면, 예를 들어 기판 (128) 의 상단 표면 또는 후면에 따라 복수의 모드들로 동작할 수 있는 것을 인식해야 한다.
도 6a는 플라즈마 프로세싱 시스템의 또 다른 실시예를 예시한다. 이 실시예에서, 지지부들 (130) 은 캐리어 링 (124) 을 샤워헤드-페데스탈 (106) 의 표면 위의 적절한 높이로 들어올리도록 활용되지 않는다. 대신에, 스파이더 포크들 (132) 은 캐리어 링 (124) 을 제어 가능한 높이로 들어올리고 유지하도록 활용되고, 따라서 캐리어 링 (124) 에 의해 지지되는 웨이퍼 (128) 의 후면 증착은 샤워헤드-페데스탈 (106) 의 오리피스들 (106d) 을 통해 도입된 가스들을 반응시킴으로써 발생할 수 있다. 상기 논의된 바와 같이, 샤워헤드-페데스탈 (106) 은 샤워헤드-페데스탈 (106) 의 중심으로부터 에지까지 연장하는 동심 원들로 배열된 복수의 오리피스들 (106d) 을 포함할 것이다.
하나 이상의 동심원의 오리피스들을 포함할 수 있는 외측 에지 영역 (107) 이, 캐리어 링 (124) 에 의해 홀딩될 때 기판 (128) 의 하부의 외측 에지들을 향해 지향되는 반응 가스들의 기울어진 출력을 제공하도록 구성될 수 있다. 스파이더 포크들 (132) 은 도 2 및 도 3에 예로서 도시된다. 캐리어 링 (124) 을 다루기 위한 대안적인 방법들이 또한 채용될 수 있다는 것을 이해해야 한다. 예를 들어, 스테이션들 사이에 배치된 패들 지지부들이 또한 샤워헤드-페데스탈 (106) 의 상단면과 기판 (128) 의 하면 사이의 분리 거리를 달성하기 위해, 캐리어 링을 다루고 캐리어 링을 적절한 높이로 들어올리도록 사용될 수 있다. 일반적으로 말하면, 스페이서들 (130) 및 스파이더 포크들 (132) 또는 패들들은, 샤워헤드-페데스탈 (106) 로부터 이격된 관계로 캐리어 링 (124) (및 존재하는 경우 기판) 을 홀딩하기 위한 기판 지지부를 제공한다.
일 실시예에서, 샤워-페데스탈로부터 이격된 관계로 기판을 홀딩하기 위한 도 1a, 도 4a 내지 도 5b에 따른 기판 지지부는 복수의 스페이서들 (130) 에 의해 규정된다. 스페이서들은 캐리어 링을 홀딩하기 위한 지지 표면들을 갖는 적어도 세 개의 스페이서들을 포함하고, 캐리어 링은, 존재하는 경우 이격된 관계로 기판을 홀딩하도록 구성된다.
도 1b, 도 6a 및 도 6b에 따르면, 샤워-페데스탈로부터 이격된 관계에서 기판을 홀딩하기 위한 기판 지지부는 스파이더 포크들 또는 패들들 중 하나에 의해 규정되고, 스파이더 포크들 또는 패들들은 캐리어 링을 홀딩하도록 구성되고, 캐리어 링은 존재할 때, 이격된 관계로 기판을 홀딩하도록 구성된다.
도 7a는 샤워헤드-페데스탈 (106) 의 중심으로부터 샤워헤드-페데스탈 (106) 의 홀 패턴 (106a) 의 외측 주변부로 연장하는 오리피스들 (106d) 의 동심 원들을 갖는 홀 패턴들 (106a) 이 있는 샤워헤드-페데스탈 (106) 의 상면도를 예시한다. 도시된 바와 같이, 오리피스들 (106d) 의 적어도 하나의 동심 원은 에지 홀 영역 (107) 을 따라 규정된다. 상기 언급한 바와 같이, 에지 홀 영역 (107) 은 오리피스들이 중심으로부터 기울어지게 구성되고, 이격된 관계로 샤워헤드-페데스탈 (106) 위의 캐리어 링 (124) 에 의해 홀딩될 때 가스들이 기판 (128) 의 에지를 향해 지향될 수 있도록 한다. 이 예에서 또한 도시된 것은 도 6a 및 도 6b에 도시된 바와 같이, 캐리어 링 (124) 을 분리 거리까지 리프팅하도록 활용되는 스파이더 포크들 (132) 이다.
일 실시예에서, 샤워헤드-페데스탈 (106) 은 상단면 증착 동안 가열 기능들을 제공하기 위해 그 안에 통합되는 히터를 또한 포함할 것이다. 가열기 엘리먼트들은 후면 증착 동안 가스들을 전달하는 오리피스들 (106d) 에 대한 통과를 여전히 제공하면서 고른 열 분배를 제공하기 위해 분배될 수 있다.
상기 언급한 바와 같이, 기판 (128) 의 상단과 샤워헤드 (104) 의 표면 (104a) 사이의 분리 거리는 바람직하게 약 2 mm 내지 0.5 mm이고, 일부 실시예들에서 웨이퍼 보우에 따라 약 1 mm 내지 약 0.5 mm이다. 즉, 웨이퍼가 실질적으로 보우되면, 분리는 0.5 mm보다 클 것이다. 웨이퍼가 실질적으로 아직 보우되지 않았으면, 분리는 0.5 mm보다 작다. 일 실시예에서, 샤워헤드-페데스탈 (106) 위로 분리 거리에 홀딩될 때 기판의 후면 사이의 분리 거리는 약 8 mm 내지 약 14 mm 사이이고, 일례에서, 기판 (128) 의 하단면과 샤워헤드-페데스탈 (106) 의 상단 표면 사이의 분리 거리는 약 10 mm이다.
일부 실시예들에서, 샤워헤드-페데스탈 (106) 의 오리피스들을 형성하는 동심 링들은 또한 상이한 존들로 분리될 수 있다. 예를 들어, 외측 존은 기판의 후면의 중심 부분에 상이한 가스 조합을 공급하는 동안 기판의 후면에 특정한 유형의 재료를 증착하기 위한 일 유형의 가스를 인가하도록 규정될 수 있다. 오리피스들 (106d) 의 상이한 존들을 통해 상이한 가스들을 공급함으로써, 상이한 유형들의 재료들, 상이한 두께들, 및 상이한 존들로 기판 후면의 상이한 영역들을 타겟팅할 수 있다.
도 7b 및 도 7c는 어떻게 상이한 존들이 규정될 수 있는지 예시한다. 도 7b는 적용예에 따라 안팎으로 조정할 수 있는 내측 존, 및 외측 존을 도시한다. 도 7c는 세 개의 존들, 예를 들어 내측 존, 중간 존 및 외측 존을 예시한다.
일부 실시예들에서, 증착 가스들은 다수의 동심 링들을 포함할 수 있는 외측 존으로부터 출력될 수 있지만, 중심 영역 오리피스들로부터 가스들을 흘리지 않는다. 이렇게 함으로써, 기판의 후면 상에 증착된 재료의 두께에 영향을 줄 수 있고, 기판의 상단 표면 상의 재료 층들에 의해 유발된 뒤틀림을 전략적으로 방지할 수 있다. 일부 실시예들에서, 샤워헤드-페데스탈 (106) 은 동심 존들 이외의 존들의 상이한 배향들을 포함할 수 있다.
존들의 다른 배향들은 또한 샤워헤드-페데스탈 (106) 내에 배치된 상이한 플레넘들로 개별적으로 제어될 수 있는 상이한 사분면들, 상이한 파이 슬라이스들, 상이한 영역들, 등으로 존들을 제공하는 것을 포함할 수 있다. 따라서, 샤워헤드-페데스탈 (106) 에 배치된 오리피스들 (106d) 및 홀 패턴 (106a) 은 기판의 후면 상의 상이한 두께들의 증착에 영향을 미치고, 기판의 상단 표면 또는 상단면 상에 제조되는 복수의 층들에 의해 유발되는 기판 뒤틀림을 방지하도록, 동일하거나 상이한 가스들을 상이한 존들로 전달하도록 설계될 수 있는 것으로 구상된다.
도 8은 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (800) 을 도시한다. 일 실시예에서, 도 1의 제어 모듈 (110) 은 예시적인 컴포넌트들의 일부를 포함할 수도 있다. 예를 들어, 제어 모듈 (800) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (800) 은 센싱된 값들의 일부에 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (800) 은 센싱된 값들 및 다른 제어 파라미터들에 기반하여 하나 이상의 밸브들 (802), 필터 가열기들 (804), 펌프들 (806), 및 다른 디바이스들 (808) 을 제어할 수도 있다. 제어 모듈 (800) 은, 단지 예를 들면 압력 마노미터들 (manometeres) (810), 플로우 미터들 (meters) (812), 온도 센서들 (814), 및/또는 다른 센서들 (816) 로부터 센싱된 값들을 수신한다. 제어 모듈 (800) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (800) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (800) 은 전구체 전달 시스템 및 증착 장치의 활동들을 제어할 수도 있다. 제어 모듈 (800) 은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력 차, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함한다. 제어 모듈 (800) 은 또한 압력 차를 모니터링하고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 증기 전구체 전달을 자동으로 전환할 수도 있다. 제어 모듈 (800) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (800) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (818) (예를 들어, 디스플레이 스크린 및/또는 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (820) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 통상적인 컴퓨터 판독 가능 프로그래밍 언어로 작성될 수 있다: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 언어들. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
제어 모듈 파라미터들은, 예를 들어 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관한 것이다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 또는 척 상에 로딩하고, 기판과 가스 유입구 및/또는 타겟 (target) 과 같은 챔버의 다른 부분들 사이의 간격을 제어하기 위해 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들, 그리고 선택 가능하게 챔버 내의 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은, 예를 들어 챔버의 배기 시스템에서 쓰로틀 (throttle) 밸브를 조절함으로써 챔버 내 압력을 제어하기 위한 코드를 포함할 수도 있다. 가열기 제어 프로그램은 전구체 전달 시스템의 컴포넌트들, 기판 및/또는 시스템의 다른 부분들을 가열하기 위해 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 헬륨과 같은 열 전달 가스의 웨이퍼 척으로의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 질량 유량 제어기 모듈들, 압력 마노미터들 (810) 과 같은 압력 센서들, 및 전달 시스템, 페데스탈 또는 척에 위치된 써모커플들 (thermocouples) (예를 들어, 온도 센서들 (814)) 을 포함하지만, 이에 제한되지 않는다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다. 전술한 것은 단일 챔버 또는 멀티-챔버 반도체 프로세싱 툴에서 본 발명의 실시예들의 구현예를 기술한다.
실시예들의 전술한 기술이 예시 및 기술의 목적을 위해 제공되었다. 본 발명을 완전하게 하거나 제한하도록 의도되지 않았다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 일반적으로 특정한 실시예에 한정되지 않지만, 적용 가능한 경우, 상호 교환 가능하고, 구체적으로 도시되거나 기술되지 않더라도 선택된 실시예에서 사용될 수 있다. 동일한 것은 또한 많은 방식들에서 가변될 수도 있다. 이러한 변동은 본 발명으로부터의 이탈로 간주되지 않아야 하고, 이러한 모든 수정들은 본 발명의 범위 내에 포함되도록 의도된 것이다.
전술한 실시예들이 이해의 명확성의 목적들을 위해 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변경들 및 수정들이 실시될 수도 있는 것이 명백할 것이다. 따라서, 본 실시예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시예들은 본 명세서에 주어진 세부사항들에 한정되는 것이 아니라, 청구항들의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (20)

  1. 플라즈마 프로세싱 시스템에 있어서,
    챔버;
    제어기;
    상기 챔버 내에 배치된 샤워헤드;
    상기 제어기로부터의 제어에 응답하여 제 1 가스 소스로부터 제 1 가스를 제공하기 위해 상기 샤워헤드에 연결된 제 1 가스 매니폴드 (manifold);
    상기 챔버 내에 배치되고 상기 샤워헤드 맞은편에 배향된 샤워-페데스탈 (shower-pedestal);
    상기 제어기로부터의 제어에 응답하여 제 2 가스 소스로부터 제 2 가스를 제공하기 위해 상기 샤워-페데스탈에 연결된 제 2 가스 매니폴드;
    상기 샤워-페데스탈로부터 이격된 관계로 기판을 홀딩하기 위한 기판 지지부; 및
    상기 챔버 내에 존재하고 상기 샤워-페데스탈로부터 이격된 관계로 상기 기판 지지부에 의해 홀딩될 때, 상기 기판의 후면 상에 막을 증착하기 위해 플라즈마를 발생시키도록 상기 샤워헤드에 전력을 제공하기 위한 무선 주파수 (RF) 전력 공급부를 포함하는, 플라즈마 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 샤워-페데스탈은 상기 제 2 가스 소스에 의해 제공된 상기 제 2 가스의 출력을 위해 홀 (hole) 패턴의 수직 오리피스들 (orifices) 을 포함하고, 상기 홀 패턴은 상기 샤워-페데스탈의 중심으로부터 상기 샤워-페데스탈의 외측 반경으로 연장하는 복수의 원형 동심 링들을 규정하며, 적어도 상기 샤워-페데스탈의 외측 링은 상기 샤워-페데스탈의 상기 중심으로부터 멀어지는 방향으로 수직으로부터 벗어나는 복수의 기울어진 홀 오리피스들을 포함하는, 플라즈마 프로세싱 시스템.
  3. 제 1 항에 있어서,
    상기 샤워-페데스탈은 상기 샤워-페데스탈의 바디 내 배플 (baffle) 로 이어지는 가스 진입 경로를 포함하고, 상기 배플은 상기 샤워-페데스탈의 표면 상에 분포된 홀 패턴에 연결하는 복수의 오리피스들과 인터페이스하는 (interface) 내부 플레넘 (plenum) 에 연결되는, 플라즈마 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 샤워-페데스탈은 상기 샤워-페데스탈의 중심으로부터 상기 샤워-페데스탈의 외측 반경으로 연장하는 복수의 원형 동심 링들에 의해 규정되는 홀 패턴을 포함하고, 적어도 상기 샤워-페데스탈의 외측 링은 상기 샤워-페데스탈의 중심으로부터 멀리 떨어진 방향으로 수직으로부터 벗어나 틸팅되는 (tilted) 복수의 기울어진 홀 오리피스들을 포함하는, 플라즈마 프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 샤워-페데스탈은 상기 샤워-페데스탈의 바디 내 배플로 이어지는 가스 진입 경로를 포함하고, 상기 배플은 상기 샤워-페데스탈의 표면을 통해 형성된 상기 홀 패턴으로 이어지는 복수의 오리피스들로의 경로들을 제공하는 내부 플레넘에 인터페이스하는, 플라즈마 프로세싱 시스템.
  6. 제 5 항에 있어서,
    상기 샤워헤드는 상기 샤워헤드의 바디 내 배플로 이어지는 가스 진입 경로를 포함하고, 상기 배플은 상기 샤워헤드의 표면을 전체에 형성된 홀 패턴으로 이어지는 복수의 오리피스들로의 경로를 제공하는 내부 플레넘에 인터페이스하는, 플라즈마 프로세싱 시스템.
  7. 제 1 항에 있어서,
    상기 샤워-페데스탈로부터 이격된 관계로 상기 기판을 홀딩하기 위한 상기 기판 지지부는 복수의 스페이서들 (spacers) 에 의해 규정되고, 상기 스페이서들은 캐리어 링을 홀딩하기 위한 지지 표면들을 갖는 적어도 세 개의 스페이서들을 포함하고, 상기 캐리어 링은 상기 이격된 관계로 존재할 때 상기 기판을 홀딩하도록 구성되는, 플라즈마 프로세싱 시스템.
  8. 제 7 항에 있어서,
    상기 캐리어 링은 내측 반경 및 외측 반경을 갖는 디스크 형상을 갖고, 상기 내측 반경에 근접한 립 (lip) 이 상기 기판을 지지하기 위해 구성되며, 상기 캐리어 링의 하부 표면은 상기 캐리어 링이 상기 복수의 스페이서들 상에 지지될 때 상기 적어도 세 개의 스페이서들과 메이팅 (mating) 하기 위해 적어도 세 개의 지지 연장부들을 갖고, 상기 복수의 스페이서들은 상기 샤워-페데스탈의 외측 주변부 위에 놓이도록 구성된, 플라즈마 프로세싱 시스템.
  9. 제 8 항에 있어서,
    상기 복수의 스페이서들 각각은 상기 캐리어 링의 상부 표면 또는 상기 캐리어 링 위에 지지될 때 상기 기판과 상기 샤워헤드의 표면 사이의 분리를 감소시키도록 구성된 설정된 높이를 갖고, 상기 분리는 약 2 mm 내지 약 0.5 mm로 설정되는, 플라즈마 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 기판의 후면 위에 막을 증착하기 위한 프로세싱 모드는 상기 기판이 상기 분리로 위치될 때 상기 제어기에 의해 활성화되며, 상기 제 1 가스는 불활성 가스를 흘리고 상기 샤워-페데스탈에 의해 제공된 상기 제 2 가스는 상기 기판의 상기 후면 위에 상기 막을 형성하기 위해 반응물질 가스를 흘리는, 플라즈마 프로세싱 시스템.
  11. 제 1 항에 있어서,
    상기 샤워-페데스탈로부터 이격된 관계로 상기 기판을 홀딩하기 위한 상기 기판 지지부는 스파이더 포크들 (spider forks) 또는 패들들 (paddles) 중 하나에 의해 규정되고, 상기 스파이더 포크들 또는 패들들은 캐리어 링을 홀딩하도록 구성되고, 상기 캐리어 링은 상기 이격된 관계로 존재할 때 상기 기판을 홀딩하도록 구성되는, 플라즈마 프로세싱 시스템.
  12. 제 10 항에 있어서,
    스파이더 포크들 또는 패들들이 상기 캐리어 링의 상부 표면 또는 상기 캐리어 링 위에 지지될 때 상기 기판과 상기 샤워헤드의 표면 사이에 분리를 설정하도록 구성되고, 상기 분리는 약 2 mm 내지 약 0.5 mm로 설정되는, 플라즈마 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 기판의 후면 위에 막을 증착하기 위한 프로세싱 모드는 상기 기판이 상기 분리로 위치될 때 상기 제어기에 의해 활성화되며, 상기 제 1 가스는 불활성 가스를 흘리고 상기 샤워-페데스탈에 의해 제공된 상기 제 2 가스는 상기 기판의 상기 후면 위 상기 막을 형성하기 위해 반응물질 가스를 흘리는, 플라즈마 프로세싱 시스템.
  14. 샤워헤드 및 상기 샤워헤드 아래에 배향된 샤워-페데스탈을 갖는 플라즈마 프로세싱 시스템에서 기판을 프로세싱하기 위한 방법에 있어서,
    상기 샤워헤드와 상기 샤워-페데스탈 사이에 캐리어 링을 제공하는 단계로서, 상기 캐리어 링은 상기 기판을 지지하도록 구성되는, 상기 캐리어 링을 제공하는 단계;
    상기 기판의 후면을 향하는 방향으로 상기 샤워-페데스탈로부터 프로세스 가스를 흘리는 단계;
    상기 플라즈마 프로세싱 시스템의 전극에 전력을 인가하는 단계로서, 상기 전력은 상기 샤워-페데스탈의 상단 표면과 상기 기판의 상기 후면 사이의 영역에서 플라즈마를 생성하도록 구성되고, 상기 플라즈마는 상기 기판의 상기 후면 상에 증착되는 상기 프로세스 가스로부터 재료 층을 생성하도록 구성되는, 상기 전력을 인가하는 단계; 및
    상기 기판의 상단면을 향하는 방향으로 상기 샤워헤드로부터 불활성 가스를 흘리는 단계로서, 상기 재료 층이 상기 기판의 상기 후면 상에 증착되는 동안 상기 상단면 상의 증착을 방지하기 위해 상기 프로세스 가스가 상기 불활성 가스를 사용하여 상기 기판의 상기 상단면으로부터 퍼지되도록, 상기 불활성 가스의 흐름은 상기 프로세스 가스의 흐름 동안 진행되도록 구성되는, 상기 불활성 가스를 흘리는 단계를 포함하는, 기판을 프로세싱하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 샤워-페데스탈은 오리피스들을 규정하는 복수의 홀들을 포함하고,
    상기 오리피스들은,
    샤워-페데스탈의 에지에 근접하게 연장하는 중심 영역에서 상기 기판의 상기 후면을 향하는 방향으로 상기 샤워-페데스탈의 표면에 수직으로 상기 프로세스 가스의 플로우를 지향시키도록, 그리고
    상기 샤워-페데스탈의 상기 에지에 배치된 복수의 오리피스들을 사용하여 상기 중심 영역으로부터 멀어지는 각도에서 상기 프로세스 가스의 플로우를 지향시키도록 구성된, 기판을 프로세싱하기 위한 방법.
  16. 제 14 항에 있어서,
    상기 샤워-페데스탈은 상이한 플로우 레이트들로 상기 프로세스 가스를 전달하거나 복수의 존들 (zones) 각각으로 상이한 프로세스 가스들을 인가하기 위한 상기 복수의 존들을 포함하고, 상기 상이한 프로세스 가스는 상기 기판의 상기 후면 상에 상이한 재료 증착 존들을 규정하도록 구성되는, 기판을 프로세싱하기 위한 방법.
  17. 제 14 항에 있어서,
    상기 캐리어 링의 상단 표면은 상기 샤워헤드의 상단 표면으로부터 적어도 0.5 mm 내에 위치되고 상기 샤워-페데스탈로부터 이격되는, 기판을 프로세싱하기 위한 방법.
  18. 제 14 항에 있어서,
    상기 캐리어 링이 상기 프로세스 가스의 흐름 동안 상기 샤워헤드에 근접하게 위치되고 프로세스 공간이 상기 기판의 후면과 상기 샤워-페데스탈의 상기 상단 표면 사이에 규정되도록, 상기 캐리어 링이 복수의 스페이서들에 의해 지지되는, 기판을 프로세싱하기 위한 방법.
  19. 제 14 항에 있어서,
    스파이더 포크들이 상기 프로세스 가스의 흐름이 수행되는 동안 상기 샤워-페데스탈의 상기 상단 표면으로부터 분리 거리로 상기 캐리어 링을 리프팅하도록 사용되는, 기판을 프로세싱하기 위한 방법.
  20. 제 14 항에 있어서,
    상기 전력은 상기 샤워헤드 또는 상기 샤워-페데스탈 중 하나에 인가되는, 기판을 프로세싱하기 위한 방법.
KR1020207009256A 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템 KR102490169B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020217041761A KR102490171B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020217041758A KR102490172B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/692,300 2017-08-31
US15/692,300 US10851457B2 (en) 2017-08-31 2017-08-31 PECVD deposition system for deposition on selective side of the substrate
PCT/US2018/048029 WO2019046134A1 (en) 2017-08-31 2018-08-24 PECVD DEPOSITION SYSTEM FOR DEPOSITION ON A SELECTED SIDE OF A SUBSTRATE

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020217041761A Division KR102490171B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020217041758A Division KR102490172B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템

Publications (2)

Publication Number Publication Date
KR20200038317A true KR20200038317A (ko) 2020-04-10
KR102490169B1 KR102490169B1 (ko) 2023-01-18

Family

ID=65434865

Family Applications (7)

Application Number Title Priority Date Filing Date
KR1020237001699A KR20230015507A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020217041761A KR102490171B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020207009256A KR102490169B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020237038352A KR20230158133A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020237022595A KR20230107707A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020217041758A KR102490172B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020237013677A KR20230060549A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237001699A KR20230015507A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020217041761A KR102490171B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020237038352A KR20230158133A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020237022595A KR20230107707A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020217041758A KR102490172B1 (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템
KR1020237013677A KR20230060549A (ko) 2017-08-31 2018-08-24 기판의 선택된 측면 상의 증착을 위한 pecvd 증착 시스템

Country Status (8)

Country Link
US (5) US10851457B2 (ko)
EP (1) EP3676418A4 (ko)
JP (1) JP7303796B2 (ko)
KR (7) KR20230015507A (ko)
CN (2) CN111094620B (ko)
SG (1) SG11202001651UA (ko)
TW (3) TWI832722B (ko)
WO (1) WO2019046134A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210129232A (ko) * 2019-03-15 2021-10-27 램 리써치 코포레이션 반도체 제작 적용 예들에서의 마찰 교반 용접
US11830759B2 (en) 2020-02-11 2023-11-28 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112513324B (zh) * 2018-08-09 2023-04-11 东京毅力科创株式会社 成膜装置和成膜方法
WO2020068254A1 (en) * 2018-09-25 2020-04-02 Applied Materials, Inc. Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US20220136107A1 (en) * 2019-01-31 2022-05-05 Lam Research Corporation Showerhead with configurable gas outlets
JP6807420B2 (ja) * 2019-02-21 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
JP2022544221A (ja) * 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP6860048B2 (ja) * 2019-08-30 2021-04-14 株式会社明電舎 原子層堆積方法
KR102656744B1 (ko) * 2020-01-03 2024-04-12 램 리써치 코포레이션 배면 보우 보상 증착의 스테이션-대-스테이션 (station-to-station) 제어
CN115885061A (zh) * 2020-06-25 2023-03-31 朗姆研究公司 具有径向变化等离子体阻抗的承载环
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
CN113388826A (zh) * 2021-06-01 2021-09-14 长江存储科技有限责任公司 一种沉积装置和沉积方法
WO2023141162A1 (en) * 2022-01-21 2023-07-27 Lam Research Corporation Apparatuses for backside wafer processing with edge-only wafer contact related application(s)
CN116716590A (zh) * 2023-04-06 2023-09-08 拓荆科技(上海)有限公司 一种背面沉积腔室及化学气相沉积设备
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2012256895A (ja) * 2007-03-16 2012-12-27 Sosul Co Ltd プラズマ処理装置及びプラズマ処理方法
KR20160072056A (ko) * 2014-12-12 2016-06-22 램 리써치 코포레이션 캐리어 링 구조체 및 이를 포함하는 챔버 시스템들

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
JPH07110991B2 (ja) 1989-10-02 1995-11-29 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
JP3061401B2 (ja) * 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
EP0550058B1 (en) 1991-12-30 1998-11-11 Texas Instruments Incorporated A programmable multizone gas injector for single-wafer semiconductor processing equipment
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
DE19522525A1 (de) * 1994-10-04 1996-04-11 Kunze Concewitz Horst Dipl Phy Verfahren und Vorrichtung zum Feinstreinigen von Oberflächen
JPH0950992A (ja) 1995-08-04 1997-02-18 Sharp Corp 成膜装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
DE19622402C1 (de) 1996-06-04 1997-10-16 Siemens Ag Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
NL1003538C2 (nl) * 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5789028A (en) 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6170496B1 (en) 1998-08-26 2001-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for servicing a wafer platform
NL1011856C2 (nl) * 1999-04-21 2000-10-24 Asm Internat B V Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan.
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
WO2001071784A1 (fr) * 2000-03-17 2001-09-27 Hitachi, Ltd. Procede de fabrication de semi-conducteurs et appareil de fabrication
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
KR100717583B1 (ko) * 2000-08-26 2007-05-15 주성엔지니어링(주) Pecvd 장치
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP4545955B2 (ja) 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
NL1018086C2 (nl) * 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP2003027242A (ja) * 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
MY148924A (en) 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
JP2003115483A (ja) 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
WO2004059736A1 (ja) 2002-12-25 2004-07-15 Fujitsu Limited 半導体装置の製造方法
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US6892769B2 (en) * 2003-06-30 2005-05-17 Lg.Philips Lcd Co., Ltd. Substrate bonding apparatus for liquid crystal display device panel
JP4413084B2 (ja) 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US7410355B2 (en) 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) * 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20070110895A1 (en) 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
KR101109912B1 (ko) * 2004-07-09 2012-06-27 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP4185483B2 (ja) * 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
US7217670B2 (en) 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
KR100690491B1 (ko) 2005-02-18 2007-03-09 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
JP4674512B2 (ja) * 2005-09-12 2011-04-20 パナソニック株式会社 プラズマ処理装置
JP4508054B2 (ja) 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
US7666766B2 (en) 2005-09-27 2010-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, method for forming film, and method for manufacturing photoelectric conversion device
US8097120B2 (en) 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
CN101389415A (zh) 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
JP2007242858A (ja) 2006-03-08 2007-09-20 Wafermasters Inc ウエハ処理システム及び処理方法
KR100818390B1 (ko) 2006-08-24 2008-04-01 동부일렉트로닉스 주식회사 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법
US8951351B2 (en) * 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8852349B2 (en) * 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
KR20080048243A (ko) * 2006-11-28 2008-06-02 엘지디스플레이 주식회사 플라즈마 화학기상 증착장치
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
KR20080092766A (ko) * 2007-04-13 2008-10-16 (주)소슬 기판 지지대 및 이를 구비하는 플라즈마 처리 장치
KR101357699B1 (ko) * 2007-03-16 2014-02-04 참엔지니어링(주) 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090000550A1 (en) * 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
WO2009031520A1 (ja) 2007-09-04 2009-03-12 Sharp Kabushiki Kaisha プラズマ処理装置およびプラズマ処理方法ならびに半導体素子
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
KR101432561B1 (ko) 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
CN101889101B (zh) * 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
JP5265700B2 (ja) 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
WO2009091189A2 (en) 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
EP2104135B1 (en) * 2008-03-20 2013-06-12 Siltronic AG A semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer
KR101515150B1 (ko) 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2009125951A2 (en) 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR20090118676A (ko) 2008-05-14 2009-11-18 (주)퓨전에이드 기판처리장치
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
CN101358337B (zh) 2008-09-25 2010-08-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
DE102009020436A1 (de) 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
EP2251897B1 (en) 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
JP4969607B2 (ja) 2009-05-25 2012-07-04 シャープ株式会社 半導体積層構造体の製造方法
US20100314725A1 (en) 2009-06-12 2010-12-16 Qualcomm Incorporated Stress Balance Layer on Semiconductor Wafer Backside
EP2281921A1 (en) 2009-07-30 2011-02-09 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition.
US8334017B2 (en) 2009-09-18 2012-12-18 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP4794685B1 (ja) 2010-10-19 2011-10-19 ミクロ技研株式会社 基板処理装置及び基板処理方法
US8531654B2 (en) 2010-10-21 2013-09-10 Panduit Corp. Method for designing and selecting optical fiber for use with a transmitter optical subassembly
US8470614B2 (en) * 2010-10-28 2013-06-25 Texas Instruments Incorporated PECVD showerhead configuration for CMP uniformity and improved stress
EP2481830A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition.
EP2481832A1 (en) 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9175391B2 (en) 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8980767B2 (en) 2012-01-13 2015-03-17 Applied Materials, Inc. Methods and apparatus for processing a substrate
WO2014064779A1 (ja) 2012-10-24 2014-05-01 株式会社Jcu プラズマ処理装置及び方法
CN103904155B (zh) * 2012-12-28 2017-12-05 上海理想万里晖薄膜设备有限公司 硅基异质结太阳能电池真空处理系统及电池制备方法
KR101562192B1 (ko) 2013-02-15 2015-10-22 최대규 플라즈마 반응기
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9975801B2 (en) * 2014-07-31 2018-05-22 Corning Incorporated High strength glass having improved mechanical characteristics
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US10184179B2 (en) 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
KR101564962B1 (ko) 2014-01-29 2015-11-03 주식회사 루미스탈 기판의 하면 에칭이 가능한 반도체 기판 처리 장치 및 이를 이용한 반도체 기판 처리 방법
KR102014279B1 (ko) 2014-02-27 2019-08-26 주식회사 원익아이피에스 기판 처리 장치
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US10611664B2 (en) 2014-07-31 2020-04-07 Corning Incorporated Thermally strengthened architectural glass and related systems and methods
US11097974B2 (en) * 2014-07-31 2021-08-24 Corning Incorporated Thermally strengthened consumer electronic glass and related systems and methods
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
CN104835712A (zh) * 2015-03-25 2015-08-12 沈阳拓荆科技有限公司 一种应用于半导体等离子体处理装置的弧面喷淋头
US20160289827A1 (en) 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US9870917B2 (en) 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US10301718B2 (en) 2016-03-22 2019-05-28 Lam Research Corporation Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
US9644271B1 (en) * 2016-05-13 2017-05-09 Lam Research Corporation Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10109517B1 (en) 2018-01-10 2018-10-23 Lam Research Corporation Rotational indexer with additional rotational axes
CN112513324B (zh) 2018-08-09 2023-04-11 东京毅力科创株式会社 成膜装置和成膜方法
CN109273378B (zh) 2018-09-20 2021-11-02 长江存储科技有限责任公司 平衡晶圆弯曲度分布的方法
KR102185623B1 (ko) 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
JP2022544221A (ja) 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2012256895A (ja) * 2007-03-16 2012-12-27 Sosul Co Ltd プラズマ処理装置及びプラズマ処理方法
KR20160072056A (ko) * 2014-12-12 2016-06-22 램 리써치 코포레이션 캐리어 링 구조체 및 이를 포함하는 챔버 시스템들

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210129232A (ko) * 2019-03-15 2021-10-27 램 리써치 코포레이션 반도체 제작 적용 예들에서의 마찰 교반 용접
US11830759B2 (en) 2020-02-11 2023-11-28 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge

Also Published As

Publication number Publication date
US20210108314A1 (en) 2021-04-15
KR20230107707A (ko) 2023-07-17
KR102490169B1 (ko) 2023-01-18
US20220162753A1 (en) 2022-05-26
US10851457B2 (en) 2020-12-01
US20190062918A1 (en) 2019-02-28
JP7303796B2 (ja) 2023-07-05
US11851760B2 (en) 2023-12-26
KR20210157435A (ko) 2021-12-28
TW202342808A (zh) 2023-11-01
EP3676418A1 (en) 2020-07-08
KR20230060549A (ko) 2023-05-04
EP3676418A4 (en) 2021-07-21
KR102490172B1 (ko) 2023-01-18
KR102490171B1 (ko) 2023-01-18
CN115613010A (zh) 2023-01-17
US11725283B2 (en) 2023-08-15
TW202227663A (zh) 2022-07-16
SG11202001651UA (en) 2020-03-30
US11441222B2 (en) 2022-09-13
KR20230015507A (ko) 2023-01-31
CN111094620A (zh) 2020-05-01
TWI800332B (zh) 2023-04-21
JP2020532858A (ja) 2020-11-12
US20220162755A1 (en) 2022-05-26
KR20230158133A (ko) 2023-11-17
TW201930640A (zh) 2019-08-01
TWI832722B (zh) 2024-02-11
KR20210157436A (ko) 2021-12-28
TWI762709B (zh) 2022-05-01
WO2019046134A1 (en) 2019-03-07
US20220162754A1 (en) 2022-05-26
CN111094620B (zh) 2022-09-09

Similar Documents

Publication Publication Date Title
US11441222B2 (en) PECVD deposition system for deposition on selective side of the substrate
US20240167161A1 (en) Spatially tunable deposition to compensate within wafer differential bow
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant