TWI722611B - 具有源極結構的三維記憶體裝置和其形成方法 - Google Patents

具有源極結構的三維記憶體裝置和其形成方法 Download PDF

Info

Publication number
TWI722611B
TWI722611B TW108138033A TW108138033A TWI722611B TW I722611 B TWI722611 B TW I722611B TW 108138033 A TW108138033 A TW 108138033A TW 108138033 A TW108138033 A TW 108138033A TW I722611 B TWI722611 B TW I722611B
Authority
TW
Taiwan
Prior art keywords
source
layer
contact
connection layer
adjacent
Prior art date
Application number
TW108138033A
Other languages
English (en)
Other versions
TW202107630A (zh
Inventor
徐文祥
徐偉
黃攀
嚴萍
霍宗亮
周文斌
夏季
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202107630A publication Critical patent/TW202107630A/zh
Application granted granted Critical
Publication of TWI722611B publication Critical patent/TWI722611B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本發明提供了用於形成三維(3D)記憶體裝置的結構和方法的實施例。在一示例中,3D記憶體裝置包括儲存疊層、多個通道結構和源極結構。儲存疊層在基底之上並且包括交錯的多個導體層和多個絕緣層。多個通道結構在儲存疊層中垂直地延伸。源極結構在儲存疊層中延伸。源極結構包括多個源極接觸部,各源極接觸部在各自的絕緣結構中,以及多個源極接觸部中的兩個鄰近源極接觸部互相導電地連接。

Description

具有源極結構的三維記憶體裝置和其形成方法
本案實施例涉及具有減小的電阻的源極結構的三維(3D)記憶體裝置和用於形成此3D記憶體裝置的方法。
通過改善製造技術、電路設計、程式設計演算法和製程,平面儲存單元被微縮到更小尺寸。然而,隨著儲存單元的特徵尺寸接近下限,平面製程和製造技術變得具有挑戰性且成本高昂。結果,平面儲存單元的儲存密度接近上限。
3D記憶體架構能夠解決平面存儲單元中的密度限制。3D記憶體架構包括記憶體陣列以及週邊元件。
本案提供了3D記憶體裝置和用於形成此3D記憶體裝置的方法的實施例。
在一個示例中,3D記憶體裝置包括儲存疊層、多個通道結構和源極結構。儲存疊層在基底之上並且包括交錯的多個導體層和多個絕緣層。多個通道結構在儲存疊層中垂直地延伸。源極結構在儲存疊層中延伸。源極結構包括多個源極接觸部,各源極接觸部在各自的絕緣結構中,以及多個源極接觸部中的兩個鄰近源極接觸部互相導電地連接。
在另一示例中,3D記憶體裝置包括儲存疊層、多個通道結構和多個源極結構。儲存疊層在基底之上並且包括交錯的多個導體層和多個絕緣層。多個通道結構在儲存疊層中垂直地延伸。多個源極結構在儲存疊層中沿著橫向方向平行地延伸。多個源極結構均包括:多個源極接觸部,各源極接觸部在各自的絕緣結構中;多個支撐結構,各支撐結構沿著橫向方向與鄰近絕緣結構相接觸;以及導電地連接到多個源極接觸部中的至少兩個鄰近源極接觸部的連接層。
在進一步的示例中,用於形成3D記憶體裝置的方法包括以下操作。首先,在疊層結構中形成切口結構,疊層結構包括交錯的多個初始犧牲層和多個初始絕緣層。將疊層結構的鄰近於切口結構的部分移除以形成縫隙結構和初始支撐結構,初始支撐結構將縫隙結構劃分成多個縫隙開口。穿過多個縫隙開口形成多個導體部分以形成支撐結構。在多個縫隙開口中的各縫隙開口中形成源極接觸部。形成連接層的與多個縫隙開口中的鄰近縫隙開口中的源極接觸部相接觸並且導電地連接到多個縫隙開口中的鄰近縫隙開口中的源極接觸部的一對第一部分。形成連接層的與連接層的該對第一部分相接觸並且導電地連接到連接層的該對第一部分的第二部分。
儘管討論了具體的配置和設置,但應該理解,這僅僅是為了說明的目的而進行的。相關領域的技術人員將認識到,在不脫離本案公開的精神和範圍的情況下,可以使用其他配置和設置。對於相關領域的技術人員顯而易見的是,本案公開的內容還可以用於各種其他應用中。
應當注意到,在說明書中對「一個實施例」、「實施例」、「示例性實施例」、「一些實施例」等的引用指示所描述的實施例可以包括特定的特徵、結構或特性,但是每個實施例可能不一定包括該特定的特徵、結構或特性。而且,這樣的詞語不一定是指代相同的實施例。此外,當結合實施例描述特定特徵、結構或特性時,無論是否明確描述,結合其他實施例來實現這樣的特徵、結構或特性都在相關領域的技術人員的知識範圍內。
通常,可以至少部分地從上下文中的用法來理解術語。例如,至少部分取決於上下文,如本文所使用的術語「一或多個」可用於以單數意義描述任何特徵、結構或特性,或可用於以複數意義描述特徵、結構或特徵的組合。類似地,至少部分取決於上下文,諸如「一」、「一個」或「所述」等術語同樣可以被理解為表達單數用法或表達複數用法。另外,術語「基於」可以被理解為不一定旨在表達一組排他性的因素,而是可以替代地,同樣至少部分地取決於上下文,允許存在不一定明確描述的其他因素。
如本文所使用的,術語「名義/名義上」是指在產品或製程的設計階段期間設定的部件或製程操作的特性或參數的期望值或目標值、以及高於和/或低於期望值的值的範圍。值的範圍可以是由於製程或公差的輕微變化而引起的。如本文所使用的,術語「大約」表示可以基於與主題半導體元件相關聯的特定技術節點而變化的給定量值。基於特定的技術節點,術語「大約」可以表示給定量的值,該給定量的值例如在該值的10-30%內變化(例如,值的±10%、±20%或±30%)。
如在本文中使用的,階梯結構指的是包括至少兩個水平表面(例如,沿著x-y平面)和至少兩個(例如,第一和第二)垂直表面(例如,沿著z軸)的一組表面,使得各水平表面鄰接從水平表面的第一邊緣向上延伸的第一垂直表面,以及鄰接從水平表面的第二邊緣向下延伸的第二垂直表面。「臺階」或「階梯」指的是在一組鄰接的表面的高度上的垂直移位。在本案公開內容中,術語「階梯」和術語「臺階」指的是階梯結構的一個層次且可互換地被使用。在本案公開內容中,水平方向可以指的是與基底(例如,提供製造平臺用於形成在其之上的結構的基底)的頂表面平行的方向(例如,x軸或y軸),以及垂直方向可以指的是垂直於結構的頂表面的方向(例如,z軸)。
在各種電子產品中廣泛使用的NAND快閃記憶體裝置是非易失性的、重量輕的、具有低功率消耗和良好的性能。當前,平面NAND快閃記憶體設備已經達到其儲存限度。為了進一步增加儲存容量並減小每比特儲存成本,已經提出了3D NAND記憶體裝置。現有的3D NAND記憶體裝置常常包括多個記憶區塊。鄰近的記憶區塊常常由GLS分離,在其中形成陣列共源極(ACS)。在形成現有3D NAND記憶體裝置的製造方法中,由於層次(或導體/絕緣體對)的增加的數量,形成GLS的蝕刻製程變成挑戰性的。例如,GLS可能更易受變形(例如,特徵尺寸的波動)的影響,使鄰近GLS的記憶區塊變形或甚至崩塌。3D NAND記憶體裝置的性能可能受到影響。
第8圖示出具有變形的GLS和變形的記憶區塊的現有3D記憶體裝置800。如第8圖所示,在基底802之上形成記憶區塊811。多個GLS(例如,806-1和806-2)延伸穿過記憶區塊811以裸露基底802。多個通道結構804設置在GLS 806-1與806-2之間的記憶區塊中。由於變形,GLS(例如,806-1或806-2)的橫向尺寸(例如,直徑D)沿著垂直方向(例如,z方向)變化,使記憶區塊和通道結構804從它們的期望位置/方位移動。這些變形可能在形成在GLS中的ACS的隨後的製造過程中導致光刻錯位和漏電。
本案公開內容提供帶有具有減小的電阻的源極結構的3D記憶體裝置(例如,3D NAND記憶體裝置)以及用於形成3D記憶體裝置的方法。3D記憶體裝置採用將縫隙結構劃分成多個縫隙開口的一或多個支撐結構,在其中形成源極接觸部。支撐結構均與鄰近記憶區塊相接觸,在對導體層/部分和源極接觸部的形成期間提供對3D記憶體裝置的整個結構的支撐。然後在製造過程期間3D記憶體裝置不太易受變形或損壞的影響。
在3D記憶體裝置中,至少兩個鄰近源極接觸部通過連接層彼此相接觸並且互相導電地連接,連接層包括導電材料,諸如鎢。在源極結構中的一或多對鄰近源極接觸部可以通過連接層相接觸並且導電地連接在一起。不是使用各自的接觸插塞在多個源極接觸部中的各源極接觸部上施加源極電壓,源極電壓是通過連接層被施加在源極接觸部(例如,與連接層相接觸的源極接觸部)上的,減少或消除對接觸插塞的使用。可以減小源極結構的電阻。在連接層與源極接觸部之間的接觸區域可以足夠大以進一步減小源極結構的電阻。在一些實施例中,連接層與在源極結構中的所有源極接觸部相接觸並且導電地連接到在源極結構中的所有源極接觸部,進一步減小源極結構的電阻。
第1A圖示出根據一些實施例的示例性3D記憶體裝置100的平面圖。第1B圖示出在第1A圖中沿著C-D方向示出的3D記憶體裝置100的截面圖。第1C圖示出在第1A圖中沿著A-B方向示出的3D記憶體裝置100的截面圖。如第1A圖所示,3D記憶體裝置100可以包括核心區,在其中一或多個(例如,一對)源極區22沿著x方向延伸。可以在各源極區22中形成源極結構。一或多個塊區21可以在該對源極區22之間,其中在塊區21中形成多個儲存單元。可以在各塊區21中形成記憶區塊。
如第1A-1C圖所示,3D記憶體裝置100可以包括基底102和在基底102之上的疊層結構111。在塊區21中,疊層結構111可以包括在基底102之上交錯的多個導體層123和多個絕緣層124。在塊區21中,疊層結構111還可以包括沿著垂直方向(例如,z方向)延伸穿過疊層結構111到基底102中的多個通道結構110。各通道結構110可以包括在底部處的磊晶部分、在頂部處的汲極結構和在磊晶部分與汲極結構之間的半導體通道。半導體通道可以包括記憶膜、半導體層和介電核。磊晶部分可以與基底102相接觸並且導電地連接到基底102。半導體通道可以與汲極結構和磊晶部分相接觸並且導電地連接到汲極結構和磊晶部分。多個儲存單元可以是通過半導體通道和控制導體層來形成的。
可以在源極區22中形成源極結構以沿著x方向延伸。源極結構可以包括多個源極接觸部104,各源極接觸部104在各自的絕緣結構(未示出)中。源極接觸部104和在一個源極區22中(例如,在同一源極結構內)形成的各自的絕緣結構可以是沿著x方向對齊的。源極結構可以均垂直地延伸穿過疊層結構111和基底102。源極電壓可以是通過源極結構和基底102施加到儲存單元。3D記憶體裝置100可以包括一或多個支撐結構220,所述支撐結構220沿著x方向對齊並且將源極結構劃分成多個源極接觸部104,各源極接觸部104在各自的絕緣結構中。在一些實施例中,支撐結構220包括切口結構114和在切口結構114與基底102之間的部分疊層221。部分疊層221可以包括在基底102之上的交錯的多個導體部分223和絕緣部分224。各支撐結構220可以沿著y方向與鄰近記憶區塊(或塊區21)相接觸,並且沿著x方向與各自的源極結構的鄰近絕緣結構相接觸。支撐結構220可以在源極結構和導體層123的形成期間提供對3D記憶體裝置100的支撐。3D記憶體裝置100可以進一步包括與至少兩個鄰近源極接觸部104相接觸並且導電地連接到至少兩個鄰近源極接觸部104的連接層108,以及部分地覆蓋連接層108的介電覆蓋層115。介電覆蓋層115可以覆蓋與源極接觸部104相接觸並且覆蓋源極接觸部104的連接層108的部分,並且裸露在鄰近源極接觸部104之間的連接層108的部分。可以在連接層108的被裸露部分上形成用於導電地施加源極電壓地接觸插塞(未示出)。在一些實施例中,連接層108在源極結構中的所有源極接觸部104之上並且與該所有源極接觸部104相接觸,使得源極電壓可以通過連接層108施加在源極結構的所有源極接觸部104上。與使用各自的接觸插塞將源極電壓施加到各源極接觸部104上相比,可以減小源極結構的電阻。在一些實施例中,介電覆蓋層115還覆蓋塊區21的至少一部分。在一些實施例中,介電覆蓋層115覆蓋在塊區21中的所有通道結構110。用於導電地施加汲極電壓的接觸插塞(未示出)可以是延伸穿過介電覆蓋層115來形成的,並且形成與通道結構110的接觸。為了便於說明,途中沒有描繪出在塊區21中的介電覆蓋層115的覆蓋情況。下文將描述第1A-1C圖所示的各結構的細節。
基底102可以包括矽(例如,單晶矽)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、絕緣體上覆矽(SOI)、絕緣體上覆鎵(GOI)或任何其它適當的材料。在一些實施例中,基底202是變薄的基底(例如,半導體層),其是通過研磨、蝕刻、化學機械拋光(CMP)或其任何組合來變薄的。在一些實施例中,基底102包括矽。
通道結構110可以形成陣列,以及均可以在基底102之上垂直地延伸。通道結構110可以延伸穿過多個對,各對包括導體層123和絕緣層124(在本文被稱為「導體/絕緣層對」)。至少在沿著水平方向(例如,x方向和/或y方向)的一側上,疊層結構111可以包括階梯結構(未示出)。在疊層結構111中的導體/絕緣層對的數量(例如32、64、96或128)確定在3D記憶體裝置100中的儲存單元的數量。在一些實施例中,在疊層結構111中的導體層123和絕緣層124沿著垂直方向交替地設置在塊區21中。導體層123可以包括導電材料,包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、多晶矽、摻雜矽、矽化物或其任何組合。絕緣層124可以包括介電材料,包括但不限於氧化矽、氮化矽、氮氧化矽或其任何組合。在一些實施例中,導體層123可以包括具有多個頂部選擇導體層的頂部導體層和具有多個底部選擇導體層的底部導體層。頂部選擇導體層可以起頂部選擇閘極電極的作用,以及底部選擇導體層可以起底部選擇閘極電極的作用。在頂部導體層與底部導體層之間的導體層123可以起選擇閘極電極的作用,並且形成具有交叉通道結構110的儲存單元。頂部選擇閘極電極和底部選擇閘極電極可以分別被施加有期望的電壓以選擇期望的記憶區塊/指狀物/記憶頁。
通道結構110可以包括垂直延伸穿過疊層結構111的半導體通道。半導體通道可以包括填充有通道形成結構(例如,半導體材料(例如,作為半導體層)和介電材料(例如,作為記憶膜))的通道孔。在一些實施例中,半導體層包括矽,諸如非晶形矽、多晶矽或單晶矽。在一些實施例中,記憶膜是包括穿隧層、儲存層(也被稱為「電荷捕獲層」)和阻擋層的複合層。半導體通道的通道孔的剩餘空間可以部分地或全部被填充有包括介電材料(諸如氧化矽)的介電核。半導體通道可以具有圓柱體形狀(例如,立柱形狀)。根據一些實施例,介電核、半導體層、穿隧層、儲存層和阻擋層是以這個順序從立柱的中心朝著外表面徑向地設置的。穿隧層可以包括氧化矽、氮氧化矽或其任何組合。儲存層可以包括氮化矽、氮氧化矽、矽或其任何組合。阻擋層可以包括氧化矽、氮氧化矽、高介電常數(高k)介電或其任何組合。在一個示例中,儲存層可以包括氧化矽/氮氧化矽(或氮化矽)/氧化矽(ONO)的複合層。
在一些實施例中,通道結構110進一步包括在通道結構110的下部分中(例如,底部的下端處)的磊晶部分(例如,半導體插塞)。如在本文中使用的,當基底102位於3D記憶體裝置100的最低平面中時,元件(例如,通道結構110)的「上端」是在垂直方向上更遠離基底102的端部,以及元件(例如,通道結構110)的「下端」是在垂直方向上更接近基底102的端部。磊晶部分可以包括在任何適當的方向上從基底102磊晶地生長的半導體材料,諸如矽。應當理解的是,在一些實施例中,磊晶部分包括單晶矽,與基底202相同的材料。換句話說,磊晶部分可以包括從基底102生長的磊晶地生長的半導體層。磊晶部分還可以包括與基底102不同的材料。在一些實施例中,磊晶部分包括矽、鍺和矽鍺中的至少一者。在一些實施例中,磊晶部分的一部分在基底102的頂表面上方並且與半導體通道相接觸。磊晶部分可以導電地連接到半導體通道。在一些實施例中,磊晶部分的頂表面位元於底部絕緣層124(例如,在疊層結構111的底部處的絕緣層)的頂表面與底表面之間。
在一些實施例中,通道結構110進一步包括在通道結構110的上部分中(例如,在上端處)的汲極結構(例如,通道插塞)。汲極結構可以與半導體通道的上端相接觸並且可以導電地連接到半導體通道。汲極結構可以包括半導體材料(例如,多晶矽)或導電材料(例如,金屬)。在一些實施例中,汲極結構包括填充有Ti/TiN或Ta/TaN作為黏附層和填充有鎢作為導電材料的開口。通過在3D記憶體裝置100的製造期間覆蓋半導體通道的上端,汲極結構可以起蝕刻停止層的作用,以防止對在半導體通道中填充的介電(諸如氧化矽和氮化矽)的蝕刻。
如第1A-1C圖所示,可以在源極區22中形成源極結構。沿著x方向對齊的源極結構可以包括多個源極接觸部104,源極接觸部104均在各自的絕緣結構(未示出)中。各源極接觸部104可以與基底102相接觸並且導電地連接到基底102。絕緣結構可以使各自的源極接觸部104與在鄰近塊區21中的導體層123絕緣。在一些實施例中,源極接觸部104包括多晶矽、鋁、鈷、銅和矽化物中的至少一者。絕緣結構可以包括適當的介電材料,諸如氧化矽、氮化矽和氮氧化矽中的一或多者。
一或多個支撐結構220可以沿著x方向分佈在各自的源極結構中。在一些實施例中,支撐結構220將各自的源極結構劃分成多個源極接觸部104,各源極接觸部104在各自的絕緣結構(例如,圍繞源極接觸部104的絕緣結構)中。在一些實施例中,各源極接觸部104和各自的絕緣結構是通過支撐結構220來與另一源極接觸部104和另一絕緣結構分離的。與在鄰近塊區21中的疊層結構111的部分相接觸的支撐結構220可以包括切口結構114和在切口結構114之下的部分疊層221。在一些實施例中,部分疊層221包括交錯的多個導體部分223和多個絕緣部分224。在一些實施例中,切口結構114沿著y方向的寬度可以大於、等於或小於源極接觸部104和各自的絕緣結構的總寬度(例如,源極結構的寬度)。在一些實施例中,切口結構114沿著y方向的寬度等於或小於源極結構的寬度。在一些實施例中,切口結構114沿著z方向的厚度t可以在兩個導體/絕緣對(即,交錯的兩個導體層123和兩個絕緣層124)與四個導體/絕緣對(即,交錯的四個導體層123和四個絕緣層124)之間。切口結構114可以與在鄰近塊區21中的多個交錯的導體層123和絕緣層124相接觸。導體部分223和絕緣部分224可以分別與在鄰近塊區21中的相同層次的相應的導體層123和絕緣層124相接觸。在一些實施例中,源極接觸部104的頂表面沿著z方向低於支撐結構220的頂表面。在一些實施例中,同一源極結構的所有源極接觸部104的頂表面低於所有支撐結構220的頂表面。在一些實施例中,支撐結構220包括在切口結構114之下的間隔體層225和周圍的部分疊層221。間隔體層225可以提供在部分疊層221與鄰近源極接觸部104之間的進一步的絕緣。
各源極結構可以進一步包括在至少兩個鄰近源極接觸部104之上並且與至少兩個鄰近源極接觸部104相接觸的連接層108。例如,連接層108可以與一對或多對鄰近源極接觸部104相接觸並且導電地連接到一對或多對鄰近源極接觸部104。連接層108可以導電地連接到其所相接觸的源極接觸部104。在一些實施例中,連接層108可以部分地或全部覆蓋其相接觸的源極接觸部104。如第1A-1C圖所示,連接層108可以在兩個鄰近源極接觸部104和在兩個鄰近源極接觸部104之間的支撐結構220之上。例如,連接層108可以部分地或全部覆蓋兩個鄰近源極接觸部104和在兩個鄰近源極接觸部104之間的支撐結構220。與源極接觸部104相接觸並且導電地連接到源極接觸部104的連接層108的部分可以稱為連接層108的第一部分108-1。與支撐結構220相接觸的連接層108的部分可以稱為連接層108的第二部分108-2。在一些實施例中,連接層108的第二部分108-2可以與一對第一部分108-1(例如,沿著x方向在第二部分108-2的兩側上的鄰近第一部分108-1)相接觸並且導電地連接到該對第一部分108-1。在一些實施例中,連接層108可以包括沿著x方向彼此相接觸並且互相導電地連接的多個第一部分108-1和多個第二部分108-2。在一些實施例中,連接層108的第二部分108-2的頂表面可以高於連接層108的第一部分108-1的頂表面。
在一些實施例中,連接層108可以包括不只一個區段,各區段包括彼此相接觸的至少一個第二部分108-2和多個第一部分108-1。各區段可以在源極結構的一或多對鄰近源極接觸部104之上並且與該一或多對鄰近源極接觸部104相接觸。例如,連接到連接層108的不同區段的一對或多對鄰近源極接觸部104可以是由不與連接層108相接觸的一或多個源極接觸部104來分離的。在連接層108中的特定數量的區段應當是基於3D記憶體裝置100的設計和/或製造來確定的,以及不應當被本案公開內容的實施例限制。在一些實施例中,連接層108可以在各自的源極結構中的所有源極接觸部104之上並且與所有源極接觸部104相接觸。源極電壓可以施加在源極結構的第二部分108-2上,所以連接到連接層108的所有源極接觸部104可以被施加有源極電壓。
在一些實施例中,連接層108(或其區段,如果有的話)沿著y方向的寬度可以改變,取決於3D記憶體裝置100的設計和/或製造過程。在一些實施例中,連接層108可以部分地覆蓋在下面的源極接觸部104。也就是說,連接層108沿著y方向的寬度等於或小於源極結構沿著y方向的寬度。在一些實施例中,介電覆蓋層125可以覆蓋連接層108的第一部分108-1並且裸露連接層的第二部分108-2。連接層108的第二部分108-2的寬度d1可以小於或等於介電覆蓋層115沿著y方向的寬度d2。在一些實施例中,寬度d1小於寬度d2,所以介電覆蓋層115可以沿著橫向方向(例如,在x-y平面中)使第二部分108-2與周圍的結構和/或裝置絕緣。在一些實施例中,在第二部分108-2上形成導電插塞(現在示出,用於將源極電壓施加在連接層108上)。在一些實施例中,介電覆蓋層115可以部分地位於塊區21中。在一些實施例中,介電覆蓋層115在覆蓋塊區21中的所有通道結構110。用於導電地施加汲極電壓的接觸插塞(未示出)可以是隨後延伸穿過介電覆蓋層115來形成的,以及形成與通道結構110的接觸。
在一些實施例中,切口結構114包括不同於犧牲層的適當材料。在形成導體層123和導體部分223的閘極替換過程期間,切口結構114可以保持免於對犧牲層的蝕刻。在一些實施例中,切口結構114包括氧化矽、氮化矽和/或氮氧化矽中的一或多者。在一些實施例中,導體部分223可以包括與在鄰近塊區21中的導體層123相同的材料,以及絕緣部分224可以包括與在鄰近塊區21中的絕緣層124相同的材料。例如,導體部分223可以包括鎢、鋁、鈷、銅、多晶矽和矽化物中的一或多者,以及絕緣部分224可以包括氧化矽、氮化矽和/或氮氧化矽中的一或多者。在一些實施例中,連接層108包括鎢、鋁、鈷、銅、多晶矽和矽化物中的一或多者。在一些實施例中,源極接觸部104包括多晶矽,以及連接層108包括鎢。在一些實施例中,介電覆蓋層115包括氧化矽。在一些實施例中,3D記憶體裝置100包括在源極接觸部104與連接層108之間的黏附層,例如TiN,以提高在源極接觸部104與連接層108之間的黏附力和/或導電性。在一些實施例中,3D記憶體裝置100包括在源極接觸部104的各自的絕緣結構與支撐結構220之間的另一黏附層,例如TiN,以提高在絕緣結構與支撐結構220之間的黏附力。
3D記憶體裝置100可以是單片3D記憶體裝置的部分。術語「單片」意指3D記憶體裝置的元件(例如,週邊設備和儲存陣列設備)是在單個基底上形成的。對於單片3D記憶體裝置,由於週邊設備處理和儲存陣列設備處理的捲繞,製造遇到額外的限制。例如,對儲存陣列設備(例如,NAND通道結構)的製造是通過與在同一基底上已經形成或將要形成的週邊設備相關聯的熱預算來約束的。
或者,3D記憶體裝置100可以是非單片3D記憶體裝置的部分,在其中元件(例如,週邊設備和儲存陣列設備)可以是單獨地在不同的基底上形成並且然後例如以面對面方式被鍵合的。在一些實施例中,儲存陣列設備基底(例如,基底102)保持作為鍵合的非單片3D記憶體裝置的基底,以及週邊設備(例如,包括用於促進3D記憶體裝置100的操作的任何適當的數位、類比和/或混合信號週邊電路,諸如頁面緩衝器、解碼器和鎖存器;未示出)被翻轉並且面向下朝著儲存陣列設備(例如,NAND記憶體串)用於混合鍵合。應當理解的是,在一些實施例中,儲存陣列設備基底(例如,基底102)被翻轉並且面向下朝著週邊設備(未示出)用於混合鍵合,使得在鍵合的非單片3D記憶體裝置中,儲存陣列設備在週邊設備之上。儲存陣列設備基底(例如,基底102)可以是變薄的基底(其不是鍵合的非單片3D記憶體裝置的基底),以及非單片3D記憶體裝置的後段製程(BEOL)互連可以是在薄化的儲存陣列設備基底的背面上形成的。
第7A圖示出用於在製造過程中使用的蝕刻遮罩的示例性圖案集700。第7B圖示出圖案集的單元750的放大圖。在圖案集700中的圖案可以在製造過程的不同階段中使用以形成3D記憶體裝置100。在各種實施例中取決於在圖案化製程中使用的光阻的類型,在圖案集700中的圖案均可以是蝕刻遮罩的一部分或用於確定蝕刻遮罩的圖案。例如,如果負性光阻用於圖案化,則在圖案集700中的圖案可以用作蝕刻遮罩的部分;如果正性光阻用於圖案化,則在圖案集700中的圖案可以是用於確定蝕刻遮罩的互補圖案。應當注意的是,在第7A圖和第7B圖中所示的形狀、尺寸和比率是出於說明性目的且不按比例。
如第7A圖所示,圖案集700包括圖案702、704、706和708。特別地,圖案702可以用於對縫隙結構的縫隙開口進行圖案化,圖案704可以用於對連接層108進行圖案化,圖案706可以用於對切口結構114進行圖案化,以及圖案708可以用於形成與連接層108和週邊電路相接觸並且導電地連接到連接層108和週邊電路的接觸插塞。圖案集700可以包括用於形成切口結構114、縫隙開口和連接層108的多個重複單元,例如750。圖案702、704和706的尺寸可以是基於製造過程來確定的,以及不應當被本案公開內容的實施例限制。
第7B圖示出重複單元750,其示出各圖案的細節,例如覆蓋。取決於製造過程,如果切口結構114用作為蝕刻遮罩以形成縫隙開口,則圖案706沿著y方向的寬度W1可以等於或大於縫隙開口的寬度,以便隨後形成的支撐結構220與塊區21相接觸。如果單獨的蝕刻遮罩(例如,圖案702)用作為蝕刻遮罩以形成縫隙開口,則圖案706的寬度W1可以小於、等於或大於圖案702的寬度W2;以及圖案706的長度D1可以大於或等於在圖案702的兩個部分之間的長度D2,以便圖案702的兩個部分都可以與圖案706重疊以確保縫隙開口和支撐結構220具有期望的尺寸。圖案704的寬度W3可以小於或等於圖案706的寬度W1以確保連接層108是由隨後形成的介電覆蓋層115有效地限制/絕緣的。圖案704的長度D3可以分別等於或大於長度D2和長度D1,以確保連接層108的第一部分108-1的足夠區域被裸露,所以連接層108的第二部分108-2可以具有與連接層108的第一部分108-1的足夠的接觸區域。在一些實施例中,W3>W1>W2以及D2>D1>D3。下文可以在用於形成3D記憶體裝置100的製造過程中描述應用圖案的順序。
根據一些實施例,第2-6圖示出用以形成3D記憶體裝置100的製造過程,以及第9圖示出製造過程的流程圖900。為了便於說明,第7A圖和第7B圖連同第2-6圖一起被示出以描述製造過程。
在過程的開始處,在疊層結構中形成至少一個切口結構(操作902)。第2A圖和第2B圖示出相應的結構200。
如第2A圖和第2B圖中所示,切口結構114是在疊層結構111中形成的。疊層結構111可以具有在基底102之上形成的交錯的初始犧牲層133i和初始絕緣層134i的介電疊層。初始犧牲層133i可以用於隨後對導體層123的形成。初始絕緣層134i可以用於隨後對絕緣層124的形成。在一些實施例中,疊層結構111包括在疊層結構111的頂表面上的第一介電覆蓋層(未示出)。3D記憶體裝置100可以包括用於形成通道結構110的通道區。通道區可以包括多個源極區22和在鄰近源極區22之間的阻擋區21。
疊層結構111可以具有階梯結構。可以通過使用蝕刻遮罩(例如,在材料疊層之上的圖案化的PR層)對包括多個交錯的犧牲材料層和絕緣材料層的材料疊層重複地進行蝕刻來形成階梯結構。可以通過將犧牲材料的層和絕緣材料的層交替地沉積在基底102之上來形成交錯的犧牲材料層和絕緣材料層,直到達到期望的數量的層為止。犧牲材料層和絕緣材料層可以具有相同或不同的厚度。在一些實施例中,犧牲材料層和在下面的絕緣材料層被稱為介電對。在一些實施例中,一個或多個介電對可以形成一個層次/階梯。在階梯結構的形成期間,PR層被修剪(例如,從材料疊層的邊界、常常從所有方向遞增地和向內被蝕刻)以及用作為用於對材料疊層的被裸露部分進行蝕刻的蝕刻遮罩。所修剪的PR的數量可以直接地與階梯的尺寸有關(例如,是決定性的)。可以使用適當的蝕刻(例如,等向性乾蝕刻,諸如濕蝕刻)來獲得對PR層的修剪。可以連續地形成和修剪一個或多個PR層,用於形成階梯結構。在對PR層的修剪之後,可以使用適當的蝕刻劑來蝕刻各介電對,以移除犧牲材料層和在下面的絕緣材料層的一部分。所蝕刻的犧牲材料層和絕緣材料層可以形成初始犧牲層133i和初始絕緣層134i。然後可以移除PR層。
絕緣材料層和犧牲材料層可以在隨後的閘極替換過程期間具有不同的蝕刻選擇性。在一些實施例中,絕緣材料層和犧牲材料層包括不同的材料。在一些實施例中,絕緣材料層包括氧化矽,以及對絕緣材料層的沉積包括化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)和濺鍍中的一或多者。在一些實施例中,犧牲材料層包括氮化矽,以及對絕緣材料層的沉積包括CVD、PVD、ALD和濺鍍中的一或多者。在一些實施例中,對犧牲材料層和絕緣材料層的蝕刻包括一或多個適當的異向性蝕刻製程,例如乾蝕刻。
可以在形成切口結構114之前或之後在塊區21中形成多個通道結構110。可以在形成導體層123之前形成通道結構110。作為示例,在形成切口結構114之前形成通道結構110。為了形成通道結構110,可以形成垂直地延伸穿過疊層結構111的多個通道孔。在一些實施例中,多個通道孔是穿過交錯的初始犧牲層133i和初始絕緣層134i來形成的。可以通過使用蝕刻遮罩(諸如圖案化的PR層)執行異向性蝕刻製程以移除疊層結構的部分並且裸露基底102,來形成多個通道孔。在一些實施例中,沿著y方向在切口結構114的各側上形成至少一個通道孔。在一些實施例中,在各塊區21中形成多個通道孔。可以在各通道孔的底部處形成凹入區,以通過在基底102之上形成通道孔的相同蝕刻製程和/或通過單獨的凹口蝕刻製程來裸露基底102的頂部。在一些實施例中,在各通道孔的底部處(例如,在凹入區之上)形成半導體插塞。可以通過磊晶生長過程和/或沉積製程來形成半導體插塞。在一些實施例中,半導體插塞是通過磊晶生長來形成的,以及被稱為磊晶部分。可選地,可以執行凹口蝕刻(例如,乾蝕刻和/或濕蝕刻)以移除在通道孔的側壁上的過量半導體材料和/或控制在期望的位置處的磊晶部分的頂表面。在一些實施例中,磊晶部分的頂表面位元於底部初始絕緣層134i的頂表面與底表面之間。
在一些實施例中,通過執行適當的蝕刻製程(例如,異向性蝕刻製程(例如,乾蝕刻))和/或等向性蝕刻製程(濕蝕刻)來形成通道孔。在一些實施例中,磊晶部分包括通過從基底102磊晶地生長來形成的單晶矽。在一些實施例中,磊晶部分包括通過沉積製程形成的多晶矽。磊晶地生長的磊晶部分的形成可以包括但不限於氣相磊晶(VPE)、液相磊晶(LPE)、分子束磊晶(MPE)或其任何組合。所沉積的磊晶部分的形成可以包括但不限於CVD、PVD和/或ALD。
在一些實施例中,半導體通道在通道孔中的磊晶部分之上形成並且與該磊晶部分相接觸。半導體通道可以包括具有記憶膜(例如,包括阻擋層、儲存層和穿隧層)的通道形成結構、在磊晶部分之上形成並且連接該磊晶部分的半導體層,和填滿通道孔的其餘部分的介電核。在一些實施例中,首先沉積記憶膜以覆蓋通道孔的側壁和磊晶部分的頂表面,以及然後將半導體層沉積在記憶膜之上和磊晶部分上方。阻擋層、儲存層和穿隧層可以是隨後使用一或多種薄膜沉積製程(諸如ALD、CVD、PVD、任何其它適當的製程或其任何組合)以這個順序來沉積的,以形成記憶膜。然後可以使用一或多種薄膜沉積製程(諸如ALD、CVD、PVD、任何其它適當的製程或其任何組合)來在穿隧層上沉積半導體層。在一些實施例中,在對諸如氧化矽的半導體層的沉積之後通過沉積介電材料來在通道孔的剩餘空間中填充介電核心。
在一些實施例中,在各通道孔的上部分中形成汲極結構。在一些實施例中,可以通過CMP、研磨、濕蝕刻和/或乾蝕刻來移除在疊層結構111的頂表面上和在各通道孔的上部分中的記憶膜、半導體層和介電核的部分,以在通道孔的上部分中形成凹部,以便半導體通道的頂表面可以在第一介電覆蓋層的頂表面與底表面之間。然後可以通過經由一或多種薄膜沉積製程(諸如CVD、PVD、ALD、電鍍、無電鍍或其任何組合)將導電材料沉積到凹部中,來形成汲極結構。從而形成通道結構110。隨後可以通過半導體通道和控制導體層的交叉來形成多個儲存單元。可選地,執行平坦化製程(例如,乾蝕刻/濕法蝕刻和/或CMP)以移除在疊層結構111的頂表面上的過量材料。
可以在源極區22中形成彼此分離的一或多個切割開口(cut opening)。圖案706可以用於對切割開口進行圖案化。切割開口的深度可以等於切口結構114的厚度t。在一些實施例中,t是在兩個初始犧牲/絕緣層對與四個初始犧牲/絕緣層對的厚度之間的。t的值是基於3D記憶體裝置100的設計和/或製造來確定的,以及不應當被本案公開內容的實施例限制。在一些實施例中,執行異向性蝕刻製程(諸如乾蝕刻)以移除疊層結構111的一部分,直到達到期望的厚度t為止。在一些實施例中,一或多個選擇性蝕刻製程用於移除疊層結構111的部分,所以切割開口的底表面可以停止在沿著z方向的期望的位置處(例如,在期望的初始絕緣層134i或初始犧牲層133i的頂表面上)。
沉積適當的介電材料(諸如氧化矽)以填滿切割開口並且形成相應的切口結構114。可以執行適當的沉積製程(諸如CVD、ALD、PVD、濺鍍或其組合)以沉積介電材料。在一些實施例中,通過ALD來沉積切口結構114。可選地,執行平坦化製程(例如,CMP和/或凹口蝕刻)以移除在疊層結構111之上的任何過量材料。
返回參考第9圖,在形成切口結構之後,移除疊層結構的部分以形成縫隙結構和將縫隙結構劃分成多個縫隙開口的至少一個初始支撐結構(操作904)。至少一個初始支撐結構均具有切口結構和在切口結構下面的交錯的多個犧牲部分和多個絕緣部分。第3A圖和第3B圖示出相應的結構300。
如第3A圖和第3B圖所示,將在源極區22中的疊層結構111的通過切口結構114裸露的部分移除以形成縫隙結構106,所述縫隙結構106裸露基底102。圖案702可以用於對縫隙結構106進行圖案化。也就是說,將疊層結構111的在源極區22中並且鄰近於切口結構114的部分移除以形成縫隙結構106。切口結構114和在下面的交錯的犧牲部分和絕緣部分224(例如,在對縫隙結構106的蝕刻之後的初始犧牲層133i和初始絕緣層134i的剩餘部分)可以形成初始支撐結構。犧牲部分和絕緣部分224可以均與在鄰近阻擋區21中的相同層次的犧牲層和絕緣層124相接觸。一或多個初始支撐結構可以將縫隙結構106劃分成多個縫隙開口,各縫隙開口裸露基底102和鄰近塊區21的交錯的犧牲層和絕緣層。取決於製造過程,沿著y方向,切口結構114的寬度d3可以小於、等於或大於縫隙結構106的寬度d4。可以執行適當的異向性蝕刻製程(例如,乾蝕刻)以形成縫隙結構106。
在一些實施例中,圖案702可以不用於對縫隙結構106進行圖案化,以及切口結構114可以用作為蝕刻遮罩以將疊層結構111的部分移除並且形成縫隙結構106。在這種情況下,切口結構114的寬度可以大於或等於縫隙結構106的寬度。
返回參考第9圖,在形成初始支撐結構之後,利用導體部分和導體層來替代在各初始支撐結構中的犧牲部分和在各塊區中的犧牲層,形成至少一個支撐結構和多個記憶區塊(操作906)。第3A圖和第3B圖示出相應的結構300。
如第3A圖和第3B圖所示,利用多個導體部分223來替代在各初始支撐結構中的犧牲部分。利用多個導體層123來替代在各塊區21中的犧牲層(返回參考第1C圖)。可以執行等向性蝕刻製程(例如,濕蝕刻)以穿過縫隙結構106移除犧牲部分和犧牲層。可以通過移除犧牲層來在各塊區21中形成多個橫向凹部,以及可以通過移除犧牲部分來在各初始支撐結構中形成多個凹進部分。然後導體材料可以被沉積以填滿橫向凹部和凹進部分,形成在各塊區中的多個導體層123和在各初始支撐結構中的多個導體部分223。因此,可以形成具有多個交錯的導體部分223和絕緣部分224的部分疊層221。可以形成具有切口結構114和在下面的部分疊層221的支撐結構220。可選地,間隔體層225被形成為圍繞交錯的導體部分223和絕緣部分224,進一步使導體部分223與隨後形成的源極結構隔離。在一些實施例中,當未形成間隔體層225時,源極接觸部104的絕緣結構提供在源極接觸部104與導體部分223之間的電絕緣。在一些實施例中,導體材料和間隔體層225均是通過CVD、PVD、ALD和濺鍍中的至少一者來沉積的。
返回參考第9圖,在形成支撐結構和導體層之後,在縫隙結構中形成源極結構以及在源極結構的各源極接觸部上形成連接層的第一部分(操作908)。第4A圖和第4B圖示出相應的結構400。
如第4A圖和第4B圖所示,在縫隙結構106中形成源極結構。源極結構可以包括在縫隙結構106的各縫隙開口中的絕緣結構和在各絕緣結構中的源極接觸部104。可選地,在形成源極結構之前,將黏附層(未示出)沉積在支撐結構220的頂表面和/或側壁之上。在一些實施例中,絕緣結構包括氧化矽,以及源極接觸部104包括多晶矽。絕緣結構和源極接觸部104可以均是通過CVD、PVD、ALD和濺鍍中的一或多者來沉積的。可以在絕緣結構上執行凹口蝕刻製程以裸露基底102,所以各自的源極接觸部104可以與基底102相接觸。可選地,在沉積黏附層之前,執行凹口蝕刻製程、乾蝕刻和/或濕法蝕刻以移除源極接觸部104的過量材料。在一些實施例中,黏附層包括TiN並且是通過CVD、PVD、ALD、電鍍和濺鍍中的一或多者來沉積的。在一些實施例中,源極接觸部104的頂表面可以低於支撐結構220(或在這個操作中的切口結構114)的頂表面。可選地,可以執行凹部蝕刻過程以對源極接觸部104進行回蝕刻,以形成在縫隙結構106中的足夠的空間用於形成連接層108。
在一些實施例中,導電材料沉積在源極接觸部104之上以填滿縫隙結構106,在各自的源極接觸部104之上形成連接層108的第一部分108-1。第一部分108-1可以部分地或全部覆蓋各自的源極接觸部104。可選地,在形成連接層108的第一部分108-1之後,將黏附層(未示出)沉積在源極接觸部104的頂表面之上。在一些實施例中,導電材料包括鎢、鋁、銅、鈷、多晶矽和矽化物中的一者或多者。在一些實施例中,源極接觸部104包括多晶矽,以及連接層108的第一部分108-1包括鎢。可選地,執行平坦化製程(例如,CMP和/或凹口蝕刻)以移除在連接層108的第一部分108-1和支撐結構220之上的任何過量材料。在一些實施例中,支撐結構220的頂表面和連接層108的第一部分108-1可以在x-y平面中是共面的。
返回參考第9圖,在形成源極結構和連接層的第一部分之後,在源極結構之上形成介電覆蓋層以裸露連接層的至少兩個鄰近第一部分(操作910)。第5A圖和第5B圖示出相應的結構500。
如第5A圖和第5B圖所示,在各源極結構之上形成介電覆蓋層115以裸露連接層108的至少一對兩個鄰近第一部分108-1。在一些實施例中,介電覆蓋層115還覆蓋塊區21的至少一部分。在一些實施例中,介電覆蓋層115覆蓋在塊區21中的所有通道結構110。介電覆蓋層115可以包括裸露連接層108的至少一對兩個鄰近第一部分108-1的至少一個開口214。在一些實施例中,開口214還裸露切口結構114。圖案704可以用於對開口214進行圖案化。可以基於連接層108的隨後形成的第二部分108-2的覆蓋來確定由介電覆蓋層115覆蓋的區域。在一些實施例中,由介電覆蓋層115覆蓋的區域可以大於連接層108的沿著x-y平面的第二部分108-2的區域,以使連接層108與除了源極接觸部104以外的疊層結構111的其它部分絕緣。例如,沿著y方向,介電覆蓋層115的寬度d2大於連接層108的第一部分108-1的寬度d1(或開口214的寬度)。在一些實施例中,介電覆蓋層115可以部分地覆蓋塊區21。
可以通過沉積適當的介電材料(諸如氧化矽)以覆蓋第一部分108-1和支撐結構220,來形成介電覆蓋層115。可以通過CVD、PVD、ALD和濺鍍中的一或多者來沉積介電覆蓋層115。然後所沉積的介電材料可以被圖案化以形成裸露連接層108的至少一對鄰近第一部分108-1的開口214。在一些實施例中,開口214還可以裸露在該對鄰近第一部分108-1之間的支撐結構220(或切口結構114)。取決於開口214的深度,隨著形成開口214,可以部分地移除切口結構114。在一些實施例中,介電覆蓋層115裸露所有裸露結構220和連接層108的所有對鄰近第一部分108-1。
返回參考第9圖,在形成介電覆蓋層之後,在介電覆蓋層中形成連接層的第二部分,以與連接層的一對鄰近第一部分相接觸並且導電地連接到連接層的一對鄰近第一部分(操作912)。第6A圖和第6B圖示出相應的結構600。
如第6A圖和第6B圖所示,在介電覆蓋層115中形成連接層108的第二部分108-2。連接層108的第二部分108-2可以與連接層108的被裸露的一對兩個鄰近第一部分108-1相接觸並且導電地連接到連接層108的被裸露的一對兩個鄰近第一部分108-1,形成連接層108。連接層108的該對兩個鄰近第一部分108-1可以分佈在連接層108的兩個鄰近第一部分108-1之間的支撐結構220的兩側上。在一些實施例中,在多個開口214中形成連接層108的多個第二部分108-2,以與連接到連接層108的多個對(例如,所有對)鄰近第一部分108-1相接觸並且導電地連接到連接層108的多個對(例如,所有對)鄰近第一部分108-1。可以通過沉積填滿開口214的適當導電材料來形成連接層108的第二部分108-2。導電材料可以充分地或者部分地覆蓋在下面的切口結構114和連接層108的一對鄰近第一部分108-1的裸露的部分。導電材料可以包括鎢、鋁、銅、鈷、多晶矽和矽化物中的一或多者。在一些實施例中,導電材料包括鎢並且是通過CVD、PVD、ALD和濺鍍中的一或多者來沉積的。可選地,執行平坦化製程(例如,CMP和/或凹口蝕刻)以移除在連接層108的第二部分108-2之上的任何過量材料。
在一些實施例中,3D記憶體裝置包括儲存疊層、多個通道結構和源極結構。儲存疊層在基底之上並且包括交錯的多個導體層和多個絕緣層。多個通道結構在儲存疊層中垂直地延伸。源極結構在儲存疊層中延伸。源極結構包括多個源極接觸部,各源極接觸部在各自的絕緣結構中,以及多個源極接觸部中的兩個鄰近源極接觸部互相導電地連接。
在一些實施例中,多個源極接觸部中的兩個鄰近源極接觸部通過連接層彼此相接觸並且互相導電地連接。連接層可以是導電層並且與多個源極接觸部中的兩個鄰近源極接觸部的各源極接觸部。
在一些實施例中,連接層包括鎢、鈷、鋁、銅、矽化物或多晶矽中的至少一者。
在一些實施例中,連接層位於多個源極接觸部中的兩個鄰近源極接觸部的各源極接觸部之上。
在一些實施例中,3D記憶體裝置進一步包括在源極結構之上的覆蓋層。覆蓋層可以覆蓋連接層的在多個源極接觸部中的兩個鄰近源極接觸部之上的一對第一部分,並且裸露連接層的在多個源極接觸部中的兩個鄰近源極接觸部之間的第二部分。
在一些實施例中,連接層在多個源極接觸部中的各源極接觸部之上並且與多個源極接觸部中的各接觸部相接觸。
在一些實施例中,沿著與源極結構延伸所沿著的另一橫向方向垂直的橫向方向,連接層的寬度等於或小於源極結構的寬度。
在一些實施例中,源極結構進一步包括在多個源極接觸部中的兩個鄰近源極接觸部之間並且被連接層覆蓋的支撐結構。支撐結構可以與鄰近於源極結構的記憶區塊相接觸。
在一些實施例中,支撐結構的頂表面沿著垂直方向高於多個源極接觸部中的兩個鄰近源極接觸部的頂表面,以及連接層的第二部分的頂表面沿著垂直方向高於連接層的該對第一部分的頂表面。
在一些實施例中,支撐結構包括在交錯的多個導體部分和多個絕緣部分之上的切口結構。多個導體部分中的各導體部分可以與在鄰近於源極結構的記憶區塊中的相應的導體層相接觸。多個絕緣部分中的各絕緣部分可以與在鄰近於源極結構的記憶區塊中的相應的絕緣層相接觸。
在一些實施例中,支撐結構包括與交錯的多個導體部分和絕緣部分相接觸並且圍繞交錯的多個導體部分和絕緣部分的間隔體層。
在一些實施例中,切口結構包括氧化矽。
在一些實施例中,切口結構的厚度在交錯的兩個導體層和兩個絕緣層與交錯的四個導體層和四個絕緣層之間。在一些實施例中,沿著與源極結構延伸所沿著的另一橫向方向垂直的橫向方向,切口結構的寬度等於或小於源極結構的寬度。
在一些實施例中,多個源極接觸部包括鈷、鋁、銅、矽化物或多晶矽中的至少一者。
在一些實施例中,3D記憶體裝置進一步包括黏附層,所述黏附層在多個源極接觸部中的至少兩個鄰近源極接觸部的絕緣結構與支撐結構之間並且在多個源極接觸部中的至少兩個鄰近源極接觸部與連接層之間。
在一些實施例中,黏附層包括氮化鈦。
在一些實施例中,多個通道結構均包括與基底相接觸並且導電地連接到基底的磊晶部分、與磊晶部分相接觸並且導電地連接到磊晶部分的半導體通道和與半導體通道相接觸並且導電地連接到半導體通道的汲極結構。
在一些實施例中,3D記憶體裝置包括儲存疊層、多個通道結構和多個源極結構。儲存疊層在基底之上並且包括交錯的多個導體層和多個絕緣層。多個通道結構在儲存疊層中垂直地延伸。多個源極結構在儲存疊層中沿著橫向方向平行地延伸。多個源極結構均包括:多個源極接觸部,各源極接觸部在各自的絕緣結構中;多個支撐結構,各支撐結構沿著橫向方向與鄰近絕緣結構相接觸;以及導電地連接到多個源極接觸部中的至少兩個鄰近源極接觸部的連接層。
在一些實施例中,連接層包括鎢、鈷、鋁、銅、矽化物或多晶矽中的至少一者。
在一些實施例中,連接層位於多個源極接觸部中的至少兩個鄰近源極接觸部中的各源極接觸部之上並且與多個源極接觸部中的至少兩個鄰近源極接觸部中的各接觸部相接觸。
在一些實施例中,3D記憶體裝置進一步包括在多個接觸部中的至少兩個鄰近源極接觸部之上的覆蓋層。覆蓋層可以覆蓋連接層的在多個源極接觸部中的至少兩個鄰近源極接觸部之上的多個第一部分,並且裸露連接層的在多個源極接觸部中的至少兩個鄰近源極接觸部之間的第二部分。
在一些實施例中,連接層在多個各自的源極接觸部中的各源極接觸部之上並且與多個各自的源極接觸部中的各源極接觸部相接觸。
在一些實施例中,沿著垂直於橫向方向的另一橫向方向,連接層的寬度等於或小於各自的源極結構的寬度。
在一些實施例中,多個支撐結構均包括在交錯的多個導體部分和多個絕緣部分之上的切口結構。多個導體部分中的各導體部分可以與在鄰近於各自的源極結構的記憶區塊中的相應的導體層相接觸。多個絕緣部分中的各絕緣部分可以與在鄰近於各自的源極結構的記憶區塊中的相應的絕緣層相接觸。
在一些實施例中,多個支撐結構中的各支撐結構的頂表面沿著垂直方向高於多個源極接觸部中的至少兩個鄰近源極接觸部的頂表面。在一些實施例中,連接層的第二部分的頂表面沿著垂直方向高於連接層的該對第一部分的頂表面。
在一些實施例中,切口結構包括氧化矽。
在一些實施例中,切口結構的厚度在交錯的兩個導體層和兩個絕緣層與交錯的四個導體層和四個絕緣層之間。在一些實施例中,沿著與各自的源極結構延伸所沿著的另一橫向方向垂直的橫向方向,切口結構的寬度等於或小於各自的源極結構的寬度。
在一些實施例中,多個源極接觸部包括鈷、鋁、銅、矽化物或多晶矽中的至少一者。
在一些實施例中,3D記憶體裝置進一步包括黏附層,所述黏附層在多個源極接觸部中的至少兩個鄰近源極接觸部與連接層之間並且在多個源極接觸部中的至少兩個鄰近源極接觸部與支撐結構之間。
在一些實施例中,黏附層包括氮化鈦。
在一些實施例中,多個通道結構均包括與基底相接觸並且導電地連接到基底的磊晶部分、與磊晶部分相接觸並且導電地連接到磊晶部分的半導體通道和與半導體通道相接觸並且導電地連接到半導體通道的汲極結構。
在一些實施例中,用於形成3D記憶體裝置的方法包括以下操作。首先,在疊層結構中形成切口結構,疊層結構包括交錯的多個初始犧牲層和多個初始絕緣層。將疊層結構的鄰近於切口結構的部分移除以形成縫隙結構和初始支撐結構,初始支撐結構將縫隙結構劃分成多個縫隙開口。穿過多個縫隙開口形成多個導體部分以形成支撐結構。在多個縫隙開口中的各縫隙開口中形成源極接觸部。形成連接層的與多個縫隙開口中的鄰近縫隙開口中的源極接觸部相接觸並且導電地連接到多個縫隙開口中的鄰近縫隙開口中的源極接觸部的一對第一部分。形成連接層的與連接層的該對第一部分相接觸並且導電地連接到連接層的該對第一部分的第二部分。
在一些實施例中,本案方法進一步包括在形成連接層的第二部分之前在連接層的該對第一部分之上形成覆蓋層並且裸露連接層的該對第一部分,使得第二部分穿過覆蓋層與該對第一部分相接觸並且導電地連接到該對第一部分。
在一些實施例中,形成覆蓋層包括沉積覆蓋材料層以覆蓋連接層的該對第一部分,以及移除覆蓋材料層的一部分以裸露切口結構和連接層的該對第一部分。
在一些實施例中,形成連接層的該對第一部分包括在多個縫隙開口中的鄰近縫隙開口中的源極接觸部之上沉積導電材料,以及形成連接層的第二部分包括沉積導電材料以填滿覆蓋層的被移除的部分。
在一些實施例中,方法進一步包括在連接層的該對第一部分與覆蓋材料層之間沉積黏附層。
在一些實施例中,形成切口結構包括在疊層結構中形成切割開口以及沉積介電材料以填滿切割開口。
在一些實施例中,將疊層結構的鄰近於切口結構的部分移除以形成縫隙結構和初始支撐結構包括沿著橫向方向移除疊層結構的鄰近於切口結構的部分以形成裸露基底的縫隙結構,使得切口結構和交錯的多個犧牲部分和多個絕緣部分形成初始支撐結構。
在一些實施例中,形成多個導體部分包括穿過多個縫隙開口將在支撐結構中的多個犧牲部分移除以形成多個凹進部分。在一些實施例中,形成多個導體部分還包括沉積導體材料以填滿多個凹進部分以形成多個導體部分。
在一些實施例中,該方法進一步包括以形成多個導體部分的相同操作來形成在疊層結構的多個塊部分中的多個導體層,使得多個塊部分與初始支撐結構相接觸,其中穿過經由多個縫隙開口將在多個塊部分中的多個犧牲層移除以形成多個橫向凹部,以及沉積導體材料以填滿多個橫向凹部以形成多個導體層,來形成多個導體層。
在一些實施例中,形成源極接觸部包括沉積鈷、鋁、銅、矽化物或多晶矽中的至少一者以填滿各自的縫隙開口。
在一些實施例中,本案方法進一步包括在源極接觸部之前在縫隙開口中形成絕緣結構,使得絕緣結構裸露基底,以及在絕緣結構與支撐結構之間沉積另一黏附層。
對特定實施例的前述描述將如此揭露本領域技術人員可以通過應用在本領域的技能範圍內的知識針對各種應用(諸如特定的實施例)來容易地進行修改和/或適應的本案公開內容的一般性,而沒有過度的實驗、不背離本案公開內容的一般概念。因此,基於本文給出的教導和指導,這樣的改造和修改意圖是在所公開的實施例的等效形式的含義和範圍內。應當理解的是,在本文中的短語或術語是出於描述而非限制的目的,使得本說明書的術語或短語是要由熟練的技術人員根據本教導和指導來解釋的。
上文已經借助於說明特定功能及其關係的實現方式的功能構建塊描述了本案公開內容的實施例。在本文中為了方便描述,這些功能構建塊的邊界已經被任意限定。只要特定功能及其關係被適當地執行,可以限定另外的邊界。
本案概述和摘要章節可以闡述如發明人所設想的本案公開內容的一或多個但不是全部示例性實施例,以及因此並不旨在以任何方式限制本案公開內容和所附申請專利範圍。
以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
21    塊區 22    源極區 100    3D記憶體裝置 102    基底 104    源極接觸部 106    縫隙結構 108    連階層 108-1    第一部分 108-2    第二部分 110    通道結構 111    疊層結構 114    切口結構 115    介電覆蓋層 123    導電層 124    絕緣層 133i    初始犧牲層 134i    初始絕緣層 200    結構 214    開口 220    支撐結構 221    部分疊層 223    導體部分 224    絕緣部分 225    間隔體層 300, 400, 500    結構 700    圖案集 702, 704, 706, 708    圖案 750    單元 800    3D記憶體裝置 802    基底 804    通道結構 806-1, 806-2    閘極線縫隙 811    記憶區塊 900    流程圖 902, 902, 904, 906, 908, 910, 912    操作 d1, d2, d3, d4   寬度 D    長度 D1, D2, D3    長度 t    厚度 W1, W2, W3    寬度
附圖被併入本文並形成說明書的一部分,其例示了本揭露書之實施例並與說明書一起進一步用以解釋本發明之原理,並使相關領域的技術人員能夠做出和使用本案公開之內容。 第1A圖示出根據本案的一些實施例的具有減小的電阻的源極結構的示例性3D記憶體裝置的平面圖。 第1B圖示出根據本案的一些實施例的在第1A圖中沿著C-D方向示出的3D記憶體裝置的截面圖。 第1C圖示出根據本案的一些實施例的在第1A圖中沿著A-B方向示出的3D記憶體裝置的截面圖。 第2A圖示出根據本案的一些實施例的在製造過程的一個階段的示例性3D記憶體裝置的平面圖。 第2B圖示出根據本案的一些實施例的在第2A圖中沿著C-D方向示出的3D記憶體裝置的截面圖。 第3A圖示出根據本案的一些實施例的在製造過程的另一階段的示例性3D記憶體裝置的平面圖。 第3B圖示出根據本案的一些實施例的在第3A圖中沿著C-D方向示出的3D記憶體裝置的截面圖。 第4A圖示出根據本案的一些實施例的在製造過程的另一階段的示例性3D記憶體裝置的平面圖。 第4B圖示出根據本案的一些實施例的在第4A圖中沿著C-D方向示出的3D記憶體裝置的截面圖。 第5A圖示出根據本案的一些實施例的在製造過程的另一階段的示例性3D記憶體裝置的平面圖。 第5B圖示出根據本案的一些實施例的在第5A圖中沿著C-D方向示出的3D記憶體裝置的截面圖。 第6A圖示出根據本案的一些實施例的在製造過程的另一階段的示例性3D記憶體裝置的平面圖。 第6B圖示出根據本案的一些實施例的在第6A圖中沿著C-D方向示出的3D記憶體裝置的截面圖。 第7A圖示出根據本案的一些實施例的用於在用於形成3D記憶體裝置的製造過程中形成各種結構的示例性平面圖。 第7B圖示出根據本案的一些實施例在第7A圖中示出的圖案的一部分放大圖。 第8圖示出具有變形的閘極線縫隙(GLS)的現有3D記憶體裝置的截面圖。 第9圖示出根據本案的一些實施例的用於形成具有減小的電阻的源極結構的3D記憶體裝置的示例性製造過程的流程圖。 下文將參考附圖描述本案的實施例。
21    塊區 22    源極區 100    3D記憶體裝置 108-2    第二部分 110    通道結構 115    介電覆蓋層 d1, d2   寬度

Claims (20)

  1. 一種三維(3D)記憶體裝置,包括: 在基底之上的儲存疊層,所述儲存疊層包括交錯的多個導體層和多個絕緣層; 多個通道結構,在所述儲存疊層中垂直地延伸;以及 源極結構,在所述儲存疊層中延伸,其中: 所述源極結構包括多個源極接觸部,所述源極接觸部在各自的絕緣結構中;以及 所述多個源極接觸部中的兩個鄰近源極接觸部互相導電地連接。
  2. 根據申請專利範圍第1項所述的三維(3D)記憶體裝置,其中所述多個源極接觸部中的所述兩個鄰近源極接觸部通過連接層彼此相接觸並且互相導電地連接,所述連接層是導電層並且與所述多個源極接觸部中的所述兩個鄰近源極接觸部中的各源極接觸部相接觸。
  3. 根據申請專利範圍第2項所述的三維(3D)記憶體裝置,其中所述連接層包括鎢、鈷、鋁、銅、矽化物或多晶矽中的至少一者。
  4. 根據申請專利範圍第2項所述的三維(3D)記憶體裝置,其中所述連接層位於所述多個源極接觸部中的所述兩個鄰近源極接觸部的各源極接觸部之上。
  5. 根據申請專利範圍第4項所述的三維(3D)記憶體裝置,還包括在所述源極結構之上的覆蓋層,其中所述覆蓋層覆蓋所述連接層的在所述多個源極接觸部中的所述兩個鄰近源極接觸部之上的一對第一部分,並且裸露所述連接層的在所述多個源極接觸部中的所述兩個鄰近源極接觸部之間的第二部分。
  6. 根據申請專利範圍第5項所述的三維(3D)記憶體裝置,其中所述連接層在所述多個源極接觸部中的各源極接觸部之上並且與所述多個源極接觸部中的各源極接觸部相接觸。
  7. 根據申請專利範圍第6項所述的三維(3D)記憶體裝置,其中所述源極結構還包括在所述多個源極接觸部中的所述兩個鄰近源極接觸部之間並且通過所述連接層覆蓋的支撐結構,且其中所述支撐結構與鄰近於所述源極結構的記憶區塊相接觸; 所述支撐結構的頂表面沿著垂直方向高於所述多個源極接觸部中的所述兩個鄰近源極接觸部的頂表面;以及 所述連接層的所述第二部分的頂表面沿著所述垂直方向高於所述連接層的所述一對第一部分的頂表面。
  8. 根據申請專利範圍第7項所述的三維(3D)記憶體裝置,其中所述支撐結構包括在交錯的多個導體部分和多個絕緣部分之上的切口結構,所述多個導體部分中的各導體部分與在鄰近於所述源極結構的所述記憶區塊中的相應的所述導體層相接觸,所述多個絕緣部分中的各絕緣部分與在鄰近於所述源極結構的所述記憶區塊中的相應的所述絕緣層相接觸。
  9. 根據申請專利範圍第8項所述的三維(3D)記憶體裝置,其中所述切口結構包括氧化矽。
  10. 一種三維(3D)記憶體裝置,包括: 在基底之上的儲存疊層,所述儲存疊層包括交錯的多個導體層和多個絕緣層; 多個通道結構,在所述儲存疊層中垂直地延伸;以及 多個源極結構,在所述儲存疊層中沿著橫向方向平行地延伸,其中所述多個源極結構均包括: 多個源極接觸部,各所述源極接觸部在各自的絕緣結構中; 多個支撐結構,各所述支撐結構沿著所述橫向方向與鄰近的所述絕緣結構相接觸;以及 連接層,導電地連接到所述多個源極接觸部中的至少兩個鄰近源極接觸部。
  11. 根據申請專利範圍第10項所述的三維(3D)記憶體裝置,其中所述連接層位於所述多個源極接觸部中的所述至少兩個鄰近源極接觸部中的各源極接觸部之上並且與所述多個源極接觸部中的所述至少兩個鄰近源極接觸部中的各源極接觸部相接觸。
  12. 根據申請專利範圍第10項所述的三維(3D)記憶體裝置,其中所述連接層包括鎢、鈷、鋁、銅、矽化物或多晶矽中的至少一者。
  13. 根據申請專利範圍第12項所述的三維(3D)記憶體裝置,還包括在所述多個源極接觸部中的所述至少兩個鄰近源極接觸部之上的覆蓋層,其中: 所述覆蓋層覆蓋所述連接層的在所述多個源極接觸部中的所述至少兩個鄰近源極接觸部之上的多個第一部分,以及 所述覆蓋層裸露所述連接層的在所述多個源極接觸部中的所述至少兩個鄰近源極接觸部之間的第二部分。
  14. 根據申請專利範圍第13項所述的三維(3D)記憶體裝置,其中所述多個支撐結構均包括在交錯的多個導體部分和多個絕緣部分之上的切口結構,所述多個導體部分中的各導體部分與在鄰近於各自的源極結構的所述記憶區塊中的相應的導體層相接觸,所述多個絕緣部分中的各絕緣部分與在鄰近於各自的源極結構的所述記憶區塊中的相應的絕緣層相接觸。
  15. 根據申請專利範圍第14項所述的三維(3D)記憶體裝置,其中: 所述多個支撐結構中的各支撐結構的頂表面沿著垂直方向高於所述多個源極接觸部中的所述至少兩個鄰近源極接觸部的頂表面;以及 所述連接層的所述第二部分的頂表面沿著所述垂直方向高於所述連接層的所述一對第一部分的頂表面。
  16. 一種用於形成三維(3D)記憶體裝置的方法,包括: 在疊層結構中形成切口結構,所述疊層結構包括交錯的多個初始犧牲層和多個初始絕緣層; 將所述疊層結構的鄰近於所述切口結構的部分移除以形成縫隙結構和初始支撐結構,所述初始支撐結構將所述縫隙結構劃分成多個縫隙開口; 穿過所述多個縫隙開口來形成多個導體部分以形成支撐結構; 在所述多個縫隙開口中的各縫隙開口中形成源極接觸部; 形成連接層的與所述多個縫隙開口中的鄰近所述縫隙開口中的所述源極接觸部相接觸並且導電地連接到所述多個縫隙開口中的鄰近所述縫隙開口中的所述源極接觸部的一對第一部分;以及 形成所述連接層的與所述連接層的所述一對第一部分相接觸並且導電地連接到所述連接層的所述一對第一部分的第二部分。
  17. 根據申請專利範圍第16項所述的用於形成三維(3D)記憶體裝置的方法,還包括在形成所述連接層的所述第二部分之前在所述連接層的所述一對第一部分之上形成覆蓋層並且裸露所述連接層的所述一對第一部分,使得所述第二部分穿過所述覆蓋層與所述一對第一部分相接觸並且導電地連接到所述一對第一部分。
  18. 根據申請專利範圍第17項所述的用於形成三維(3D)記憶體裝置的方法,其中形成所述覆蓋層的步驟包括: 沉積覆蓋材料層以覆蓋所述連接層的所述一對第一部分;以及 將所述覆蓋材料層的一部分移除以裸露所述切口結構和所述連接層的所述一對第一部分。
  19. 根據申請專利範圍第18項所述的用於形成三維(3D)記憶體裝置的方法,其中: 形成所述連接層的所述一對第一部分包括在所述多個縫隙開口中的鄰近縫隙開口中的所述源極接觸部之上沉積導電材料;以及 形成所述連接層的所述第二部分包括沉積所述導電材料以填滿所述覆蓋層的被移除的部分。
  20. 根據申請專利範圍第16項所述的用於形成三維(3D)記憶體裝置的方法,其中形成所述切口結構包括: 在所述疊層結構中形成切割開口; 沉積介電材料以填滿所述切割開口;以及 將所述疊層結構的鄰近於所述切口結構的部分移除以形成所述縫隙結構和所述初始支撐結構包括: 沿著橫向方向將所述疊層結構的鄰近於所述切口結構的部分移除以形成裸露所述基底的所述縫隙結構,使得所述切口結構和交錯的多個犧牲部分和多個絕緣部分形成所述初始支撐結構。
TW108138033A 2019-08-13 2019-10-22 具有源極結構的三維記憶體裝置和其形成方法 TWI722611B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/CN2019/100357 WO2021026759A1 (en) 2019-08-13 2019-08-13 Three-dimensional memory device with source structure and methods for forming the same
WOPCT/CN2019/100357 2019-08-13

Publications (2)

Publication Number Publication Date
TW202107630A TW202107630A (zh) 2021-02-16
TWI722611B true TWI722611B (zh) 2021-03-21

Family

ID=68927589

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138033A TWI722611B (zh) 2019-08-13 2019-10-22 具有源極結構的三維記憶體裝置和其形成方法

Country Status (7)

Country Link
US (1) US11127757B2 (zh)
EP (1) EP3921868B1 (zh)
JP (1) JP7286794B2 (zh)
KR (1) KR102655098B1 (zh)
CN (2) CN113270418B (zh)
TW (1) TWI722611B (zh)
WO (1) WO2021026759A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210154215A (ko) 2019-08-13 2021-12-20 양쯔 메모리 테크놀로지스 씨오., 엘티디. 소스 구조를 갖는 3차원 메모리 디바이스 및 그 형성 방법
CN110622310B (zh) 2019-08-13 2021-05-25 长江存储科技有限责任公司 具有源极结构的三维存储设备和用于形成其的方法
CN113270418B (zh) 2019-08-13 2023-04-04 长江存储科技有限责任公司 具有源极结构的三维存储设备和用于形成其的方法
KR20220002467A (ko) * 2019-08-30 2022-01-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 접착 층에 의해 접속된 소스 접점들을 갖는 3차원 메모리 디바이스 및 그 형성 방법들
WO2021174381A1 (en) * 2020-03-02 2021-09-10 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with source structure and methods for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160329347A1 (en) * 2012-12-13 2016-11-10 Institute of Microelectronics. Chinese Academy of Sciences Vertical channel-type 3d semiconductor memory device and method for manufacturing the same

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101113765B1 (ko) 2010-12-31 2012-02-27 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
KR20120121177A (ko) 2011-04-26 2012-11-05 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
KR20130070150A (ko) 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 3차원 비휘발성 메모리 소자, 메모리 시스템 및 그 제조 방법
KR101997269B1 (ko) * 2013-06-24 2019-07-05 에스케이하이닉스 주식회사 반도체 메모리 장치
KR102128465B1 (ko) * 2014-01-03 2020-07-09 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
KR20150116995A (ko) 2014-04-09 2015-10-19 삼성전자주식회사 수직형 메모리 장치
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9425205B2 (en) 2014-09-12 2016-08-23 Kabushiki Kaisha Toshiba Semiconductor memory device
US9419135B2 (en) * 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
TWI595601B (zh) 2015-01-28 2017-08-11 旺宏電子股份有限公司 記憶體元件及其製作方法
US9443868B1 (en) 2015-03-19 2016-09-13 Kabushiki Kaisha Toshiba Semiconductor memory device and method of manufacturing the same
US10074661B2 (en) * 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
KR20160138765A (ko) 2015-05-26 2016-12-06 에스케이하이닉스 주식회사 슬리밍 구조물을 포함하는 반도체 메모리 장치
US9679906B2 (en) * 2015-08-11 2017-06-13 Sandisk Technologies Llc Three-dimensional memory devices containing memory block bridges
US20170104000A1 (en) 2015-10-13 2017-04-13 Joo-Hee PARK Vertical memory devices
US9478495B1 (en) * 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US9831266B2 (en) * 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9917100B2 (en) * 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
KR102581032B1 (ko) 2015-12-08 2023-09-22 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US20170194345A1 (en) 2015-12-30 2017-07-06 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
KR102475454B1 (ko) 2016-01-08 2022-12-08 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9859363B2 (en) * 2016-02-16 2018-01-02 Sandisk Technologies Llc Self-aligned isolation dielectric structures for a three-dimensional memory device
CN107958909B (zh) * 2016-10-17 2020-09-22 中芯国际集成电路制造(北京)有限公司 闪存器件及其制造方法
US9985098B2 (en) 2016-11-03 2018-05-29 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
KR102630954B1 (ko) 2016-11-08 2024-01-31 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
CN106920796B (zh) 2017-03-08 2019-02-15 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
CN107968091A (zh) * 2017-11-16 2018-04-27 长江存储科技有限责任公司 一种共源极钨墙与钨栅极之间高质量间隙层的3d nand制备方法
US10147732B1 (en) 2017-11-30 2018-12-04 Yangtze Memory Technologies Co., Ltd. Source structure of three-dimensional memory device and method for forming the same
CN107887395B (zh) 2017-11-30 2018-12-14 长江存储科技有限责任公司 Nand存储器及其制备方法
KR102561009B1 (ko) * 2018-01-29 2023-07-28 에스케이하이닉스 주식회사 3차원 구조의 반도체 메모리 장치
CN109003983B (zh) 2018-07-19 2020-11-13 长江存储科技有限责任公司 3d存储器件及其制造方法
WO2020113590A1 (en) 2018-12-07 2020-06-11 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
CN109742083B (zh) * 2019-01-02 2021-08-31 长江存储科技有限责任公司 三维存储器及其制造方法
JP2022510650A (ja) * 2019-01-18 2022-01-27 長江存儲科技有限責任公司 三次元メモリ装置のソースコンタクト構造、および三次元メモリ装置のソースコンタクト構造の製作方法
WO2020155032A1 (en) * 2019-01-31 2020-08-06 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory device without conductor residual caused by dishing
CN110024126B (zh) 2019-02-26 2020-06-26 长江存储科技有限责任公司 三维存储器件及其形成方法
CN109997226A (zh) * 2019-02-26 2019-07-09 长江存储科技有限责任公司 三维存储器件及其形成方法
CN110112134B (zh) * 2019-06-17 2020-05-19 长江存储科技有限责任公司 3d nand存储器及其形成方法
CN110211965B (zh) 2019-06-17 2020-06-23 长江存储科技有限责任公司 3d nand存储器及其形成方法
US11043455B2 (en) * 2019-07-23 2021-06-22 Sandisk Technologies Llc Three-dimensional memory device including self-aligned dielectric isolation regions for connection via structures and method of making the same
CN113270418B (zh) 2019-08-13 2023-04-04 长江存储科技有限责任公司 具有源极结构的三维存储设备和用于形成其的方法
CN110622310B (zh) 2019-08-13 2021-05-25 长江存储科技有限责任公司 具有源极结构的三维存储设备和用于形成其的方法
KR20220002492A (ko) 2019-08-30 2022-01-06 양쯔 메모리 테크놀로지스 씨오., 엘티디. 접착 층에 의해 접속된 소스 접점들을 갖는 3차원 메모리 디바이스 및 그 형성 방법들

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160329347A1 (en) * 2012-12-13 2016-11-10 Institute of Microelectronics. Chinese Academy of Sciences Vertical channel-type 3d semiconductor memory device and method for manufacturing the same

Also Published As

Publication number Publication date
JP2022528871A (ja) 2022-06-16
EP3921868A4 (en) 2022-08-03
KR102655098B1 (ko) 2024-04-04
KR20210129708A (ko) 2021-10-28
EP3921868A1 (en) 2021-12-15
CN113270418A (zh) 2021-08-17
US11127757B2 (en) 2021-09-21
JP7286794B2 (ja) 2023-06-05
WO2021026759A1 (en) 2021-02-18
CN110622312A (zh) 2019-12-27
EP3921868B1 (en) 2024-01-31
CN113270418B (zh) 2023-04-04
TW202107630A (zh) 2021-02-16
US20210050367A1 (en) 2021-02-18
CN110622312B (zh) 2021-05-14

Similar Documents

Publication Publication Date Title
TWI704602B (zh) 具有源極結構的三維記憶體裝置和用於形成三維記憶體裝置的方法
TWI727459B (zh) 三維記憶裝置以及用於形成三維記憶裝置的方法
TWI710059B (zh) 具有在閘極線縫隙中的支撐結構的三維記憶體元件和其形成方法
TWI738376B (zh) 具有汲極選擇閘切割結構的三維記憶體裝置及其形成方法
TWI722611B (zh) 具有源極結構的三維記憶體裝置和其形成方法
TWI725633B (zh) 三維記憶裝置以及用於形成三維記憶裝置的方法
TWI706544B (zh) 具有由黏合層連接的源極接觸的立體記憶體元件及其形成方法
TWI717861B (zh) 具有源極結構的立體記憶裝置和其形成方法
TWI791131B (zh) 不具有閘極線縫隙的三維記憶體裝置及用於形成其的方法
TWI706516B (zh) 三維記憶體元件及其形成方法
TWI726688B (zh) 具有源極結構的三維記憶體元件及其形成方法