TWI711724B - 電化學鍍覆系統、執行電化學鍍覆製程的方法以及形成半導體結構的方法 - Google Patents

電化學鍍覆系統、執行電化學鍍覆製程的方法以及形成半導體結構的方法 Download PDF

Info

Publication number
TWI711724B
TWI711724B TW108142497A TW108142497A TWI711724B TW I711724 B TWI711724 B TW I711724B TW 108142497 A TW108142497 A TW 108142497A TW 108142497 A TW108142497 A TW 108142497A TW I711724 B TWI711724 B TW I711724B
Authority
TW
Taiwan
Prior art keywords
ecp
substrate
electroplating solution
metal
electroplating
Prior art date
Application number
TW108142497A
Other languages
English (en)
Other versions
TW202026467A (zh
Inventor
粘耀仁
李盈儒
張簡旭珂
王廷君
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/677,563 external-priority patent/US11230784B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202026467A publication Critical patent/TW202026467A/zh
Application granted granted Critical
Publication of TWI711724B publication Critical patent/TWI711724B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/08Rinsing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • C25D21/14Controlled addition of electrolyte components
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • C25D21/18Regeneration of process solutions of electrolytes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • C25D5/505After-treatment of electroplated surfaces by heat-treatment of electroplated tin coatings, e.g. by melting
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

提供一種電化學鍍覆(electrochemical plating;ECP)系 統。ECP系統包括:ECP單元,包括用於ECP製程的電鍍溶液;感測器,用於在ECP製程繼續時原位量測電鍍金屬與電鍍溶液中的電解質之間的介面電阻;電鍍溶液供應系統,與ECP單元流體連通且用於將電鍍溶液供應至ECP單元;以及控制系統,可操作地耦接至ECP單元、感測器以及電鍍溶液供應系統。控制系統用於將介面電阻與臨界電阻進行比較,且回應於介面電阻低於臨界電阻而調整電鍍溶液的組成物。

Description

電化學鍍覆系統、執行電化學鍍覆製程的方法以 及形成半導體結構的方法
本發明實施例是有關於一種電化學鍍覆系統,且特別是有關於一種可以在電化學鍍覆製程繼續時原位量測電鍍金屬與電鍍溶液中的電解質之間的介面電阻的電化學鍍覆系統。
積體電路包含諸如電晶體、電容器、電阻器以及二極體的諸多元件。最初與彼此分離的這些元件經由佈線內連在一起以形成功能電路。此類佈線經由多個金屬化層完成,所述金屬化層包含提供橫向電性連接的金屬線以及在兩個鄰近堆疊金屬化層之間提供垂直電性連接的多個通孔。金屬線及通孔通常被稱為內連線結構。內連線結構愈加決定先進積體電路的效能限制及密度。
一種電化學鍍覆(ECP)系統包括:ECP單元,包括用於ECP製程的電鍍溶液;感測器,用於在ECP製程繼續時原位量測 電鍍金屬與電鍍溶液中的電解質之間的介面電阻;電鍍溶液供應系統,與ECP單元流體連通且用於將電鍍溶液供應至ECP單元;以及控制系統,可操作地耦接至ECP單元、感測器以及電鍍溶液供應系統。控制系統用於將介面電阻與臨界電阻進行比較,且回應於介面電阻低於臨界電阻而調整電鍍溶液的組成物。
一種用於執行電化學鍍覆(ECP)製程的方法至少包括以下步驟。將基板的表面與包括待沈積金屬離子的電鍍溶液接觸。電鍍金屬到基板的表面上。在ECP製程繼續時,原位監測電鍍金屬與電鍍溶液中的電解質之間的介面電阻。回應於介面電阻低於臨界電阻而調整電鍍溶液的組成物,所述臨界電阻與用於基板上的金屬化層的多個導電線中具有最高線端密度的導電線的子集相關聯。
一種形成半導體結構的方法至少包括以下步驟。在基板上的介電層中形成多個接觸開口。多個接觸開口包括在基板的第一區域中的多個第一接觸開口以及在基板的第二區域中的多個第二接觸開口。多個第一接觸開口在多個接觸開口中具有最高線端密度。沿多個接觸開口的側壁及底部且在介電層上形成阻擋層。在阻擋層上形成晶種層。執行電化學鍍覆(ECP)製程以將導電層填滿多個接觸開口。執行ECP製程包括在ECP製程進行時,原位監測電鍍金屬與電鍍溶液中的電解質的介面電阻,且回應於介面電阻低於與最高線端密度相關聯的臨界電阻而調整電鍍溶液的組成物。
100:ECP系統
110:工廠介面
112:基板裝載站
113:晶圓盒
114:工廠介面機器人
118:連接隧道
120:處理主機
122:主機機器人
124:機器人葉片
130:處理單元
132:ECP單元
134:旋轉沖洗乾燥單元
136:基板斜面清潔單元
140:感測器
150:退火室
152:冷卻板
154:加熱板
156:基板轉移機器人
160:電鍍溶液供應系統
170:控制系統
201:陽極
202、502:基板
203:基板固持器
205:紡錘軸
212、213、214、216、218:箭頭
222:電鍍槽
224:溢流槽
230:電鍍溶液
240:泵
242:感測器探針
250:電力供應器
300、400:方法
302、304、306、308、310、312、314、402、404、406、408:操作
500:半導體結構
502A:第一區域
502B:第二區域
510:介電層
512、514:接觸開口
520:阻擋層
520P:阻擋層部分
530:晶種層
530P:晶種層部分
540:金屬層
540P:金屬層部分
550:內連線結構
602:處理器
604:輸入/輸出(I/O)裝置
606:記憶體
608:網路介面
609:網路
610:匯流排
612:指令
當結合附圖閱讀時,自以下詳細描述最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,可出於論述清楚起見,而任意地增加或減小各種特徵的尺寸。
圖1為根據一些實施例的電化學鍍覆(electrochemical plating;ECP)系統的平面圖。
圖2為根據一些實施例的ECP系統中的ECP單元及感測器的示意圖。
圖3為根據一些實施例的使用ECP系統的方法的流程圖。
圖4為根據一些實施例的用於製造半導體結構的方法的流程圖。
圖5A至圖5D為在製造過程中的各個階段期間的半導體結構的剖面圖。
圖6為根據一些實施例的用於控制ECP系統的操作的控制系統的圖式。
以下揭露內容提供用於實施所提供標的物的不同特徵的許多不同實施例或實例。以下描述組件及配置的特定實例以簡化本揭露內容。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成,使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在 各種實例中重複附圖標號及/或字母。此重複為出於簡單及清楚的目的,且自身並不指示所論述的各種實施例及/或組態之間的關係。
此外,為易於描述,可在本文中使用諸如「在......之下」、「在......下方」、「下部」、「在......之上」、「上部」以及類似術語的空間相對術語以描述如圖中所示出的一個部件或特徵與另一部件或特徵的關係。除圖中所描繪的定向之外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向)且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
銅及銅合金已經廣泛用於填充半導體基板上的次微型(sub-micro)、高縱橫比(aspect ratio)特徵。與例如鋁的其他金屬相比,銅及銅合金具有較低電阻率及較高電遷移電阻(electromigration resistance)。這些特性對於達成更高的電流密度及增快的元件速度至關重要。在製造包含銅的內連線結構時,執行鑲嵌技術(即,單鑲嵌或雙鑲嵌),其中先沈積介電層在半導體基板上。接著將介電層圖案化以在其中形成諸如溝槽及/或通孔的接觸開口。然後,將阻擋層置於介電層上,以防止隨後施加的銅擴散至介電層中。隨後,物理或化學氣相沈積晶種層以提供依序的電鍍製程的導電性。隨後用銅或銅合金填充接觸開口,接著使用例如化學機械拋光(chemical mechanical polishing;CMP)製程將所述銅或銅合金平坦化。
藉由電化學鍍覆(ECP)製程實現鑲嵌製程中的銅沈積。在電化學鍍覆期間,將半導體基板電偏壓以用作陰極。將具有圖案化介電層的半導體基板浸入至含有銅離子的電鍍溶液中。在晶種 層的表面處還原銅離子以形成電沈積銅。ECP製程繼續進行直至接觸開口被銅填滿。
除了呈銅鹽形式的無機成分之外,電鍍溶液含有諸如抑制劑、加速劑以及勻塗劑(leveler)的有機添加劑來達成所要沈積特性。有機添加劑的關鍵功能中的一者為確保ECP製程在從底部至頂部的方向上填滿接觸開口,以避免形成降低元件效能及可靠性的孔洞或空隙。當以適當的濃度使用時,這些有機添加劑可經由加速接觸開口的底部的銅沈積且抑制接觸開口的上部邊角上的銅沈積而實現無空隙金屬填充。
電路組件未均勻地分佈在半導體基板上,故在半導體基板上的金屬化層中的金屬線的密度為不同的。舉例而言,在具有相對較高元件密度的一個晶片區域中,由於需要較大數目的電性連接的較高元件密度而存在密集的金屬線,而在具有相對較低元件密度的另一晶片區域中,因為較低元件密度需要較少數目的電性連接,故金屬線的密度亦較低。較高密度區域中的金屬線擁有較高線端密度,而較低密度區域中的金屬線擁有較低線端密度。
線端密度在ECP製程期間影響金屬的間隙填充行為。在電鍍期間,由於半導體基板的總電鍍電流為固定的,因此較低線端密度區域中的局部電流密度(local current density)高於較高線端密度區域中的局部電流密度。較高線端密度區域中的相對較低電流密度導致相對緩慢的由下而上的增長率。當沈積諸如銅的金屬時,若由下而上的增長率不夠快,則銅往往會更易於在較高線端密度區域中的接觸開口的入口處堆積。「堆積物(build up)」或「懸垂物(overhang)」阻擋銅沈積的路徑,且常常導致在較高線端密 度區域中的接觸開口中的空隙形成。空隙常常導致金屬線的故障,且降低積體電路的可靠性。
當所電鍍銅中的碳與銅的原子比大於5%時,已觀測到良好的(即,無空隙的)金屬填充。然而,ECP操作的結果為有機添加劑隨時間推移而降解。隨著有機添加劑降解,電鍍介面(亦即電鍍金屬與電鍍溶液中的電解質之間的介面)處的電阻往往會減小,而導致銅電鍍速率的增加。當電鍍表面的電阻增加至某一值時,開始在接觸開口具有最高線端密度的區域中出現懸垂物。然而,在一些方法中,不能即時捕獲接觸開口的入口處的所電鍍銅的懸垂物。僅能夠在已使用ECP製程處理一批半導體晶圓之後發現所電鍍銅中的空隙。因此,生產良率受到不利影響。
在一些實施例中,提供一種電化學鍍覆(ECP)系統,所述系統能夠在ECP製程繼續時原位(in situ)監測電鍍金屬與電鍍溶液中的電解質之間的介面電阻。將介面電阻的值與臨界電阻值進行比較,低於所述臨界電阻值時,對於特定金屬化層,具有最高線端密度的金屬線中開始出現空隙。一旦觀測到介面電阻的值低於臨界電阻值時,ECP系統調整電鍍溶液中的有機添加劑的量,以將介面電阻維持在一個使得整個基板上具有不同線端密度的接觸開口中皆產生無空隙電鍍的金屬的範圍內。本揭露的ECP系統因此有助於防止內連線結構中的空隙的形成,且改善積體電路的可靠性。
圖1為根據一些實施例的ECP系統100的頂部平面圖。
參照圖1,ECP系統100包括工廠介面110、處理主機(processing mainframe)120、退火室150、電鍍溶液供應系統160 以及控制系統170。處理主機120及退火室150與工廠介面110連通。
工廠介面110包括多個基板裝載站112,用於與晶圓盒113介接且保持(retain)含基板的晶圓盒113。工廠介面機器人114配置於工廠介面110中,且用於存取(access)基板以及將所述基板轉移至及轉移出基板裝載站112上的晶圓盒113。工廠介面機器人114亦延伸至連接隧道118中,所述連接隧道118將工廠介面110連接至處理主機120。工廠介面機器人114的位置允許機器人進入基板裝載站112,以自基板裝載站112上的晶圓盒113中的一個中擷取基板,且接著將基板遞送至處理主機120。另外,工廠介面機器人114可經操作以將基板轉移至退火室150中或之外。此外,在完成ECP處理序列之後,操作工廠介面機器人114以將基板帶回至配置於基板裝載站112上的晶圓盒113中的一個,以用於自ECP系統100中移除。
處理主機120包括主機機器人122及配置於處理主機120上的多個處理單元130。主機機器人122包括用於支撐並轉移基板的一或多個機器人葉片124。另外,主機機器人122及機器人葉片124可用於獨立地延伸、旋轉、樞轉(pivot)且豎直地移動,以使得主機機器人122能夠同時向/自多個處理單元130插入及移除基板。在一些實施例中,主機機器人122為翻轉機器人(flipper robot),所述翻轉機器人有助於將基板自主機機器人122的機器人葉片124上的面朝上的位置轉移至需要面朝下處理基板的處理單元130的面朝下的位置。
處理單元130用於ECP製程中,例如作為ECP單元、沖 洗單元、基板斜面清潔(substrate bevel clean)單元、旋轉沖洗乾燥單元、基板表面清潔單元以及與電鍍平台結合使用的其他單元。在一些實施例中,處理單元130包括一或多個ECP單元132、一或多個旋轉沖洗乾燥(spin rinse dry;SRD)單元134以及一或多個基板斜面清潔單元136。儘管在圖1的所示出實施例中,兩個處理單元130用於ECP單元132,但應理解的是,ECP單元132的數目不限於此,且可設想任何數目的ECP單元132。下文將參照圖2進一步描述ECP單元132。
ECP單元132中的每一者耦接至感測器140。當ECP製程繼續時,各感測器140適於在對應ECP單元132中原位量測電鍍金屬與電鍍溶液中的電解質之間的介面電阻。將原位量測的介面電阻與臨界電阻進行比較,且一旦介面電阻的值小於臨界電阻值,則調整電鍍溶液(例如,圖2中的電鍍溶液230)中的有機添加劑的濃度以增加介面電阻,藉此降低接觸開口的入口處的金屬沈積速率。因此,感測器140有助於針對基板上的給定金屬化層獲得具有不同線端密度的金屬線的無空隙電鍍的金屬。下文將參照圖2進一步描述傳感器140。
退火室150適於在ECP製程之後將電鍍金屬膜退火。退火有助於增加晶粒大小(grain size)、釋放雜質且降低所得金屬線的電阻。退火亦有助於穩定微結構以確保隨後執行的CMP移除速率為可再現的。在一些實施例中,退火室150為包括冷卻板152及相鄰於冷卻板152配置的加熱板154的兩位置(two-position)退火室。基板轉移機器人156配置於冷卻板152與加熱板154之間。基板轉移機器人156用於在冷卻板152與加熱板154之間移動基 板。
電鍍溶液供應系統160單獨地連接至ECP單元132,且用於在ECP製程期間使電鍍溶液循環通過ECP單元132。另外,SRD單元134及基板斜面清潔單元136亦與流體遞送系統(未繪示)連通,所述流體遞送系統用以在ECP製程期間將必要的清潔流體供應至各別SRD單元134及基板斜面清潔單元136。在一些實施例中,流體遞送系統亦可用以將電鍍溶液供應至ECP單元132。
ECP系統100中的組件與控制系統170通信。控制系統170用以自使用者及/或ECP系統100中的各種感測器接收訊號,且根據輸入及/或預定製程配方控制ECP系統100的操作。
圖2為根據一些實施例的ECP系統(例如ECP系統100)中的ECP單元132及感測器140的示意圖。
參照圖2,ECP單元132包括陽極201、安裝在可旋轉紡錘軸(rotatable spindle)205上的基板固持器203、耦接至陽極201及基板固持器203的電力供應器250以及電鍍槽222。電力供應器250耦接至控制系統170。電鍍槽222含有電鍍溶液230,且陽極201安置在電鍍溶液230內。在一些實施例中,陽極201包括待電鍍至基板202上的金屬(例如,銅)的來源。
在電化學鍍覆循環期間,基板202安裝在基板固持器203中,所述基板固持器接著置放在電鍍槽222中。如由箭頭212及箭頭213所示,藉由泵240將電鍍溶液230不斷地施加至電鍍槽222。一般而言,電鍍溶液230朝上流動至基板202的中心,且接著徑向朝外(radially outward)並在整個基板202上流動。接著, 如由箭頭214及箭頭216所示,電鍍溶液230自電鍍槽222流動至溢流槽224。接著,將電鍍溶液230過濾(未繪示)且如由箭頭218所示返回至泵240,從而完成再循環。電力供應器250(諸如DC電力供應器)具有經由基板固持器203電性連接至基板202的負輸出導線(negative output lead)。電力供應器250的正輸出導線(positive output lead)電性連接至位於電鍍槽222中的陽極201。在ECP製程期間,電力供應器250使基板202偏壓,以提供相對於陽極201的負電位,從而生成自陽極201至基板202的電流。電流沿與淨正離子流(net positive ion flux)相同的方向流動,且與淨電子流(net electron flux)相反。此導致在基板202上的電化學反應(例如,Cu2++2e=Cu),所述反應導致金屬(例如,銅)沈積在其上。在電鍍循環期間例如藉由陽極201的溶解(例如,Cu=Cu2++2e)來補充電鍍溶液230的離子濃度。
電鍍溶液230包括含有待電鍍至基板202上的金屬的離子的金屬鹽。在待電鍍至基板202上的金屬為銅的情況下,陽極201由銅製成,且電鍍溶液230包括銅鹽、酸、水以及改善沈積銅的特性的各種有機及無機添加劑的混合物。電鍍溶液230中的銅鹽的實例包括,但不限於,硫酸銅、氰化銅、胺基磺酸銅、氯化銅、氟化銅、硝酸銅、氧化銅、氟硼酸銅、三氟乙酸銅、焦磷酸銅、甲烷磺酸銅以及前述化合物中的任一者的水合物。用於電鍍溶液230中的銅鹽的濃度視所使用的具體銅鹽而變化。用於電鍍溶液中的酸的實例包括,但不限於,硫酸、甲磺酸、氟硼酸、氫氯酸、氫碘酸、硝酸以及磷酸。用於電鍍溶液230中的酸的濃度視所使用的具體酸而變化。
電鍍溶液230更包括改善金屬的電鍍特性的有機添加劑。有機添加劑有助於藉由抑制基板202的表面中的突出區域處的電沈積速率及/或藉由加速基板202的表面中的凹陷區域中的電沈積速率來消除空隙形成。在銅電鍍溶液中採用的有機添加劑包括抑制劑、加速劑以及勻塗劑。抑制劑為大分子沈積抑制劑,其往往會吸附在基板202的表面上,且降低局部沈積速率,從而增加沈積均一性。抑制劑的實例包括,但不限於,聚醚(例如聚乙二醇)以及諸如聚氧化丙烯的其他聚合物。加速劑為有機分子,其往往會對抗抑制劑的抑制作用,且在基板凹槽內提供加速沈積。加速劑的實例包括,但不限於,有機硫化物化合物,諸如雙(磺丙基鈉)-二硫化物、3-氫硫基-1-丙烷磺酸鈉鹽、N-二甲基-二硫代胺基甲醯丙基磺酸鈉鹽以及3-S-異硫脲丙基磺酸鹽。勻塗劑通常具有帶氮官能基的成分,且在一些個例中以相對較低濃度添加至電鍍溶液230中。勻塗包括強電流抑制物種的擴散或遷移至接觸開口的邊角或邊緣,否則歸因於電場以及溶液質量轉移效應,接觸開口電鍍得會比期望的更快。勻塗劑的實例包括,但不限於,聚醚表面活性劑、聚乙二醇表面活性劑、聚丙烯酸、多元胺、聚丙烯醯胺、吩嗪偶氮染料、烷氧基化胺表面活性劑以及聚合物吡啶衍生物。
然而,在ECP製程期間,這些有機添加劑會與陽極反應。反應將導致有機添加劑分解,其會使得有機添加劑無效。因而,歸因於電鍍製程中的消耗,電鍍溶液中的有機添加劑的濃度降低。因此,介面電阻隨時間推移而逐漸降低。一旦介面電阻降低至低於臨界電阻值的值,則由於接觸開口的邊角處的銅電鍍速率的增加而開始在具有最高線端密度的接觸開口中的電鍍銅中生成懸垂物而 得到空隙。為了有助於在基板202上形成無空隙電鍍的金屬填充,在ECP製程繼續時使用感測器140來原位量測電鍍金屬與電鍍溶液中的電解質之間的介面電阻,以判定在具有最高線端密度的接觸開口的邊角處何時開始出現懸垂物,且在空隙形成之前調整電鍍溶液中的有機添加劑的量。
感測器140安置在ECP單元132的外部。在一些實施例中,感測器140為歐姆計。在一些實施例中,感測器140為阻抗計。感測器140包括適於部分浸入電鍍溶液230中的感測器探針242。感測器探針242與電鍍溶液230接觸以原位量測電鍍金屬與電鍍溶液230中的電解質之間的介面電阻。在一些實施例中,感測器探針242由待電鍍於基板202上的金屬或待電鍍於貴金屬上的金屬製成。貴金屬的實例包括,但不限於,白金、金、鈀、銥以及釕。在待電鍍至基板202上的金屬為銅的情況下,感測器探針242為由銅或經銅電鍍的貴金屬製成的導線。應注意的是,儘管圖2示出感測器探針242置放於電鍍槽222中,但亦可設想感測器探針242置放於溢流槽224中。
ECP單元132及感測器140與控制系統170通信。控制系統170用於將控制信號輸出至電力供應器250或ECP單元132中的其他可控制組件,以調整電場的局部強度,從而對電鍍製程執行控制。控制系統170亦用於自感測器140接收資訊。在一些實施例中,控制系統170自感測器140接收與介面電阻相關的資訊。基於自感測器140接收到的介面電阻,控制系統170用於將原位所量測的介面電阻與臨界電阻進行比較,所述臨界電阻與對於給定金屬化層具有最高線端密度的無空隙電鍍的金屬線的形成相關 聯。在一些實施例中,控制系統170用於在介面電阻減小至低於臨界電阻值的值時調整電鍍溶液230的組成物,亦即,電鍍溶液230中的諸如抑制劑、加速劑以及勻塗劑的有機添加劑的濃度。
圖3為根據一些實施例的使用ECP系統100的方法300的流程圖。
參照圖3,方法300包括操作302,其中接收積體電路的佈局資料。對於非限制性實例,儘管可使用積體電路的其他格式的圖形設計資料,但佈局資料將以GDSII格式提供。佈局資料包括積體電路中的金屬化層的佈局資料。
在操作304中,基於佈局資料,計算給定金屬化層中的金屬線的線端密度。舉例而言,若待評估的金屬化層為最接近基板的第一金屬化層,則提取對應於第一金屬化層的金屬線的佈局資料。為了計算線端密度,將整個基板區域分為多個單位柵格區域,且計算給定金屬化層的單位柵格區域中的金屬線的線端密度。對於基板上的各單位柵格區域,線端密度(LeD)經計算為LeD=1/(線寬+線間距)。
在操作306中,識別給定金屬化層中在單位柵格區域中具有最高線端密度的金屬線。
在操作308中,判定電鍍金屬與電鍍溶液中的電解質的介面處的用於獲得具有最高線端密度的無空隙金屬線的臨界電阻值。在一些實施例中,基於與ECP製程的效能相關的經驗數據(empirical data)來判定臨界電阻值。
在操作310中,在例如ECP系統100(圖1及圖2)的ECP系統中執行ECP製程。將待電鍍基板(例如基板202)固定 至基板固持器(例如基板固持器203),且使基板202的電鍍表面與例如電鍍溶液230的電鍍溶液接觸。在與電鍍溶液接觸時,將電偏壓施加至沈積在基板202的電鍍表面上的晶種層。晶種層通常包括與待電鍍金屬相同的金屬。電偏壓一般為用於藉由陰極電荷偏壓基板表面/金屬晶種層的偏壓,其導致將電鍍溶液230中的金屬離子推出電鍍溶液230且電鍍在陰極帶電的基板表面/金屬晶種層上。
在操作312中,原位監測電鍍金屬與電鍍溶液中的電解質之間的介面電阻。在一些實施例中,使用感測器140監測電鍍金屬與電鍍溶液中的電解質之間的介面電阻。
在操作314中,將電鍍金屬與電鍍溶液中的電解質之間的介面電阻的值與臨界電阻值進行比較。若介面電阻的值高於臨界電阻值,ECP製程則繼續。另一方面,若介面電阻的值小於臨界電阻值,則方法300進行至操作316,其中例如由控制系統170來調整電鍍溶液230中的有機添加劑(諸如抑制劑、加速劑以及勻塗劑)的濃度,以增加電鍍金屬與電解質之間的介面電阻,並降低接觸開口的邊角處的金屬沈積速率。因此,可在整個基板202上實現無空隙金屬填充。因此,本揭露的ECP系統100有助於改善積體電路的可靠性,且有助於提高製造良率。
圖4為根據一些實施例的用於製造半導體結構500的方法400的流程圖。圖5A至圖5D為根據一些實施例的方法400的各個階段的半導體結構500的剖面圖。下文將參照圖5A至圖5D中的半導體結構詳細論述方法400。在一些實施例中,在方法400之前、期間及/或之後執行額外操作,或替換及/或刪除所描述的一 些操作。在一些實施例中,將額外特徵添加至半導體結構500。在一些實施例中,替換或刪除下文描述的一些特徵。所屬領域的一般技術人員將理解,儘管藉由以特定次序執行的操作來論述一些實施例,但此等操作可以另一邏輯次序來執行。
參照圖4及圖5A,方法400包括操作402,其中蝕刻基板502上的介電層510以形成多個接觸開口512、接觸開口514。圖5A為蝕刻在基板502上的介電層510以形成多個接觸開口512、接觸開口514之後的半導體結構500的剖面圖。
首先提供基板502。在一些實施例中,基板502為包括矽的塊狀半導體基板(bulk semiconducotr substrate)。替代地或另外,在一些實施例中,塊狀半導體基板包括諸如鍺的另一元素半導體、包括砷化鎵、鎵、磷化物、磷化銦、砷化銦及/或銻化銦的化合物半導體、包括SiGe、GaAsP、AlinAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體;或其組合。在一些實施例中,基板502包括磊晶層。舉例而言,基板502具有上覆於塊狀半導體基板的磊晶層。此外,在一些實施例中,基板502為絕緣層上半導體(semiconductor on insulator;SOI)基板。舉例而言,基板502包括埋入式氧化物(buried oxide;BOX)層,所述埋入式氧化物層藉由諸如分離植入氧氣(separation by implanted oxygen;SIMOX)的製程或諸如晶圓接合及研磨的其他合適的技術形成。
在一些實施例中,基板502更包括主動元件,諸如p型場效電晶體(p-type field effect transistors;PFET)、n型場效電晶體(n-type field effect transistors;NFET)、金屬氧化物半導體(metal-oxide semiconductor;MOS)電晶體、互補金屬氧化物半導體 (complementary metal-oxide semiconductor;CMOS)電晶體、雙極電晶體、高壓電晶體及/或高頻電晶體。在一些實施例中,電晶體為平面電晶體或三維鰭式電晶體。在一些實施例中,基板502更包括被動元件,諸如電阻器、電容器及/或電感器。基板502更包含諸如淺槽隔離(shallow trench isolation;STI)結構的隔離結構以使各種主動元件及/或被動元件彼此分離。為方便起見,在圖5A中未繪示任何此類電路元件。
介電層510沈積在基板502上。在一些實施例及如在圖5A中所示,介電層510直接沈積在基板502上且與基板502接觸。在一些實施例中,其中含有接觸結構/內連線結構的一或多個介電層安置在介電層510與基板502之間。
在一些實施例中,介電層510包括氧化矽。在一些實施例中,介電層510包括具有小於4的介電常數(k)的低k介電材料。在一些實施例中,低k介電材料具有約1.2至約3.5的介電常數。在一些實施例中,介電層510包含正矽酸四乙酯(tetraethylorthosilicate;TEOS)氧化物、未經摻雜矽玻璃或經摻雜氧化矽,諸如硼磷矽玻璃(borophosphosilicate glass;BPSG)、氟矽玻璃(fluorosilica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、經硼摻雜矽玻璃(boron doped silicon glass;BSG)及/或其他適合的介電材料。在一些實施例中,介電層510藉由化學氣相沈積(chemical vapor deposition;CVD)、電漿增強式化學氣相沈積(plasma enhanced chemical vapor deposition;PECVD)、物理氣相沈積(physical vapor deposition;PVD)或旋塗來沈積。在一些實施例中,將介電層510藉由平坦化製程進行平坦化或以 其他方式凹陷以得到平坦頂部表面。在一些實施例中,使用CMP製程平坦化介電層510的頂部表面。
隨後,蝕刻介電層510以在其中形成多個第一接觸開口512以及多個第二接觸開口514。在一些實施例中,第一接觸開口512、第二接觸開口514為溝槽或溝槽與通孔的組合。多個第一接觸開口512在基板502的第一區域502A中形成,且多個第二接觸開口514在基板502的第二區域502B中形成。歸因於電路元件在基板502中的封裝密度的不同,例如歸因於第一區域502A中的所需要的較多數目的電性連接,基板502的第一區域502A中的第一接觸開口512形成為具有較高密度與較高線端密度,而例如歸因於第二區域502B中所需要的較少數目的電性連接,第二區域502B中的第二接觸開口514形成為具有較低密度與較低線端密度。
用微影及蝕刻製程蝕刻介電層510。在一些實施例中,微影製程包括在介電層510上施加光阻層(未繪示),將光阻層暴露於圖案,執行暴露後烘烤,以及顯影光阻以形成圖案化光阻層(未繪示)。圖案化光阻層暴露介電層510的待形成第一接觸開口512、第二接觸開口514的部分。然後,蝕刻由圖案化光阻層暴露的介電層510的部分,以形成第一接觸開口512、第二接觸開口514。在一些實施例中,使用例如反應性離子蝕刻(reactive ion etch;RIE)或電漿蝕刻的乾式蝕刻來蝕刻介電層510。在一些實施例中,使用濕式蝕刻來蝕刻介電層510。在介電層510中形成第一接觸開口512、第二接觸開口514之後,例如藉由濕式剝離或電漿灰化來移除圖案化光阻層。替代地,在一些實施例中,使用硬式罩幕以使得接觸開口圖案藉由第一蝕刻自圖案化光阻層轉移至硬式罩幕, 且接著藉由第二蝕刻轉移至介電層510。
參照圖4及圖5B,方法400進行至操作404,其中阻擋層520沿第一接觸開口512、第二接觸開口514的側壁及底部且在介電層510的頂部表面上沈積,隨後在阻擋層520上沈積晶種層530。圖5B為在沿第一接觸開口512、第二接觸開口514的側壁及底部且在介電層510的頂部表面上沈積阻擋層520,且在阻擋層520上沈積晶種層530之後圖5A的半導體結構的剖面圖。
阻擋層520沈積在第一接觸開口512、第二接觸開口514以及介電層510的暴露表面上。阻擋層520適於防止填充在第一接觸開口512、第二接觸開口514中的金屬擴散至介電層510。阻擋層520亦用以改善對介電層510的金屬的黏著力。在一些實施例中,阻擋層520包括耐火金屬,諸如鈦(Ti)、鉭(Ta)或釕(Ru);金屬氮化物,諸如氮化鈦(TiN)或氮化鉭(TaN);或兩者的雙層,諸如Ti/TiN或Ta/TaN。在一些實施例中,利用諸如CVD、PECVD、PVD或原子層沈積(ALD)的共形沈積製程(conformal deposition process)來沈積阻擋層520。
接著,晶種層530沈積在阻擋層520上。在一些實施例中,晶種層530包括用於金屬化填充的相同金屬,以有助於將填充金屬沈積及接合至阻擋層520上。在一些實施例中,晶種層530包括用於銅金屬化的銅。在一些實施例中,使用諸如PVD或ALD的共形沈積製程來沈積晶種層530。
參照圖4及圖5C,方法400進行至操作406,其中執行ECP製程以形成金屬層540,從而填充第一接觸開口512、第二接觸開口514。圖5C為在執行ECP製程以形成金屬層540,從而填 充第一接觸開口512、第二接觸開口514之後圖5B的半導體結構500的剖面圖。
在一些實施例中,採用ECP系統100(圖1及圖2)來電化學鍍覆基板502以便形成金屬層540。在ECP製程期間,藉由感測器140監測(圖1及圖2)電鍍金屬與電鍍溶液中的電解質之間的介面電阻,且調整電鍍溶液230中的有機添加劑的濃度以確保ECP製程在第一接觸開口512及第二接觸開口514兩者內形成實質上無空隙的金屬層540。在一些實施例中,金屬層540包括銅。在金屬層540及晶種層530由同一金屬組成的情況下,金屬層540與晶種層530之間的邊界為不可辨別的。將理解的是,儘管在描述各種實施例時以銅作為實例參考,但本文描述的電鍍製程能夠與其他金屬一起使用,所述其他金屬包括,但不限於,金(Au)、銀(Ag)、鎳(Ni)、鐵(Fe)、鈀(Pd)以及其合金電鍍。
參照圖4及圖5D,方法400進行至操作408,其中內連線結構550在第一接觸開口512、第二接觸開口514內形成。圖5D為在第一接觸開口512、第二接觸開口514內形成內連線結構550之後圖5C的半導體結構500的剖面圖。
內連線結構550中的每一者包含襯在對應第一接觸開口512、第二接觸開口514的側壁及底部的阻擋層部分520P、在阻擋層部分520P上的晶種層部分530P以及在晶種層部分530P上的金屬層部分540P。內連線結構550藉由移除位在介電層510的頂部表面上的金屬層540、晶種層530以及阻擋層520的部分而形成。在一些實施例中,執行例如CMP的平坦化製程以自介電層510的頂部表面移除金屬層540、晶種層530以及阻擋層520的部分。 在平坦化之後,第一接觸開口512、第二接觸開口514內的阻擋層520的剩餘部分構成阻擋層部分520P,第一接觸開口512、第二接觸開口514內的晶種層530的剩餘部分構成晶種層部分530P,且第一接觸開口512、第二接觸開口514內的金屬層540的剩餘部分構成金屬層部分540P。阻擋層部分520P、晶種層部分530P以及金屬層部分540P具有與介電層510的頂部表面共面的頂部表面。
圖6為根據一些實施例的用於控制ECP系統100的操作的控制系統170的方塊圖。根據一些實施例,控制系統170產生用於控制ECP系統100的一或多個組件的操作的輸出控制信號。根據一些實施例,控制系統170自ECP系統100的一或多個組件接收輸入信號。控制系統170亦將電鍍金屬與電鍍溶液中的電解質之間的介面處的介面電阻的值與臨界電阻值進行比較,且一旦介面電阻的值低於臨界電阻值,則調整電鍍溶液230的組成物。在一些實施例中,控制系統170位於相鄰於ECP系統100。在一些實施例中,控制系統170遠離ECP系統100。
控制系統170包括各自經由匯流排610或其他內連線通信機制進行通信耦接的處理器602、輸入/輸出(input/output;I/O)裝置604、記憶體606以及網路介面608。
處理器602用以執行及/或解讀儲存於記憶體606中的一或多組指令612。在一些實施例中,處理器602為中央處理單元(central processing unit;CPU)、多重處理器、分佈式處理系統、特殊應用積體電路(application specific integrated circuit;ASIC)及/或合適的處理單元。
I/O裝置604耦接至外部電路。在一些實施例中,I/O裝 置604包括用於將資訊及指令傳達至處理器602的鍵盤、小鍵盤(keypad)、滑鼠、軌跡球(trackball)、軌跡墊(trackpad)及/或游標方向按鍵(cursor direction key)。
記憶體606(亦稱為電腦可讀媒體)包括通信耦接至匯流排610以用於儲存資料及/或指令以供處理器602執行的隨機存取記憶體或其他動態儲存元件。在一些實施例中,記憶體606用於在執行待由處理器602執行的指令期間儲存臨時變量(temporary variable)或其他中間資訊。在一些實施例中,記憶體606亦包括耦接至匯流排610以用於為處理器602儲存靜態資訊及指令的唯讀記憶體或其他靜態儲存元件。在一些實施例中,記憶體606為電子、磁性、光學、電磁、紅外線及/或半導體系統(或設備或裝置)。舉例而言,記憶體606包括半導體或固態記憶體、磁帶(magnetic tape)、可移式電腦磁片、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、硬磁碟(rigid magnetic disk)及/或光碟(optical disk)。在使用光碟的一些實施例中,記憶體606包括雷射光碟唯讀記憶體(compact disk-read only memory;CD-ROM)、雷射光碟讀取/寫入(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
記憶體606經編碼有(亦即儲存)電腦程式碼,亦即一組可執行指令612,所述可執行指令612用於控制ECP系統100的一或多個組件,且使控制系統170執行ECP製程。在一些實施例中,記憶體606亦儲存執行ECP製程所需的資訊以及在執行ECP製程期間生成的資訊。在一些實施例中,記憶體606儲存臨界電阻值以及在執行ECP製程期間生成的電鍍溶液230的電阻資料。
網路介面608包括用於連接至網路609的機構,所述網路609與一或多個其他電腦系統連接。在一些實施例中,網路介面608包括有線及/或無線連接機構。網路介面608包括無線網路介面,諸如藍芽、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如乙太網路(ETHERNET)、USB或IEEE-1394。在一些實施例中,控制系統170經由網路介面608與ECP系統100的一或多個組件耦接。在一些實施例中,控制系統170直接與ECP系統100的一或多個組件耦接,例如不經由網路介面608與耦接至匯流排610的組件耦接。
本說明書的一態樣是關於一種電化學鍍覆(ECP)系統。ECP系統包括:ECP單元,包括用於ECP製程的電鍍溶液;感測器,用於在ECP製程繼續時原位量測電鍍金屬與電鍍溶液中的電解質之間的介面電阻;電鍍溶液供應系統,與ECP單元流體連通且用於將電鍍溶液供應至ECP單元;以及控制系統,可操作地耦接至ECP單元、感測器以及電鍍溶液供應系統。控制系統用於將介面電阻與臨界電阻進行比較,且回應於介面電阻低於臨界電阻而調整電鍍溶液的組成物。在一些實施例中,感測器包括歐姆計或阻抗計。在一些實施例中,感測器包括適於部分浸入電鍍溶液中的探針。在一些實施例中,探針包括由銅或銅電鍍在貴金屬上組成的導線。在一些實施例中,貴金屬包含白金、金、鈀、銥或釕。在一些實施例中,電鍍溶液包括金屬鹽及有機添加劑。控制系統用以調整電鍍溶液中的有機添加劑中的至少一種的量。在一些實施例中,ECP系統更包括一或多個旋轉沖洗乾燥單元及一或多個基板斜面清潔單元。在一些實施例中,ECP系統更包括工廠介面,所述工廠 介面包括多個基板裝載站。在一些實施例中,ECP系統更包括退火室。
本說明書的另一態樣是關於一種用於執行電化學鍍覆(ECP)製程的方法。方法包括將基板的表面與包括待沈積金屬離子的電鍍溶液接觸。方法更包括電鍍金屬到基板的表面上。方法更包括在ECP製程繼續時,原位監測電鍍金屬與電鍍溶液中的電解質之間的介面電阻。方法更包括回應於介面電阻低於臨界電阻而調整電鍍溶液的組成物,所述臨界電阻與用於基板上的金屬化層的多個導電線中具有最高線端密度的導電線的子集相關聯。在一些實施例中,調整電鍍溶液的組成物包括調整電鍍溶液中的至少一種有機添加劑的量。在一些實施例中,方法更包括接收待在基板上製造的積體電路的佈局資料。在一些實施例中,方法更包括基於佈局資料計算基板中的多個單位柵格區域中的多個導電線的線端密度。在一些實施例中,方法更包括在多個單位柵格區域的單位柵格區域中識別具有最高線端密度的導電線的子集。在一些實施例中,方法更包括基於經驗數據判定臨界電阻。
本說明書的又一態樣是關於一種形成半導體結構的方法。方法包括在基板上的介電層中形成多個接觸開口。多個接觸開口包括在基板的第一區域中的多個第一接觸開口以及在基板的第二區域中的多個第二接觸開口。多個第一接觸開口在多個接觸開口中具有最高線端密度。方法更包括沿多個接觸開口的側壁及底部且在介電層上形成阻擋層。方法更包括在阻擋層上形成晶種層。方法更包括執行電化學鍍覆(ECP)製程以將導電層填滿多個接觸開口。執行ECP製程包括在ECP製程進行時,原位監測電鍍金屬 與電鍍溶液中的電解質的介面電阻,且回應於介面電阻低於與最高線端密度相關聯的臨界電阻而調整電鍍溶液的組成物。在一些實施例中,在介電層中形成多個接觸開口包括使用非等向性蝕刻來蝕刻介電層。在一些實施例中,方法更包括自介電層的頂部表面移除導電層、晶種層以及阻擋層的部分。在一些實施例中,執行ECP製程更包括在基板與放置在電鍍溶液中的陽極之間施加偏壓。在一些實施例中,執行ECP製程更包括將介面電阻與臨界電阻進行比較。
前文概述若干實施例的特徵,以使得所屬領域的技術人員可更佳地理解本揭露內容的態樣。所屬領域的技術人員應瞭解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他方法及結構的基礎。所屬領域的技術人員亦應認識到,此類等效構造並不脫離本揭露內容的精神及範圍,且所屬領域的技術人員可在不脫離本揭露內容的精神及範圍的情況下在本文中作出各種改變、替代以及更改。
100:ECP系統
110:工廠介面
112:基板裝載站
113:晶圓盒
114:工廠介面機器人
118:連接隧道
120:處理主機
122:主機機器人
124:機器人葉片
130:處理單元
132:ECP單元
134:旋轉沖洗乾燥單元
136:基板斜面清潔單元
140:感測器
150:退火室
152:冷卻板
154:加熱板
156:基板轉移機器人
160:電鍍溶液供應系統
170:控制系統

Claims (10)

  1. 一種電化學鍍覆(electrochemical plating;ECP)系統,包括:ECP單元,包括用於ECP製程的電鍍溶液;感測器,用於在所述ECP製程繼續時原位量測電鍍金屬與所述電鍍溶液中的電解質之間的介面電阻;電鍍溶液供應系統,與所述ECP單元流體連通(fluid communication)且用於將所述電鍍溶液供應至所述ECP單元;以及控制系統,可操作地耦接至所述ECP單元、所述感測器以及所述電鍍溶液供應系統,所述控制系統用於:將所述介面電阻與臨界電阻進行比較;以及回應於所述介面電阻低於所述臨界電阻而調整所述電鍍溶液的組成物。
  2. 如申請專利範圍第1項所述的ECP系統,其中所述感測器包括探針,適於部分浸入所述電鍍溶液中。
  3. 如申請專利範圍第1項所述的ECP系統,其中所述電鍍溶液包括金屬鹽及有機添加劑,其中所述控制系統用於調整所述電鍍溶液中的所述有機添加劑中的至少一種的量。
  4. 一種執行電化學鍍覆(electrochemical plating;ECP)製程的方法,包括:將基板的表面與包括待沈積金屬離子的電鍍溶液接觸;電鍍所述金屬到所述基板的所述表面上;在所述ECP製程繼續時,原位監測所述電鍍金屬與所述電鍍 溶液中的電解質之間的介面電阻;以及回應於所述介面電阻低於臨界電阻而調整所述電鍍溶液的組成物,所述臨界電阻與用於所述基板上的金屬化層的多個導電線中具有最高線端密度的導電線子集相關聯。
  5. 如申請專利範圍第4項所述的執行ECP製程的方法,更包括接收待在所述基板上製造的積體電路的佈局資料。
  6. 如申請專利範圍第5項所述的執行ECP製程的方法,更包括基於所述佈局資料計算所述基板中的多個單位柵格區域中的所述多個導電線的線端密度。
  7. 如申請專利範圍第6項所述的執行ECP製程的方法,更包括在所述多個單位柵格區域的單位柵格區域中識別具有所述最高線端密度的所述導電線子集。
  8. 如申請專利範圍第7項所述的執行ECP製程的方法,更包括基於經驗數據(empirical data)判定所述臨界電阻。
  9. 一種形成半導體結構的方法,包括:在基板上的介電層中形成多個接觸開口,所述多個接觸開口包括在所述基板的第一區域中的多個第一接觸開口以及在所述基板的第二區域中的多個第二接觸開口,所述多個第一接觸開口在所述多個接觸開口中具有最高線端密度;沿所述多個接觸開口的側壁及底部且在所述介電層上形成阻擋層;在所述阻擋層上形成晶種層;以及執行電化學鍍覆(electrochemical plating;ECP)製程以將導電層填滿所述多個接觸開口,其中執行所述ECP製程包括: 在所述ECP製程繼續時,原位監測電鍍金屬與電鍍溶液中的電解質之間的介面電阻;以及回應於所述介面電阻低於與所述最高線端密度相關聯的臨界電阻而調整所述電鍍溶液的組成物。
  10. 如申請專利範圍第9項所述的形成半導體結構的方法,其中執行所述ECP製程更包括將所述介面電阻與所述臨界電阻進行比較。
TW108142497A 2018-11-30 2019-11-22 電化學鍍覆系統、執行電化學鍍覆製程的方法以及形成半導體結構的方法 TWI711724B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862774100P 2018-11-30 2018-11-30
US62/774,100 2018-11-30
US16/677,563 2019-11-07
US16/677,563 US11230784B2 (en) 2018-11-30 2019-11-07 Electrochemical plating system and method of using

Publications (2)

Publication Number Publication Date
TW202026467A TW202026467A (zh) 2020-07-16
TWI711724B true TWI711724B (zh) 2020-12-01

Family

ID=70848773

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108142497A TWI711724B (zh) 2018-11-30 2019-11-22 電化學鍍覆系統、執行電化學鍍覆製程的方法以及形成半導體結構的方法
TW108143977A TWI730521B (zh) 2018-11-30 2019-12-02 電化學電鍍的系統及製程方法與半導體結構製法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108143977A TWI730521B (zh) 2018-11-30 2019-12-02 電化學電鍍的系統及製程方法與半導體結構製法

Country Status (4)

Country Link
US (2) US11015260B2 (zh)
KR (2) KR102275458B1 (zh)
CN (2) CN111254478B (zh)
TW (2) TWI711724B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112481662A (zh) * 2021-01-12 2021-03-12 广州妗枫科技有限公司 一种维持电镀液离子浓度的镀铬设备
TWI807907B (zh) * 2022-07-07 2023-07-01 國立雲林科技大學 電鍍銅析鍍於鋁摻雜氧化鋅電極的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638409B1 (en) * 2002-05-21 2003-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stable plating performance in copper electrochemical plating
US6808611B2 (en) * 2002-06-27 2004-10-26 Applied Materials, Inc. Methods in electroanalytical techniques to analyze organic components in plating baths
CN102459717A (zh) * 2009-06-09 2012-05-16 诺发系统有限公司 用于电镀的方法及设备
WO2013090295A1 (en) * 2011-12-12 2013-06-20 Novellus Systems, Inc. Monitoring leveler concentrations in electroplating solutions
TW201702436A (zh) * 2015-03-20 2017-01-16 蘭姆研究公司 電鍍設備中之電流密度的控制
TW201827654A (zh) * 2016-11-03 2018-08-01 美商蘭姆研究公司 使用犧牲性氧化劑之鈷電填充最佳化程序

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6613214B2 (en) * 1998-11-30 2003-09-02 Applied Materials, Inc. Electric contact element for electrochemical deposition system and method
JP2001089896A (ja) 1999-09-20 2001-04-03 Hitachi Ltd めっき方法,めっき液,半導体装置及びその製造方法
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6973712B2 (en) * 2002-03-07 2005-12-13 Headway Technologies, Inc. Lead plating method for GMR head manufacture
WO2003088316A2 (en) 2002-04-12 2003-10-23 Acm Research, Inc. Electropolishing and electroplating methods
US6890413B2 (en) * 2002-12-11 2005-05-10 International Business Machines Corporation Method and apparatus for controlling local current to achieve uniform plating thickness
JP2004263218A (ja) 2003-02-28 2004-09-24 Toppan Printing Co Ltd パターンめっき方法
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
CN1965110A (zh) * 2004-06-10 2007-05-16 应用材料公司 能够在阻挡金属上直接镀铜的阻挡层表面处理的方法
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
JP4676350B2 (ja) * 2006-02-14 2011-04-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9822461B2 (en) * 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) * 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN201258360Y (zh) * 2008-09-23 2009-06-17 江西蓝天学院 一种迫流化学镀装置
CN102054759B (zh) * 2009-11-10 2015-10-14 中芯国际集成电路制造(上海)有限公司 铜互连结构的形成方法
US9404194B2 (en) * 2010-12-01 2016-08-02 Novellus Systems, Inc. Electroplating apparatus and process for wafer level packaging
JP5504147B2 (ja) * 2010-12-21 2014-05-28 株式会社荏原製作所 電気めっき方法
US9518332B2 (en) 2011-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Electrochemical plating
US8575028B2 (en) * 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
KR102020572B1 (ko) * 2012-10-23 2019-09-10 모세 레이크 인더스트리즈, 인코포레이티드 도금욕 계측의 개선
US9476135B2 (en) * 2013-03-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Electro chemical plating process
JP5826952B2 (ja) 2014-01-17 2015-12-02 株式会社荏原製作所 めっき方法およびめっき装置
JP6585434B2 (ja) 2014-10-06 2019-10-02 株式会社荏原製作所 めっき方法
US10094038B2 (en) 2015-04-13 2018-10-09 Lam Research Corporation Monitoring electrolytes during electroplating
US9870995B2 (en) * 2015-06-18 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of copper layer structure with self anneal strain improvement
US10861701B2 (en) * 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10276397B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. CVD metal seed layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638409B1 (en) * 2002-05-21 2003-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stable plating performance in copper electrochemical plating
US6808611B2 (en) * 2002-06-27 2004-10-26 Applied Materials, Inc. Methods in electroanalytical techniques to analyze organic components in plating baths
CN102459717A (zh) * 2009-06-09 2012-05-16 诺发系统有限公司 用于电镀的方法及设备
WO2013090295A1 (en) * 2011-12-12 2013-06-20 Novellus Systems, Inc. Monitoring leveler concentrations in electroplating solutions
TW201702436A (zh) * 2015-03-20 2017-01-16 蘭姆研究公司 電鍍設備中之電流密度的控制
TW201827654A (zh) * 2016-11-03 2018-08-01 美商蘭姆研究公司 使用犧牲性氧化劑之鈷電填充最佳化程序

Also Published As

Publication number Publication date
CN111254478A (zh) 2020-06-09
US11015260B2 (en) 2021-05-25
TWI730521B (zh) 2021-06-11
US20200176310A1 (en) 2020-06-04
CN111261585B (zh) 2023-11-10
KR102265825B1 (ko) 2021-06-17
KR20200066558A (ko) 2020-06-10
US20210238765A1 (en) 2021-08-05
TW202037765A (zh) 2020-10-16
KR102275458B1 (ko) 2021-07-13
TW202026467A (zh) 2020-07-16
US11603602B2 (en) 2023-03-14
CN111254478B (zh) 2021-07-13
CN111261585A (zh) 2020-06-09
KR20200066580A (ko) 2020-06-10

Similar Documents

Publication Publication Date Title
US20130140681A1 (en) Superfilled metal contact vias for semiconductor devices
CN102124551B (zh) 穿硅通孔填充工艺
US11603602B2 (en) Method for controlling electrochemical deposition to avoid defects in interconnect structures
US20220415710A1 (en) Interconnect structure with selective electroplated via fill
JP2020522128A (ja) 超伝導金属シリコン貫通ビアを有する半導体デバイスのための製造方法および構造
KR101762657B1 (ko) 도전 패턴 구조물 및 이의 형성 방법
US9978666B2 (en) Method for fabrication semiconductor device with through-substrate via
TWI449089B (zh) 半導體結構及其形成方法
US20130249096A1 (en) Through silicon via filling
KR100559041B1 (ko) 반도체 소자의 구리 배선 형성 방법
US11598016B2 (en) Electrochemical plating system and method of using
US10283372B2 (en) Interconnects formed by a metal replacement process
DE102019132610B4 (de) Verfahren zum durchführen eines ecp-prozesses, verfahren zum herstellen einer halbleiterstruktur und ecp-anlage
US20240153895A1 (en) Semiconductor die packages and methods of formation
CN111005043B (zh) 电镀铜工艺方法及包括其形成的铜互连层的半导体器件
US20230282513A1 (en) Semiconductor structure formation
US20040235297A1 (en) Reverse electroplating for damascene conductive region formation
US20070151860A1 (en) Method for forming a copper metal interconnection of a semiconductor device
KR100731082B1 (ko) 반도체 소자 제조 방법