DE102019132610B4 - Verfahren zum durchführen eines ecp-prozesses, verfahren zum herstellen einer halbleiterstruktur und ecp-anlage - Google Patents

Verfahren zum durchführen eines ecp-prozesses, verfahren zum herstellen einer halbleiterstruktur und ecp-anlage Download PDF

Info

Publication number
DE102019132610B4
DE102019132610B4 DE102019132610.9A DE102019132610A DE102019132610B4 DE 102019132610 B4 DE102019132610 B4 DE 102019132610B4 DE 102019132610 A DE102019132610 A DE 102019132610A DE 102019132610 B4 DE102019132610 B4 DE 102019132610B4
Authority
DE
Germany
Prior art keywords
ecp
plating
substrate
plating solution
contact openings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019132610.9A
Other languages
English (en)
Other versions
DE102019132610A1 (de
Inventor
Jun-Nan Nian
Shiu-Ko Jangjian
Yu-Ren Peng
Yao-Hsiang Liang
Ting-Chun Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/698,528 external-priority patent/US11015260B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019132610A1 publication Critical patent/DE102019132610A1/de
Application granted granted Critical
Publication of DE102019132610B4 publication Critical patent/DE102019132610B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • C25D21/14Controlled addition of electrolyte components
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Electrochemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zum Durchführen eines elektrochemischen Galvanisierungsprozesses, im Folgenden als ECP- Prozess bezeichnet, mit den folgenden Schritten:In-Kontakt-Bringen einer Oberfläche eines Substrats (202, 602) mit einer Galvanisierlösung (230), die Ionen eines abzuscheidenden Metalls aufweist;Galvanisieren des Metalls auf der Oberfläche des Substrats (202, 602);In-situ-Überwachen eines Galvanisierstroms, der durch die Galvanisierlösung (230) zwischen einer Anode (201) und dem Substrat (202, 602) fließt, die in die Galvanisierlösung (230) getaucht sind, wenn der ECP-Prozess abläuft; undKorrigieren einer Zusammensetzung der Galvanisierlösung (230) in Reaktion darauf, dass der Galvanisierstrom unter einem kritischen Galvanisierstrom liegt, unterhalb dem Hohlräume in einer Teilmenge von leitfähigen Leitungen mit einer höchsten Leitungsende-Dichte von einer Mehrzahl von leitfähigen Leitungen für eine Metallisierungsschicht über dem Substrat (202, 602) entstehen.

Description

  • Hintergrund
  • Integrierte Schaltkreise weisen zahlreiche Bauelemente, wie etwa Transistoren, Kondensatoren, Widerstände und Dioden, auf. Diese Bauelemente, die zunächst gegeneinander isoliert sind, werden durch Verdrahten zu funktionellen Schaltkreisen verbunden. Dieses Verdrahten erfolgt durch mehrere Metallisierungsschichten, die Metallleitungen aufweisen, sodass eine elektrische Querverbindung entsteht, und durch eine Mehrzahl von Durchkontaktierungen, die eine vertikale elektrische Verbindung zwischen zwei benachbarten aufeinander gestapelten Metallisierungsschichten herstellen. Die Metallleitungen und die Durchkontaktierungen werden gemeinsam als Verbindungsstrukturen bezeichnet. Verbindungsstrukturen bestimmen zunehmend die Grenzen der Leistung und der Dichte von hochentwickelten integrierten Schaltkreisen.
  • Die Erfindung ist in den Ansprüchen definiert.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine Draufsicht einer ECP-Anlage (ECP: elektrochemische Galvanisierung), gemäß einigen Ausführungsformen.
    • 2 ist eine schematische Darstellung einer ECP-Zelle und einer Überwachungsvorrichtung, gemäß einigen Ausführungsformen.
    • 3 ist ein Ablaufdiagramm eines Verfahrens unter Verwendung einer ECP-Anlage, gemäß einigen Ausführungsformen.
    • 4 ist ein Diagramm, das eine Beziehung zwischen einem kritischen Galvanisierstrom und einer Leitungsende-Dichte zeigt.
    • 5 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleiterstruktur, gemäß einigen Ausführungsformen.
    • Die 6A bis 6D sind Schnittansichten einer Halbleiterstruktur während verschiedener Stufen des Herstellungsprozesses.
    • 7 ist ein Schaltbild eines Steuersystems zum Steuern des Betriebs einer ECP-Anlage, gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Kupfer und Kupferlegierungen sind bisher häufig zum Füllen von Submikro-Strukturelementen mit einem hohen Seitenverhältnis auf Halbleitersubstraten verwendet worden. Kupfer und Kupferlegierungen haben einen niedrigeren spezifischen Widerstand und eine höhere Beständigkeit gegen Elektromigration als andere Metalle, wie zum Beispiel Aluminium. Diese Eigenschaften sind entscheidend, um höhere Stromdichten und bessere Bauelementgeschwindigkeiten zu erzielen. Beim Herstellen von Verbindungsstrukturen mit Kupfer wird ein Damascene-Prozess (d. h., ein Single- oder ein Dual-Damascene-Prozess) durchgeführt, in dem zunächst eine dielektrische Schicht über einem Halbleitersubstrat abgeschieden wird. Dann wird die dielektrische Schicht strukturiert, um Kontaktöffnungen, wie etwa Gräben und/oder Durchkontaktierungen, darin zu erzeugen. Anschließend wird eine Sperrschicht über der dielektrischen Schicht abgeschieden, um eine Diffusion des später aufgebrachten Kupfers in die dielektrische Schicht zu verhindern, und dann erfolgt eine physikalische oder chemische Aufdampfung einer Seed-Schicht, um eine elektrische Leitfähigkeit für einen späteren Galvanisierprozess bereitzustellen. Die Kontaktöffnungen werden dann mit Kupfer oder Kupferlegierungen gefüllt, die dann zum Beispiel mit einem CMP-Prozess (CMP: chemisch-mechanische Polierung) planarisiert werden.
  • Die Abscheidung von Kupfer in einem Damascene-Prozess erfolgt durch einen ECP-Prozess (ECP: elektrochemische Galvanisierung). Während der elektrochemischen Galvanisierung wird das Halbleitersubstrat elektrisch so vorgespannt, dass es als eine Katode fungiert. Das Halbleitersubstrat mit der strukturierten dielektrischen Schicht wird in eine Galvanisierlösung getaucht, die Kupferionen enthält. Die Kupferionen werden an der Oberfläche der Seed-Schicht reduziert, sodass galvanisch aufgebrachtes Kupfer entsteht. Der ECP-Prozess dauert so lange an, bis die Kontaktöffnungen mit Kupfer gefüllt sind.
  • Außer anorganischen Bestandteilen in der Form von Kupfersalzen enthält die Galvanisierlösung auch organische Zusätze, wie etwa Suppressoren, Beschleuniger und Egalisierer, zum Erzielen der gewünschten Abscheidungseigenschaften. Eine der Hauptfunktionen der organischen Zusätze ist es, zu gewährleisten, dass in dem ECP-Prozess die Kontaktöffnungen von unten nach oben gefüllt werden, um die Entstehung von Defekten oder Hohlräumen zu verhindern, die die Bauelementleistung und -zuverlässigkeit mindern. Wenn sie in entsprechenden Konzentrationen verwendet werden, ermöglichen diese organischen Zusätze ein Hohlraum-freies Metallfüllen durch Beschleunigen der Kupferabscheidung auf den Unterseiten der Kontaktöffnungen und durch Unterdrücken der Kupferabscheidung auf den oberen Ecken der Kontaktöffnungen.
  • Schaltkreiskomponenten sind nicht gleichmäßig auf einem Halbleitersubstrat verteilt, und die Dichten der Metallleitungen in einer Metallisierungsschicht quer über das Halbleitersubstrat sind somit unterschiedlich. Zum Beispiel sind in einem Chipbereich, der eine relativ hohe Bauelementdichte hat, auf Grund der höheren Bauelementdichte, die eine größere Anzahl von elektrischen Verbindungen erfordert, Metallleitungen dichter angeordnet, während in einem anderen Chipbereich mit einer relativ niedrigen Bauelementdichte die Dichte der Metallleitungen ebenfalls niedrig ist, da eine niedrigere Bauelementdichte eine kleinere Anzahl von elektrischen Verbindungen erfordert. Metallleitungen in einem Bereich mit höherer Dichte haben eine höhere Leitungsende-Dichte, während Metallleitungen in einem Bereich mit niedrigerer Dichte eine niedrige Leitungsende-Dichte haben.
  • Die Leitungsende-Dichten beeinflussen das Spaltfüllungsverhalten von Metall während des ECP-Prozesses. Während des Galvanisierens ist die lokale Stromdichte in einem Bereich mit einer niedrigeren Leitungsende-Dichte höher als die lokale Stromdichte in einem Bereich mit einer höheren Leitungsende-Dichte, da der Gesamt-Galvanisierstrom zu dem Halbleitersubstrat feststehend ist. Die relativ niedrige Stromdichte in dem Bereich mit einer höheren Leitungsende-Dichte führt zu einer relativ niedrigen Aufwachsrate von unten nach oben. Wenn ein Metall wie Kupfer abgeschieden wird, sammelt sich das Kupfer tendenziell leichter an dem Eingang der Kontaktöffnungen in dem Bereich mit höherer Leitungsende-Dichte an, wenn die Aufwachsrate von unten nach oben nicht hoch genug ist. Durch die „Ansammlung“ oder den „Überhang“ wird der Weg für die Kupferabscheidung blockiert, was häufig zu einer Hohlraumbildung in den Kontaktöffnungen in dem Bereich mit höherer Leitungsende-Dichte führt. Hohlräume verursachen oft den Ausfall von Metallleitungen und verringern die Zuverlässigkeit der integrierten Schaltkreise.
  • Eine gute Metallfüllung (d. h., ohne Hohlräume) ist zu beobachten, wenn der Atomanteil von Kohlenstoff und Kupfer in dem galvanisierten Kupfer größer als 1 % ist. Eine Folge des ECP-Prozesses ist jedoch ein Zerfall der organischen Zusätze im Laufe der Zeit. Wenn die organischen Zusätze zerfallen, insbesondere wenn der Suppressor, der zum Unterdrücken der Galvanisiergeschwindigkeit an den Ecken der Kontaktöffnungen verwendet wird, zerfällt, sinkt der Galvanisierstrom, und die Kupfer-Galvanisiergeschwindigkeit an den Ecken der Kontaktöffnungen steigt. Wenn eine bestimmte Menge von organischen Zusätzen zerfallen ist, was zu einem Atomanteil von Kohlenstoff und Kupfer in dem galvanisierten Kupfer von weniger als 1 % führt, sodass der Galvanisierstrom auf einen bestimmten Wert sinkt, entsteht ein Überhang in einem Bereich, in dem die Kontaktöffnungen die höchste Leitungsende-Dichte haben. Bei einigen Ansätzen kann jedoch der Überhang des galvanisierten Kupfers an dem Eingang der Kontaktöffnungen nicht in Echtzeit aufgefangen werden. Die Hohlräume in dem galvanisierten Kupfer sind erst zu finden, nachdem ein Stapel von Halbleiterwafern mit dem ECP-Prozess bearbeitet worden ist. Dadurch wird die Produktionsausbeute beeinträchtigt.
  • Bei einigen Ausführungsformen wird eine ECP-Anlage bereitgestellt, die eine Überhangbildung für eine gegebene Metallisierungsschicht während der elektrochemischen Abscheidung von Metall in den Kontaktöffnungen verhindern kann. Die ECP-Anlage ist so konfiguriert, dass sie eine in situ-Überwachung des Galvanisierstroms, wenn die elektrochemische Abscheidung abläuft. Der Wert des Galvanisierstroms wird mit einem kritischen Galvanisierstromwert verglichen, unterhalb dem die Bildung von Hohlräumen in Metallleitungen beginnt, die die höchste Leitungsende-Dichte für eine konkrete Metallisierungsschicht haben. Wenn festgestellt wird, dass der Galvanisierstrom unter den kritischen Galvanisierstromwert sinkt, korrigiert die ECP-Anlage die Menge der organischen Zusätze in dem Galvanisierlösung so, dass der Galvanisierstrom steigt, bis er über dem kritischen Wert liegt. Dadurch werden Hohlraum-freie galvanisierte Metallleitungen mit unterschiedlichen Leitungsende-Dichten quer über das Substrat erhalten. Die ECP-Anlage der vorliegenden Erfindung trägt bei verschiedenen Ausführungsformen somit dazu bei, dass eine Entstehung von Hohlräumen in Verbindungsstrukturen vermieden wird und die Zuverlässigkeit von integrierten Schaltkreisen verbessert wird.
  • 1 ist eine Draufsicht einer ECP-Anlage 100 gemäß einigen Ausführungsformen.
  • In 1 weist die ECP-Anlage 100 eine Fabrik-Schnittstelle 110, eine Bearbeitungs-Hauptanlage 120, eine Temperkammer 150, ein Galvanisierlösungs-Zuführsystem 160 und ein Steuersystem 170 auf. Die Bearbeitungs-Hauptanlage 120 und die Temperkammer 150 stehen in Verbindung mit der Fabrik-Schnittstelle 110.
  • Die Fabrik-Schnittstelle 110 weist eine Mehrzahl von Substrat-Ladestationen 112 auf, die so konfiguriert sind, dass sie mit Substrat-enthaltenden Kassetten 113 verbunden sind und diese festhalten. In der Fabrik-Schnittstelle 110 ist ein Fabrik-Schnittstellen-Roboter 114 positioniert, der so konfiguriert ist, dass er ein Substrat ergreift und das Substrat in die und aus den Kassetten 113 auf den Substrat-Ladestationen 112 befördert. Der Fabrik-Schnittstellen-Roboter 114 reicht außerdem in einen Verbindungstunnel 118 hinein, der die Fabrik-Schnittstelle 110 mit der Bearbeitungs-Hauptanlage 120 verbindet. Die Position des Fabrik-Schnittstellen-Roboters 114 gestattet es dem Roboter, auf die Substrat-Ladestationen 112 zuzugreifen, um ein Substrat aus einer der Kassetten 113 auf den Substrat-Ladestationen 112 zu entnehmen und es dann der Bearbeitungs-Hauptanlage 120 zuzuführen. Außerdem ist der Fabrik-Schnittstellen-Roboter 114 so betreibbar, dass er ein Substrat in die oder aus der Temperkammer 150 befördert. Darüber hinaus wird der Fabrik-Schnittstellen-Roboter 114 so betrieben, dass er nach Beendigung einer ECP-Bearbeitungsfolge das Substrat zum Entfernen aus der ECP-Anlage 100 zu einer der Kassetten 113 zurückbringt, die auf den Substrat-Ladestationen 112 angeordnet sind.
  • Die Bearbeitungs-Hauptanlage 120 weist einen Hauptanlagen-Roboter 122 und eine Mehrzahl von Bearbeitungszellen 130 auf, die in der Bearbeitungs-Hauptanlage 120 angeordnet sind. Der Hauptanlagen-Roboter 122 weist ein oder mehrere Roboterblätter 124 auf, die so konfiguriert sind, dass sie die Substrate abstützen und befördern. Außerdem sind der Hauptanlagen-Roboter 122 und die Roboterblätter 124 so konfiguriert, dass sie sich selbstständig ausfahren, drehen, schwenken und vertikal bewegen, sodass der Hauptanlagen-Roboter 122 Substrate gleichzeitig in die / aus der Mehrzahl von Bearbeitungszellen 130 einsetzen und entfernen kann. Bei einigen Ausführungsformen ist der Hauptanlagen-Roboter 122 ein Wenderoboter, der den Transport eines Substrats von einer Position mit der Vorderseite nach oben auf dem Roboterblatt 124 des Hauptanlagen-Roboters 122 zu einer Position mit der Vorderseite nach unten für eine Bearbeitungszelle 130 erleichtert, die eine Bearbeitung des Substrats mit der Vorderseite nach unten erfordert.
  • Die Bearbeitungszellen 130 sind so konfiguriert, dass sie in einem ECP-Prozess zum Beispiel als ECP-Zellen, Spülzellen, Substrat-Abschrägungsreinigungszellen, Spin-Spül-Trockenzellen, Substrat-Oberflächenreinigungszellen und andere Zellen genutzt werden, die in Verbindung mit einer Galvanisierungsplattform verwendet werden. Bei einigen Ausführungsformen umfassen die Bearbeitungszellen 130 eine oder mehrere ECP-Zellen 132, eine oder mehrere Spin-Spül-Trockenzellen (SRD-Zellen) 134 und eine oder mehrere Substrat-Abschrägungsreinigungszellen 136. Bei der dargestellten Ausführungsform von 1 sind zwar zwei Bearbeitungszellen 130 als ECP-Zellen 132 konfiguriert, aber es dürfte wohlverstanden sein, dass die Anzahl von ECP-Zellen 132 nicht darauf beschränkt ist und jede Anzahl von ECP-Zellen 132 in Betracht gezogen wird. Die ECP-Zellen 132 werden später unter Bezugnahme auf 2 näher beschrieben.
  • Die ECP-Zellen 132 sind jeweils mit einer Überwachungsvorrichtung 140 verbunden. Jede Überwachungsvorrichtung 140 ist so eingerichtet, dass sie den Galvanisierstrom, der durch eine Galvanisierlösung zwischen Elektroden (z. B. zwischen einer Anode 201 und einem Substrat 202 in 2) in einer entsprechenden ECP-Zelle 132 fließt, in situ misst, wenn der ECP-Prozess abläuft. Der in situ gemessene Galvanisierstrom wird mit einem kritischen Galvanisierstrom verglichen, und wenn der Wert des gemessenen Galvanisierstroms niedriger als der kritische Galvanisierstromwert ist, wird die Konzentration der organischen Zusätze in der Galvanisierlösung (z. B. einer Galvanisierlösung 230 in 2) so korrigiert, dass der Galvanisierstrom steigt, wodurch die Metallabscheidungsgeschwindigkeit an dem Eingang der Kontaktöffnungen verringert wird und in den Kontaktöffnungen erhöht wird. Die Überwachungsvorrichtung 140 trägt somit dazu bei, Hohlraum-freies galvanisiertes Metall für Metallleitungen mit unterschiedlichen Leitungsende-Dichten für eine gegebene Metallisierungsschicht quer über ein Substrat zu erhalten. Die Überwachungsvorrichtungen 140 werden später unter Bezugnahme auf 2 näher beschrieben.
  • Die Temperkammer 150 ist so eingerichtet, dass sie die galvanisierte Metallschicht nach dem ECP-Prozess tempert. Das Tempern trägt dazu bei, die Korngröße zu erhöhen, Dotierungsstoffe abzugeben und den Widerstand der resultierenden Metallleitungen zu reduzieren. Das Tempern trägt außerdem dazu bei, die Mikrostruktur zu stabilisieren, um zu gewährleisten, dass die Entfernungsrate der später durchgeführten CMP reproduzierbar ist. Bei einigen Ausführungsformen ist die Temperkammer 150 eine zweistufige Temperkammer mit einer Kühlplatte 152 und einer Heizplatte 154, die benachbart zu der Kühlplatte 152 angeordnet ist. Zwischen der Kühlplatte 152 und der Heizplatte 154 ist ein Substrattransportroboter 156 angeordnet. Der Substrattransportroboter 156 ist so konfiguriert, dass er ein Substrat zwischen der Kühlplatte 152 und der Heizplatte 154 befördert.
  • Das Galvanisierlösungs-Zuführsystem 160 ist mit den einzelnen ECP-Zellen 132 verbunden und ist so konfiguriert, dass es während des ECP-Prozesses die Galvanisierlösung den ECP-Zellen 132 zuführt und sie in den ECP-Zellen 132 zirkulieren lässt. Außerdem stehen die SRD-Zellen 134 und die Substrat-Abschrägungsreinigungszellen 136 auch in Verbindung mit einem Flüssigkeitszuführsystem (nicht dargestellt), das so konfiguriert ist, dass es die benötigten Reinigungsflüssigkeiten den jeweiligen Zellen 134 und 136 während des ECP-Prozesses zuführt. Bei einigen Ausführungsformen kann das Flüssigkeitszuführsystem auch zum Zuführen der Galvanisierlösung zu den ECP-Zellen 132 verwendet werden.
  • Die Komponenten in der ECP-Anlage 100 stehen in Verbindung mit einem Steuersystem 170. Das Steuersystem 170 ist so konfiguriert, dass es von einem Nutzer und/oder von verschiedenen Überwachungsvorrichtungen in der ECP-Anlage 100 Eingangssignale empfängt und den Betrieb der ECP-Anlage 100 entsprechend den Eingangssignalen und/oder einem festgelegten Bearbeitungskonzept steuert.
  • 2 ist eine schematische Darstellung einer ECP-Zelle 132 und einer Überwachungsvorrichtung 140 in einer ECP-Anlage, z. B. der ECP-Anlage 100, gemäß einigen Ausführungsformen.
  • In 2 weist die ECP-Zelle 132 Folgendes auf: eine Anode 201; einen Substrathalter 203, der an einer drehbaren Spindel 205 befestigt ist; eine Stromquelle 250, die mit der Anode 201 und dem Substrathalter 203 verbunden ist; und ein Galvanisierbad 222. Die Stromquelle 250 ist mit dem Steuersystem 170 verbunden. Das Galvanisierbad 222 enthält eine Galvanisierlösung 230, und in der Galvanisierlösung 230 ist die Anode 201 angeordnet. Bei einigen Ausführungsformen weist die Anode 201 eine Quelle für ein Metall (z. B. Kupfer) auf, das auf das Substrat 202 galvanisiert werden soll.
  • Während eines elektrochemischen Galvanisierungszyklus wird das Substrat 202 in dem Substrathalter 203 befestigt, der dann in dem Galvanisierbad 222 platziert wird. Wie durch Pfeile 212 und 213 angegeben ist, wird die Galvanisierlösung 230 mit einer Pumpe 240 kontinuierlich für das Galvanisierbad 222 bereitgestellt. In der Regel fließt die Galvanisierlösung 230 nach oben zu der Mitte des Substrats 202 und dann radial nach außen und quer über das Substrat 202. Die Galvanisierlösung 230 fließt dann von dem Galvanisierbad 222 zu einem Überlaufbehälter 224, wie durch Pfeile 214 und 216 angegeben ist. Anschließend wird die Galvanisierlösung 230 gefiltert (nicht dargestellt) und zu der Pumpe 240 zurückgeführt, wie durch einen Pfeil 218 angegeben ist, und die Rezirkulation ist beendet. Die Stromquelle 250, wie etwa eine Gleichstromquelle, hat eine negative Ausgangsleitung, die über den Substrathalter 203 mit dem Substrat 202 elektrisch verbunden ist. Die positive Ausgangsleitung der Stromquelle 250 ist mit der in dem Galvanisierbad 222 befindlichen Anode 201 elektrisch verbunden. Während des ECP-Prozesses spannt die Stromquelle 250 das Substrat 202 vor, um ein negatives Potential in Bezug zu der Anode 201 bereitzustellen, sodass ein elektrischer Strom von der Anode 201 zu dem Substrat 202 erzeugt wird. Der elektrische Strom fließt in die gleiche Richtung wie der nettopositive Ionenfluss und entgegen dem Netto-Elektronenfluss. Dies bewirkt eine elektrochemische Reaktion (z. B. Cu2+ + 2e = Cu) auf dem Substrat 202, was zu einer Abscheidung von Metall (z. B. Kupfer) darauf führt. Die Ionenkonzentration der Galvanisierlösung 230 wird während des Galvanisierungszyklus z. B. durch Auflösung der Anode 201 (z. B. Cu = Cu2+ + 2e) wiederhergestellt.
  • Die Galvanisierlösung 230 weist ein Metallsalz auf, das Ionen des Metalls enthält, das auf das Substrat 202 galvanisiert werden soll. Wenn das Metall, das auf das Substrat 202 galvanisiert werden soll, Kupfer ist, wird die Anode 201 aus Kupfer hergestellt, und die Galvanisierlösung 230 weist ein Gemisch aus Kupfersalz, Säure, Wasser und verschiedenen organischen und anorganischen Zusätzen auf, die die Eigenschaften des abgeschiedenen Kupfers verbessern. Beispiele für Kupfersalze in der Galvanisierlösung 230 sind unter anderem Kupfersulfat, Kupfercyanid, Kupfersulfamat, Kupferchlorid, Kupferfluorid, Kupfernitrat, Kupferoxid, Kupferfluorborat, Kupfertrifluoracetat, Kupferpyrophosphat und Kupfermethansulfonat sowie Hydrate der vorgenannten Verbindungen. Die Konzentration des Kupfersalzes, das in der Galvanisierlösung 230 verwendet wird, ändert sich in Abhängigkeit von dem verwendeten speziellen Kupfersalz. Beispiele für Säuren, die in der Galvanisierlösung verwendet werden, sind unter anderem Schwefelsäure, Methansulfonsäure, Fluoroborsäure, Chlorwasserstoffsäure, Iodwasserstoffsäure, Salpetersäure und Phosphorsäure. Die Konzentration der Säure, die in der Galvanisierlösung 230 verwendet wird, hängt von der speziellen verwendeten Säure ab.
  • Die Galvanisierlösung 230 weist weiterhin organische Zusätze auf, die die Galvanisierungseigenschaften des Metalls verbessern. Die organischen Zusätze tragen dazu bei, die Hohlraumbildung dadurch zu verhindern, dass sie die Geschwindigkeit der elektrochemischen Abscheidung in überstehenden Bereichen in der Oberfläche des Substrats 202 niedrig halten und/oder die Geschwindigkeit der elektrochemischen Abscheidung in ausgesparten Bereichen in der Oberfläche des Substrats 202 erhöhen. Organische Zusätze, die in einer Kupfer-Galvanisierlösung verwendet werden, sind Suppressoren, Beschleuniger und Egalisierer. Suppressoren sind makromolekulare Abscheidungsinhibitoren, die sich tendenziell adsorptiv über der Oberfläche des Substrats 202 anlagern und lokale Abscheidungsgeschwindigkeiten verringern, sodass die Abscheidungsgleichmäßigkeit erhöht wird. Beispiele für Suppressoren sind unter anderem Polyether, wie etwa Polyethylenglycol, und andere Polymere, wie etwa Polypropylenoxid. Beschleuniger sind organische Moleküle, die tendenziell dem Unterdrückungseffekt der Suppressoren entgegenwirken und eine beschleunigte Abscheidung in Substrat-Aussparungen ermöglichen. Beispiele für Beschleuniger sind unter anderem organische Sulfidverbindungen, wie etwa Bis(natriumsulfopropyl)-disulfid, Natriumsalz der 3-Mercapto-i-propan-sulfonsäure, Natriumsalz der N-Dimethyl-dithiocarbamyl-propylsulfonsäure und 3-S-Isothiuroniumpropylsulfonat. Egalisierer haben meistens Bestandteile mit funktionellen Stickstoffgruppen und werden in einigen Fällen mit einer relativ niedrigen Konzentration zu der Galvanisierlösung 230 gegeben. Die Egalisierung umfasst die Diffusion oder Migration von stark Stromunterdrückenden Spezies zu Ecken oder Rändern von Kontaktöffnungen, die andernfalls durch elektrische Feld- und Lösungsstoffübergangseffekte schneller als gewünscht galvanisiert werden. Beispiele für Egalisierer sind unter anderem oberflächenaktive Polyether-Stoffe, oberflächenaktive Stoffe auf Polyethylenglycol-Basis, Polyacrylsäure, Polyamine, Polyacrylamide, Phenazin-Azo-Farbstoffe, oberflächenaktive Stoffe auf Alkoxyamin-Basis und polymere Pyridinderivate.
  • Während des ECP-Prozesses reagieren diese organischen Zusätze jedoch mit der Anode. Durch die Reaktion werden die organischen Zusätze zersetzt, sodass sie unwirksam werden. Durch die Aufzehrung in dem Galvanisierprozess wird die Konzentration der organischen Zusätze in der Galvanisierlösung verringert. Der Galvanisierstrom, der zwischen der Anode 201 und dem Substrat 202 fließt, nimmt mit der Zeit allmählich ab. Wenn der Galvanisierstrom auf einen Wert sinkt, der niedriger als ein kritischer Galvanisierstromwert ist, entstehen ein Überhang und daraus resultierende Hohlräume in dem galvanisierten Kupfer in Kontaktöffnungen, die durch den Anstieg der Kupfer-Galvanisiergeschwindigkeit an den Ecken der Kontaktöffnungen die höchste Leitungsende-Dichte haben. Um die Herstellung einer Hohlraum-freien galvanisierten Metallfüllung quer über das Substrat 202 zu unterstützen, wird der Galvanisierstrom, der durch das Substrat 202 fließt, in situ mit der Überwachungsvorrichtung 140 gemessen, wenn der ECP-Prozess abläuft, um zu ermitteln, wann ein Überhang an den Ecken der Kontaktöffnungen mit der höchsten Leitungsende-Dichte entsteht, und um die Menge von organischen Zusätzen in der Galvanisierlösung einzustellen, bevor Hohlräume entstehen. Zum Beispiel wird bei einigen Ausführungsformen die Menge von organischen Zusätzen so eingestellt, dass der Atomanteil von Kohlenstoff und Kupfer in dem galvanisierten Kupfer höher als 1 % ist.
  • Die Überwachungsvorrichtung 140 ist außerhalb der ECP-Zelle 132 angeordnet. Bei einigen Ausführungsformen ist die Überwachungsvorrichtung 140 ein Strommesser. Die Überwachungsvorrichtung 140 weist eine Sonde 242 auf, die so eingerichtet ist, dass sie teilweise in die Galvanisierlösung 230 eingetaucht wird. Die Sonde 242 ist in Kontakt mit der Galvanisierlösung 230, um den Strom, der durch die Galvanisierlösung zwischen der Anode 201 und dem Substrat 202 fließt, in situ zu messen. Bei einigen Ausführungsformen wird die Sonde 242 aus einem Metall hergestellt, das auf das Substrat 202 galvanisiert werden soll, oder aus einem Metall, das über einem Edelmetall galvanisiert werden soll. Beispiele für Edelmetalle sind unter anderem Platin, Gold, Palladium, Iridium und Ruthenium. Für den Fall, dass das Metall, das auf das Substrat 202 galvanisiert werden soll, Kupfer ist, umfasst die Sonde 242 Drähte, die aus Kupfer oder mit Kupfer galvanisiertem Edelmetall hergestellt sind. Es ist zu beachten, dass in 2 die Sonde 242 zwar in dem Galvanisierbad 222 platziert ist, aber auch eine Platzierung der Sonde 242 in dem Überlaufbehälter 224 in Betracht gezogen wird.
  • Die ECP-Zelle 132 und die Überwachungsvorrichtung 140 stehen in Verbindung mit dem Steuersystem 170. Das Steuersystem 170 ist so konfiguriert, dass es Steuersignale an die Stromquelle 250 oder andere steuerbare Komponenten in der ECP-Zelle 132 ausgibt, um die lokal begrenzte Stärke des elektrischen Felds einzustellen, sodass eine Kontrolle der Galvanisierprozesse erreicht wird. Das Steuersystem 170 ist außerdem so konfiguriert, dass es Informationen von der Überwachungsvorrichtung 140 empfängt. Bei einigen Ausführungsformen empfängt das Steuersystem 170 Informationen zu dem Galvanisierstrom von der Überwachungsvorrichtung 140. Das Steuersystem 170 ist so konfiguriert, dass es auf Grund der von der Überwachungsvorrichtung 140 empfangenen Informationen zu dem Galvanisierstrom den in situ gemessenen Galvanisierstrom mit einem kritischen Galvanisierstrom vergleicht, unterhalb dem Hohlräume in galvanisierten Metallleitungen mit der höchsten Leitungsende-Dichte für eine gegebene Metallisierungsschicht entstehen. Bei einigen Ausführungsformen ist das Steuersystem 170 so konfiguriert, dass es die Zusammensetzung der Galvanisierlösung 230, d. h., die Konzentration von organischen Zusätzen, wie etwa Suppressoren, Beschleunigern und Egalisierern, in der Galvanisierlösung 230 korrigiert, wenn der Wert des Galvanisierstroms auf einen Wert sinkt, der niedriger als der kritische Galvanisierstromwert ist.
  • 3 ist ein Ablaufdiagramm eines Verfahrens 300 unter Verwendung einer ECP-Anlage 100, gemäß einigen Ausführungsformen. Bei einigen Ausführungsformen werden weitere Schritte vor, während und/oder nach dem Verfahren 300 durchgeführt, oder einige der beschriebenen Schritte werden ersetzt und/oder weggelassen. Ein Durchschnittsfachmann dürfte erkennen, dass einige Ausführungsformen zwar mit Schritten erörtert werden, die in einer bestimmten Reihenfolge durchgeführt werden, aber diese Schritte in einer anderen logischen Reihenfolge durchgeführt werden können.
  • In 3 umfasst das Verfahren 300 einen Schritt 302, in dem Layoutdaten eines integrierten Schaltkreises empfangen werden. Die Layoutdaten werden in einem nichtbeschränkenden Beispiel im GDSII-Format bereitgestellt, aber es können auch andere Formate von grafischen Entwurfsdaten für integrierte Schaltkreise verwendet werden. Die Layoutdaten umfassen Layoutdaten für Metallisierungsschichten in dem integrierten Schaltkreis.
  • In einem Schritt 304 des Verfahrens 300 von 3 werden auf Grund der Layoutdaten Leitungsende-Dichten von Metallleitungen in einer gegebenen Metallisierungsschicht berechnet. Wenn die zu bewertende Metallisierungsschicht zum Beispiel die erste Metallisierungsschicht ist, die einem Substrat am nächsten ist, werden die Layoutdaten, die den Metallleitungen für die erste Metallisierungsschicht entsprechen, extrahiert. Um die Leitungsende-Dichten zu berechnen, wird die gesamte Substratfläche in eine Mehrzahl von Gittereinheiten unterteilt, und die Leitungsende-Dichten für die Metallleitungen in jeder Gittereinheit für die gegebene Metallisierungsschicht werden berechnet. Für jede Gittereinheit über dem Substrat wird die Leitungsende-Dichte (LeD) als ein Verhältnis zwischen dem Flächeninhalt der Metallleitungen und der Fläche der Gittereinheit berechnet.
  • In einem Schritt 306 des Verfahrens 300 von 3 wird die Metallleitung in einem Gittereinheitsbereich mit der höchsten Leitungsende-Dichte für die gegebene Metallisierungsschicht identifiziert.
  • In einem Schritt 308 des Verfahrens 300 von 3 wird der kritische Galvanisierstromwert in dem ECP-Prozess ermittelt, unterhalb dem Hohlräume in Metallleitungen entstehen, die die höchste Leitungsende-Dichte für eine gegebene Metallisierungsschicht haben. Bei einigen Ausführungsformen wird der kritische Galvanisierstrom, der einer Leitungsende-Dichte entspricht, anhand eines empirisch erhaltenen Linearmodells (4) ermittelt. Beim Ermitteln der kritischen Galvanisierströme, die unterschiedlichen Leitungsende-Dichten entsprechen, werden verschiedene Kontaktöffnungen mit bekannten Leitungsende-Dichte-Werten ausgewählt. Für jede der ausgewählten Leitungsende-Dichten werden unterschiedliche Ströme angelegt, um eine Abscheidung eines Metalls in den Kontaktöffnungen zu bewirken. Nach der Abscheidung werden die resultierenden Metallleitungen mit einem Waferkontrollsystem kontrolliert, um Defekte, wie etwa Hohlräume, in den Metallleitungen zu detektieren. Bei einigen Ausführungsformen werden die Metallleitungen mittels Rasterelektronenmikroskopie (SEM), Transmissions-Elektronenmikroskopie (TEM) oder Elektronenrückstreubeugung (EBSD) abgebildet, um Hohlräume darin zu detektieren. Bei einer gegebenen Leitungsende-Dichte wird ein Galvanisierstrom, unterhalb dem die Hohlräume aufzutreten beginnen, als der kritische Galvanisierstrom für die gegebene Leitungsende-Dichte festgelegt. Dadurch wird eine Korrelation zwischen den kritischen Galvanisierströmen und den Leitungsende-Dichten hergestellt.
  • 4 ist ein Diagramm, das eine Beziehung zwischen dem kritischen Galvanisierstrom (A) in eine ECP-Zelle (z. B. der ECP-Zelle 132 von 2) und der Leitungsende-Dichte (LeD) für eine gegebene Metallisierungsschicht auf einem Wafer zeigt, gemäß einigen Ausführungsformen der vorliegenden Erfindung. Wie in 4 gezeigt ist, steigt der kritische Galvanisierstrom direkt proportional zu der Leitungsende-Dichte (LeD).
  • In einem Schritt 310 des Verfahrens 300 von 3 wird ein ECP-Prozess in einer ECP-Anlage, z. B. der ECP-Anlage 100 (1 und 2), durchgeführt. Ein Substrat (z. B. das Substrat 202, das galvanisiert werden soll, wird an einem Substrathalter, z. B. dem Substrathalter 203, befestigt, und die Galvanisieroberfläche des Substrats 202 wird in Kontakt mit einer Galvanisierlösung, z. B. der Galvanisierlösung 230, gebracht. Während des Kontakts mit der Galvanisierlösung wird eine elektrische Vorspannung an eine Seed-Schicht angelegt, die auf der Galvanisieroberfläche des Substrats 202 abgeschieden ist. Die Seed-Schicht weist normalerweise ein Metall auf, das das Gleiche wie das zu galvanisierende Metall ist. Die elektrische Vorspannung ist normalerweise eine Vorspannung, die so konzipiert ist, dass sie die Substratoberfläche / die metallische Seed-Schicht mit einer katodischen Ladung vorspannt, was dazu führt, dass die Metallionen in der Galvanisierlösung 230 aus dieser herausgedrängt werden und auf der katodisch geladenen Substratoberfläche / metallischen Seed-Schicht galvanisiert werden.
  • In einem Schritt 312 des Verfahrens 300 von 3 wird der Galvanisierstrom, der durch die Galvanisierlösung zwischen der Anode 201 und dem Substrat 202 fließt, in situ überwacht. Bei einigen Ausführungsformen wird der Galvanisierstrom mit der Überwachungsvorrichtung 140 überwacht.
  • In einem Schritt 314 des Verfahrens 300 von 3 wird der Wert des Galvanisierstroms mit dem Wert des kritischen Galvanisierstroms verglichen. Wenn der Wert des Galvanisierstroms über dem Wert des kritischen Galvanisierstroms liegt, wird der ECP-Prozess fortgesetzt. Wenn hingegen der Wert des Galvanisierstroms niedriger als der Wert des kritischen Galvanisierstroms ist, geht das Verfahren 300 zu einem Schritt 316 weiter, in dem die Konzentration der organischen Zusätze, wie etwa von Suppressoren, Beschleunigern und Egalisierern, in der Galvanisierlösung 230 zum Beispiel von dem Steuersystem 170 so eingestellt wird, dass der Galvanisierstrom, der zwischen der Anode 201 und dem Substrat 202 fließt, steigt, sodass die Metallabscheidungsgeschwindigkeit an den Ecken der Kontaktöffnungen, die den Metallleitungen mit der höchsten Leitungsende-Dichte entsprechen, verringert wird. Dadurch kann eine Hohlraum-freie Metallfüllung quer über das gesamte Substrat 202 erzielt werden. Die ECP-Anlage 100 der vorliegenden Erfindung trägt somit dazu bei, die Zuverlässigkeit von integrierten Schaltkreisen zu verbessern und die Produktionsausbeute zu erhöhen.
  • 5 ist ein Ablaufdiagramm eines Verfahrens 500 zum Herstellen einer Halbleiterstruktur 600, gemäß einigen Ausführungsformen. Die 6A bis 6D sind Schnittansichten der Halbleiterstruktur 600 auf verschiedenen Stufen des Verfahrens 500, gemäß einigen Ausführungsformen. Das Verfahren 500 wird nachstehend anhand der Halbleiterstruktur in den 6A bis 6D näher erörtert. Bei einigen Ausführungsformen werden weitere Schritte vor, während und/oder nach dem Verfahren 500 durchgeführt, oder einige der beschriebenen Schritte werden ersetzt und/oder weggelassen. Bei einigen Ausführungsformen werden weitere Strukturelemente für die Halbleiterstruktur 600 verwendet. Bei einigen Ausführungsformen werden einige der nachstehend beschriebenen Strukturelemente ersetzt oder weggelassen. Ein Durchschnittsfachmann dürfte erkennen, dass einige Ausführungsformen zwar mit Schritten erörtert werden, die in einer bestimmten Reihenfolge durchgeführt werden, aber diese Schritte in einer anderen logischen Reihenfolge durchgeführt werden können.
  • In den 5 und 6A umfasst das Verfahren 500 einen Schritt 502, in dem eine dielektrische Schicht 610 über einem Substrat 602 so geätzt wird, dass eine Mehrzahl von Kontaktöffnungen 612 und 614 entsteht. 6A ist eine Schnittansicht einer Halbleiterstruktur 600 nach dem Ätzen der dielektrischen Schicht 610 über dem Substrat 602 zum Erzeugen der Mehrzahl von Kontaktöffnungen 612 und 614.
  • Zunächst wird das Substrat 602 bereitgestellt. Bei einigen Ausführungsformen ist das Substrat 602 ein massives Halbleitersubstrat, das Silizium aufweist. Alternativ oder zusätzlich weist das massive Halbleitersubstrat bei einigen Ausführungsformen Folgendes auf: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Bei einigen Ausführungsformen weist das Substrat 602 eine Epitaxialschicht auf. Zum Beispiel weist das Substrat 602 eine Epitaxialschicht über einem massiven Halbleitersubstrat auf. Darüber hinaus ist bei einigen Ausführungsformen das Substrat 602 ein Halbleiter-auf-Isolator(SOI)-Substrat. Das Substrat 602 weist zum Beispiel eine BOX-Schicht (BOX: vergrabenes Oxid) auf, die mit einem Verfahren wie Trennung durch Implantieren von Sauerstoff (SIMOX) oder mit anderen geeigneten Verfahren wie Waferbonden oder Schleifen hergestellt wird.
  • Bei einigen Ausführungsformen weist das Substrat 602 weiterhin aktive Bauelemente auf, wie etwa p-Feldeffekttransistoren (PFETs), n-Feldeffekttransistoren (NFETs), MOS-Transistoren (MOS: Metalloxidhalbleiter), CMOS-Transistoren (CMOS: komplementärer Metalloxidhalbleiter), Bipolartransistoren, Hochspannungstransistoren und/oder Hochfrequenztransistoren. Bei einigen Ausführungsformen sind die Transistoren planare Transistoren oder dreidimensionale Finnen-Transistoren. Bei einigen Ausführungsformen weist das Substrat 602 weiterhin passive Bauelemente, wie etwa Widerstände, Kondensatoren und/oder Induktoren, auf. Außerdem weist das Substrat 602 Isolationsstrukturen, wie etwa STI-Strukturen (STI: flache Grabenisolation), auf, um verschiedene aktive und/oder passive Bauelemente voneinander zu trennen. Der Einfachheit halber sind alle diese Schaltkreiselemente in 6A nicht dargestellt.
  • Über dem Substrat 602 wird die dielektrische Schicht 610 abgeschieden. Wie in 6A gezeigt ist, wird bei einigen Ausführungsformen die dielektrische Schicht 610 direkt über und in Kontakt mit dem Substrat 602 abgeschieden. Bei einigen Ausführungsformen werden eine oder mehrere dielektrische Schichten, die Kontakt-/Verbindungsstrukturen enthalten, zwischen der dielektrischen Schicht 610 und dem Substrat 602 abgeschieden.
  • Bei einigen Ausführungsformen weist die dielektrische Schicht 610 Siliziumoxid auf. Bei einigen Ausführungsformen weist die dielektrische Schicht 610 ein dielektrisches Low-k-Material mit einer Dielektrizitätskonstante (k-Wert) auf, die kleiner als 4 ist. Bei einigen Ausführungsformen hat das dielektrische Low-k-Material eine Dielektrizitätskonstante, die etwa 1,2 bis etwa 3,5 beträgt. Bei einigen Ausführungsformen weist die dielektrische Schicht 610 Folgendes auf: TEOS-Oxid (TEOS: Tetraethylorthosilicat), undotiertes Silicatglas oder dotiertes Silicatglas, wie etwa Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), Phosphorsilicatglas (PSG) oder Borsilicatglas (BSG), und/oder andere geeignete dielektrische Materialien. Bei einigen Ausführungsformen wird die dielektrische Schicht 610 durch chemische Aufdampfung (CVD), plasmaunterstützte chemische Aufdampfung (PECVD), physikalische Aufdampfung (PVD) oder Schleuderbeschichtung abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 610 mit einem Planarisierungsprozess planarisiert oder in anderer Weise ausgespart, um eine planare Oberseite bereitzustellen. Bei einigen Ausführungsformen wird die Oberseite der dielektrischen Schicht 610 mit einem CMP-Prozess planarisiert.
  • Anschließend wird die dielektrische Schicht 610 geätzt, um die Mehrzahl von Kontaktöffnungen 612 und 614 darin zu erzeugen. Bei einigen Ausführungsformen sind die Kontaktöffnungen 612 und 614 Gräben oder Kombinationen aus einem Graben und einer Durchkontaktierung. In einem ersten Bereich 602A des Substrats 602 wird eine Mehrzahl von ersten Kontaktöffnungen 612 erzeugt, und in einem zweiten Bereich 602B des Substrats 602 wird eine Mehrzahl von zweiten Kontaktöffnungen 614 erzeugt. Auf Grund einer Differenz in der Packungsdichte der Schaltkreiselemente in dem Substrat 602 werden die ersten Kontaktöffnungen 612 in dem ersten Bereich 602A des Substrats 602 so erzeugt, dass sie eine höhere Dichte mit einer höheren Leitungsende-Dichte zum Beispiel auf Grund einer erforderlichen höheren Anzahl von elektrischen Verbindungen in dem ersten Bereich 602A haben, während die zweiten Kontaktöffnungen 614 in dem zweiten Bereich 602B so erzeugt werden, dass sie eine niedrigere Dichte mit einer niedrigeren Leitungsende-Dichte zum Beispiel auf Grund einer erforderlichen niedrigeren Anzahl von elektrischen Verbindungen in dem zweiten Bereich 602B haben.
  • Die dielektrische Schicht 610 wird mit lithografischen und Ätzprozessen geätzt. Bei einigen Ausführungsformen umfasst der lithografische Prozess die folgenden Schritte: Aufbringen einer Fotoresistschicht (nicht dargestellt) über der dielektrischen Schicht 610; Belichten der Fotoresistschicht mit einer Struktur; Durchführen einer Härtung nach der Belichtung; und Entwickeln des Resists, um eine strukturierte Fotoresistschicht (nicht dargestellt) herzustellen. Die strukturierte Fotoresistschicht legt Teile der dielektrischen Schicht 610 frei, in denen die Kontaktöffnungen 612 und 614 erzeugt werden sollen. Dann werden die Teile der dielektrischen Schicht 610, die von der strukturierten Fotoresistschicht freigelegt worden sind, geätzt, um die Kontaktöffnungen 612 und 614 zu erzeugen. Bei einigen Ausführungsformen wird die dielektrische Schicht 610 durch eine Trockenätzung, zum Beispiel eine reaktive Ionenätzung (RIE) oder eine Plasmaätzung, geätzt. Bei einigen Ausführungsformen wird die dielektrische Schicht 610 mit einer Nassätzung geätzt. Nach der Erzeugung der Kontaktöffnungen 612 und 614 in der dielektrischen Schicht 610 wird das strukturierte Fotoresistschicht zum Beispiel durch Nass-Stripping oder Plasma-Ablösung entfernt. Alternativ wird bei einigen Ausführungsformen eine Hartmaske verwendet, sodass die Kontaktöffnungsstruktur mit einer ersten Ätzung von der strukturierten Fotoresistschicht auf die Hartmaske übertragen wird und dann mit einer zweiten Ätzung auf die dielektrische Schicht 610 übertragen wird.
  • In den 5 und 6B geht das Verfahren 500 mit einem Schritt 504 weiter, in dem eine Sperrschicht 620 entlang Seitenwänden und Unterseiten der Kontaktöffnungen 612 und 614 und über einer Oberseite der dielektrischen Schicht 610 abgeschieden wird und dann eine Seed-Schicht 630 über der Sperrschicht 620 abgeschieden wird. 6B zeigt eine Schnittansicht der Halbleiterstruktur von 6A nach dem Abscheiden der Sperrschicht 620 entlang Seitenwänden und Unterseiten der Kontaktöffnungen 612 und 614 und über der Oberseite der dielektrischen Schicht 610 und nach dem Abscheiden der Seed-Schicht 630 über der Sperrschicht 620.
  • Die Sperrschicht 620 wird über den freigelegten Oberflächen der Kontaktöffnungen 612 und 614 und der dielektrischen Schicht 610 abgeschieden. Die Sperrschicht 620 ist so eingerichtet, dass sie ein Eindiffundieren des in die Kontaktöffnungen 612 und 614 gefüllten Metalls in die dielektrische Schicht 610 verhindert. Die Sperrschicht 620 wirkt außerdem so, dass sie die Haftung des Metalls an der dielektrischen Schicht 610 verbessert. Bei einigen Ausführungsformen weist die Sperrschicht 620 Folgendes auf: ein feuerfestes Metall, wie etwa Titan (Ti), Tantal (Ta) oder Ruthenium Ru); ein Metallnitrid, wie etwa Titannidrid (TiN) oder Tantalnitrid (TaN); oder eine Zweifachschicht aus beiden, wie etwa Ti/TiN oder Ta/TaN. Bei einigen Ausführungsformen wird die Sperrschicht 620 mit einem konformen Abscheidungsverfahren, wie etwa CVD, PECVD, PVD oder Atomlagenabscheidung (ALD), abgeschieden.
  • Dann wird die Seed-Schicht 630 über der Sperrschicht 620 abgeschieden. Bei einigen Ausführungsformen weist die Seed-Schicht 630 das gleiche Metall auf, das für die Metallisierungsfüllung verwendet wird, um das Abscheiden und Bonden des Füllmetalls auf/an die Sperrschicht zu erleichtern. Bei einigen Ausführungsformen weist die Seed-Schicht 630 Kupfer für die Kupfer-Metallisierung auf. Bei einigen Ausführungsformen wird die Seed-Schicht 630 mit einem konformen Abscheidungsverfahren, wie etwa PVD oder ALD, abgeschieden.
  • In den 5 und 6C geht das Verfahren 500 zu einem Schritt 506 weiter, in dem ein ECP-Prozess durchgeführt wird, um eine Metallschicht 640 herzustellen, die die Kontaktöffnungen 612 und 614 füllt. 6C ist eine Schnittansicht der Halbleiterstruktur 600 von 6B nach der Durchführung des ECP-Prozesses zum Herstellen der Metallschicht 640, die die Kontaktöffnungen 612 und 614 füllt.
  • Bei einigen Ausführungsformen wird die ECP-Anlage 100 (1 und 2) zum elektrochemischen Galvanisieren des Substrats 602 verwendet, um die Metallschicht 640 herzustellen. Während des ECP-Prozesses wird der Galvanisierstrom, der zwischen der Anode 201 und dem Substrat 202 fließt, die in die Galvanisierlösung getaucht sind, mit der Überwachungsvorrichtung 140 (1 und 2) überwacht, und die Konzentration der organischen Zusätze in der Galvanisierlösung 230 wird so eingestellt, dass gewährleistet ist, dass in dem ECP-Prozess eine im Wesentlichen Hohlraum-freie Metallschicht 640 in den ersten Kontaktöffnungen 612 und den zweiten Kontaktöffnungen 614 entsteht. Bei einigen Ausführungsformen weist die Metallschicht 640 Kupfer auf. Wenn die Metallschicht 640 und die Seed-Schicht 630 aus dem gleichen Metall bestehen, ist die Grenze zwischen der Metallschicht 640 und der Seed-Schicht 630 nicht erkennbar. Es dürfte klar sein, dass zwar Kupfer als ein Beispiel beim Beschreiben der verschiedenen Ausführungsformen genannt wird, aber der hier beschriebene Galvanisierprozess auch mit anderen Metallen genutzt werden kann, unter anderem Gold (Au), Silber (Ag), Nickel (Ni), Eisen (Fe), Palladium (Pd) und Legierungen davon.
  • In den 5 und 6D geht das Verfahren 500 zu einem Schritt 508 weiter, in dem Verbindungsstrukturen 650 in den Kontaktöffnungen 612 und 614 hergestellt werden. 6D ist eine Schnittansicht der Halbleiterstruktur 600 von 6C nach dem Herstellen der Verbindungsstrukturen 650 in den Kontaktöffnungen 612 und 614.
  • Die Verbindungsstrukturen 650 weisen jeweils Folgendes auf: einen Sperrschichtteil 620P, der die Seitenwände und die Unterseite der entsprechenden Kontaktöffnungen 612 und 614 belegt; einen Seed-Schichtteil 630P über dem Sperrschichtteil 620P; und einen Metallschichtteil 640P über dem Seed-Schichtteil 630P. Die Verbindungsstrukturen 650 werden dadurch hergestellt, dass Teile der Metallschicht 640, der Seed-Schicht 630 und der Sperrschicht 620, die sich über der Oberseite der dielektrischen Schicht 610 befinden, entfernt werden. Bei einigen Ausführungsformen wird ein Planarisierungsprozess, wie etwa eine CMP, zum Entfernen der Teile der Metallschicht 640, der Seed-Schicht 630 und der Sperrschicht 620 von der Oberseite der dielektrischen Schicht 610 durchgeführt. Nach der Planarisierung bilden Teile der Sperrschicht 620, die in den Kontaktöffnungen 612 und 614 verblieben sind, die Sperrschichtteile 620P; Teile der Seed-Schicht 630, die in den Kontaktöffnungen 612 und 614 verblieben sind, bilden die Seed-Schichtteile 630P; und Teile der Metallschicht 640, die in den Kontaktöffnungen 612 und 614 verblieben sind, bilden die Metallschichtteile 640P. Die Sperrschichtteile 620P, die Seed-Schichtteile 630P und die Metallschichtteile 640P haben Oberflächen, die koplanar mit der Oberfläche der dielektrischen Schicht 610 sind.
  • 7 ist ein Schaltbild des Steuersystems 170 zum Steuern des Betriebs der ECP-Anlage 100, gemäß einigen Ausführungsformen. Das Steuersystem 170 erzeugt bei einigen Ausführungsformen Ausgangssteuersignale zum Steuern des Betriebs einer oder mehrerer Komponenten der ECP-Anlage 100. Das Steuersystem 170 empfängt bei einigen Ausführungsformen Eingangssignale von einer oder mehreren Komponenten der ECP-Anlage 100. Das Steuersystem 170 vergleicht außerdem den Wert des Galvanisierstroms, der zwischen der Anode 201 und dem Substrat 202 fließt, die in die Galvanisierlösung 230 getaucht sind, mit dem Wert eines kritischen Galvanisierstroms, unterhalb dem Hohlräume in Metallleitungen mit der höchsten Leitungsende-Dichte für eine gegebene Metallisierungsschicht entstehen, und korrigiert die Zusammensetzung der Galvanisierlösung 230, wenn der Wert des Galvanisierstroms unter den Wert des kritischen Galvanisierstroms sinkt. Bei einigen Ausführungsformen ist das Steuersystem 170 benachbart zu der ECP-Anlage 100 angeordnet. Bei einigen Ausführungsformen ist das Steuersystem 170 von der ECP-Anlage 100 entfernt angeordnet.
  • Das Steuersystem 170 weist einen Prozessor 702, eine Eingabe-/AusgabeVorrichtung (E/A-Vorrichtung) 704, einen Speicher 706 und eine Netzwerk-Schnittstelle 708 auf, die jeweils über einen Bus 710 oder einen anderen Verbindungskommunikations-Mechanismus kommunikativ miteinander verbunden sind.
  • Der Prozessor 702 ist so eingerichtet, dass er einen oder mehrere Sätze von Befehlen 712, die in dem Speicher 706 gespeichert sind, ausführt und/oder interpretiert. Bei einigen Ausführungsformen ist der Prozessor 702 ein Hauptprozessor (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine andere geeignete Verarbeitungseinheit.
  • Die E/A-Schnittstelle 704 ist mit einer externen Schaltungsanordnung verbunden. Bei einigen Ausführungsformen umfasst die E/A-Schnittstelle 704 eine Tastatur, ein Keypad, eine Maus, einen Trackball, ein Touchpad und/oder Cursor-Richtungstasten zum Übermitteln von Informationen und Befehlen an den Prozessor 702.
  • Der Speicher 706 (der auch als ein maschinenlesbares Medium bezeichnet wird) umfasst einen Direktzugriffsspeicher oder eine andere dynamische Speichervorrichtung, die kommunikativ mit dem Bus 710 verbunden ist, um Daten und/oder Befehle zur Abarbeitung/Ausführung durch den Prozessor 702 zu speichern. Bei einigen Ausführungsformen wird der Speicher 706 zum Speichern von temporären Variablen oder anderen Zwischeninformationen während der Ausführung von Befehlen durch den Prozessor 702 verwendet. Bei einigen Ausführungsformen weist der Speicher 706 außerdem einen Festspeicher oder eine andere statische Speichervorrichtung, die mit dem Bus 710 verbunden ist, zum Speichern von statischen Informationen und Befehlen für den Prozessor 702 auf. Bei einigen Ausführungsformen ist der Speicher 706 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder ein Halbleitersystem (oder -vorrichtung oder -bauelement). Der Speicher 706 umfasst zum Beispiel einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine Wechselplatte, einen Direktzugriffsspeicher (RAM), einen Festspeicher (ROM), eine starre Magnetplatte und/oder eine optische Platte. Bei einigen Ausführungsformen, in denen optische Platten verwendet werden, ist der Speicher 706 eine Compact Disc Read-Only Memory (CD-ROM), eine Compact Disc Read/Write (CD-R/W) und/oder eine digitale Videoplatte (DVD).
  • Der Speicher 706 wird mit einem Computerprogrammcode, d. h., einem Satz von ausführbaren Befehlen 712, zum Steuern einer oder mehrerer Komponenten der ECP-Anlage 100 codiert (d. h., er speichert den Computerprogrammcode) und veranlasst das Steuersystem 170, den ECP-Prozess durchzuführen. Bei einigen Ausführungsformen speichert der Speicher 706 außerdem Informationen, die zum Durchführen des ECP-Prozesses benötigt werden, sowie Informationen, die während der Durchführung des ECP-Prozesses erzeugt werden. Bei einigen Ausführungsformen speichert der Speicher 706 die kritischen Galvanisierströme, die den Leitungsende-Dichten von Metallleitungen entsprechen, die von dem in 4 gezeigten Linearmodell abgeleitet werden können, sowie die Galvanisierströme, die während der Durchführung des ECP-Prozesses in situ gemessen werden.
  • Die Netzwerk-Schnittstelle 708 umfasst einen Mechanismus zum Verbinden mit einem Netzwerk 709, mit dem ein oder mehrere andere Computersysteme verbunden sind. Bei einigen Ausführungsformen umfasst die Netzwerk-Schnittstelle 708 einen drahtgebundenen und/oder einen drahtlosen Verbindungsmechanismus. Die Netzwerk-Schnittstelle 708 umfasst drahtlose Netzwerk-Schnittstellen, wie etwa BLUETOOTH, WIFI, WIMAX, GPRS oder WCD-MA; oder drahtgebundene Netzwerk-Schnittstellen, wie etwa ETHERNET, USB oder IEEE-1394. Bei einigen Ausführungsformen wird das Steuersystem 170 über die Netzwerk-Schnittstelle 708 mit einer oder mehreren Komponenten der ECP-Anlage 100 verbunden. Bei einigen Ausführungsformen wird das Steuersystem 170 statt über die Netzwerk-Schnittstelle 708 direkt mit einer oder mehreren Komponenten der ECP-Anlage 100 verbunden, z. B. mit den Komponenten, die mit dem Bus 710 verbunden sind.
  • Ein Aspekt der vorliegenden Beschreibung betrifft ein Verfahren zum Durchführen eines elektrochemischen Galvanisierprozesses (ECP-Prozesses). Das Verfahren umfasst ein In-Kontakt-Bringen einer Oberfläche eines Substrats mit einer Galvanisierlösung, die Ionen eines abzuscheidenden Metalls aufweist. Das Verfahren umfasst weiterhin ein Galvanisieren des Metalls auf der Oberfläche des Substrats. Das Verfahren umfasst weiterhin ein In-situ-Überwachen eines Galvanisierstroms, der durch die Galvanisierlösung zwischen einer Anode und dem Substrat fließt, die in die Galvanisierlösung getaucht sind, wenn der ECP-Prozess abläuft. Das Verfahren umfasst weiterhin ein Korrigieren einer Zusammensetzung der Galvanisierlösung in Reaktion darauf, dass der Galvanisierstrom unter einen kritischen Galvanisierstrom sinkt, unterhalb dem Hohlräume in einer Teilmenge von leitfähigen Leitungen mit einer höchsten Leitungsende-Dichte in einer Mehrzahl von leitfähigen Leitungen für eine Metallisierungsschicht über dem Substrat entstehen. Bei einigen Ausführungsformen umfasst das Korrigieren der Zusammensetzung der Galvanisierlösung ein Korrigieren einer Menge mindestens eines organischen Zusatzes in der Galvanisierlösung. Bei einigen Ausführungsformen umfasst das Korrigieren der Zusammensetzung der Galvanisierlösung ein Korrigieren einer Menge mindestens eines Suppressors oder mindestens eines Beschleunigers in der Galvanisierlösung. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Empfangen von Layoutdaten eines integrierten Schaltkreises, der auf dem Substrat hergestellt werden soll. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Berechnen von Leitungsende-Dichten der Mehrzahl von leitfähigen Leitungen in einer Mehrzahl von Gittereinheitsbereichen in dem Substrat auf Grund der Layoutdaten. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Identifizieren der Teilmenge von leitfähigen Leitungen mit der höchsten Leitungsende-Dichte in einem Gittereinheitsbereich der Mehrzahl von Gittereinheitsbereichen. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Ermitteln des kritischen Galvanisierstroms unter Verwendung eines Linearmodells, das kritische Galvanisierströme mit entsprechenden Leitungsende-Dichten korreliert.
  • Ein weiterer Aspekt der vorliegenden Beschreibung betrifft ein Verfahren zum Herstellen einer Halbleiterstruktur. Das Verfahren umfasst ein Herstellen einer Mehrzahl von Kontaktöffnungen in einer dielektrischen Schicht über einem Substrat. Die Mehrzahl von Kontaktöffnungen umfasst eine Mehrzahl von ersten Kontaktöffnungen in einem ersten Bereich des Substrats und eine Mehrzahl von zweiten Kontaktöffnungen in einem zweiten Bereich des Substrats. Die Mehrzahl von ersten Kontaktöffnungen hat eine höchste Leitungsende-Dichte in der Mehrzahl von Kontaktöffnungen. Das Verfahren umfasst weiterhin ein Abscheiden einer Sperrschicht entlang Seitenwänden und Unterseiten der Mehrzahl von Kontaktöffnungen und über der dielektrischen Schicht. Das Verfahren umfasst weiterhin ein Abscheiden einer Seed-Schicht über der Sperrschicht. Das Verfahren umfasst weiterhin ein Durchführen eines elektrochemischen Galvanisierprozesses (ECP-Prozesses), um die Mehrzahl von Kontaktöffnungen mit einer leitfähigen Schicht zu füllen. Das Durchführen des ECP-Prozesses umfasst ein In-situ-Überwachen eines Galvanisierstroms, der durch eine Galvanisierlösung zwischen einer Anode und dem Substrat fließt, die in die Galvanisierlösung getaucht sind, wenn der ECP-Prozess abläuft; und ein Korrigieren einer Zusammensetzung der Galvanisierlösung in Reaktion darauf, dass der Galvanisierstrom unter einen kritischen Galvanisierstrom sinkt, sodass Hohlräume in der Mehrzahl von ersten Kontaktöffnungen entstehen. Bei einigen Ausführungsformen umfasst das Erzeugen der Mehrzahl von Kontaktöffnungen in der dielektrischen Schicht ein Ätzen der dielektrischen Schicht mit einer anisotropen Ätzung. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Entfernen von Teilen der leitfähigen Schicht, der Seed-Schicht und der Sperrschicht von einer Oberseite der dielektrischen Schicht. Bei einigen Ausführungsformen umfasst das Durchführen des ECP-Prozesses weiterhin ein Anlegen einer Vorspannung zwischen dem Substrat und einer Anode, die in der Galvanisierlösung angeordnet sind. Bei einigen Ausführungsformen umfasst das Durchführen des ECP-Prozesses weiterhin ein Vergleichen des in situ gemessenen Galvanisierstroms mit dem kritischen Galvanisierstrom, wenn der ECP-Prozess abläuft. Bei einigen Ausführungsformen umfasst das Abscheiden der Sperrschicht ein Abscheiden von Titan (Ti), Tantal (Ta), Ruthenium Ru), Titannidrid (TiN), Tantalnitrid (TaN), Ti/TiN oder Ta/TaN. Bei einigen Ausführungsformen umfasst das Abscheiden der Seed-Schicht ein Abscheiden von Kupfer.
  • Ein noch weiterer Aspekt der vorliegenden Beschreibung betrifft eine ECP-Anlage (ECP: elektrochemische Galvanisierung). Die ECP-Anlage weist Folgendes auf: eine ECP-Zelle mit einer Galvanisierlösung für einen ECP-Prozess; eine Überwachungsvorrichtung, die so konfiguriert ist, dass sie einen Galvanisierstrom, der durch die Galvanisierlösung zwischen einer Anode und einem zu galvanisierenden Substrat fließt, in situ misst, wenn der ECP-Prozess abläuft; ein Galvanisierlösungs-Zuführsystem, das mit der ECP-Zelle fluidgekoppelt ist und so konfiguriert ist, dass es der ECP-Zelle die Galvanisierlösung zuführt; und ein Steuersystem, das funktionsfähig mit der ECP-Zelle, der Überwachungsvorrichtung und dem Galvanisierlösungs-Zuführsystem verbunden ist. Das Steuersystem ist so konfiguriert, dass es den Galvanisierstrom mit einem kritischen Galvanisierstrom vergleicht und eine Zusammensetzung der Galvanisierlösung in Reaktion darauf korrigiert, dass der Galvanisierstrom unter den kritischen Galvanisierstrom sinkt. Bei einigen Ausführungsformen umfasst die Überwachungsvorrichtung eine Sonde, die so eingerichtet ist, dass sie teilweise in die Galvanisierlösung getaucht wird. Bei einigen Ausführungsformen weist die Galvanisierlösung ein Metallsalz und organische Zusätze auf. Das Steuersystem ist so konfiguriert, dass es eine Menge mindestens eines der organischen Zusätze in der Galvanisierlösung einstellt. Bei einigen Ausführungsformen weist die ECP-Anlage weiterhin eine oder mehrere Spin-Spül-Trockenzellen und eine oder mehrere Substrat-Abschrägungsreinigungszellen auf. Bei einigen Ausführungsformen weist die ECP-Anlage weiterhin eine Fabrik-Schnittstelle mit einer Mehrzahl von Substrat-Ladestationen auf. Bei einigen Ausführungsformen weist die ECP-Anlage weiterhin eine Temperkammer auf.

Claims (20)

  1. Verfahren zum Durchführen eines elektrochemischen Galvanisierungsprozesses, im Folgenden als ECP- Prozess bezeichnet, mit den folgenden Schritten: In-Kontakt-Bringen einer Oberfläche eines Substrats (202, 602) mit einer Galvanisierlösung (230), die Ionen eines abzuscheidenden Metalls aufweist; Galvanisieren des Metalls auf der Oberfläche des Substrats (202, 602); In-situ-Überwachen eines Galvanisierstroms, der durch die Galvanisierlösung (230) zwischen einer Anode (201) und dem Substrat (202, 602) fließt, die in die Galvanisierlösung (230) getaucht sind, wenn der ECP-Prozess abläuft; und Korrigieren einer Zusammensetzung der Galvanisierlösung (230) in Reaktion darauf, dass der Galvanisierstrom unter einem kritischen Galvanisierstrom liegt, unterhalb dem Hohlräume in einer Teilmenge von leitfähigen Leitungen mit einer höchsten Leitungsende-Dichte von einer Mehrzahl von leitfähigen Leitungen für eine Metallisierungsschicht über dem Substrat (202, 602) entstehen.
  2. Verfahren nach Anspruch 1, wobei das Korrigieren der Zusammensetzung der Galvanisierlösung (230) ein Korrigieren einer Menge mindestens eines organischen Zusatzes in der Galvanisierlösung (230) umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Korrigieren der Zusammensetzung der Galvanisierlösung (230) ein Korrigieren einer Menge mindestens eines Suppressors oder mindestens eines Beschleunigers in der Galvanisierlösung (230) umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin ein Empfangen von Layoutdaten eines integrierten Schaltkreises umfasst, der auf dem Substrat (202, 602) hergestellt werden soll.
  5. Verfahren nach Anspruch 4, das weiterhin ein Berechnen von Leitungsende-Dichten der Mehrzahl von leitfähigen Leitungen in einer Mehrzahl von Gittereinheitsbereichen in dem Substrat (202, 602) auf Grund der Layoutdaten umfasst.
  6. Verfahren nach Anspruch 5, das weiterhin ein Identifizieren der Teilmenge von leitfähigen Leitungen mit der höchsten Leitungsende-Dichte in einem Gittereinheitsbereich der Mehrzahl von Gittereinheitsbereichen umfasst.
  7. Verfahren nach Anspruch 5 oder 6, das weiterhin ein Bestimmen des kritischen Galvanisierstroms unter Verwendung eines Linearmodells umfasst, das kritische Galvanisierströme mit entsprechenden Leitungsende-Dichten korreliert.
  8. Verfahren zum Herstellen einer Halbleiterstruktur (600) mit den folgenden Schritten: Erzeugen einer Mehrzahl von Kontaktöffnungen in einer dielektrischen Schicht (610) über einem Substrat (202, 602), wobei die Mehrzahl von Kontaktöffnungen eine Mehrzahl von ersten Kontaktöffnungen in einem ersten Bereich des Substrats (202, 602) und eine Mehrzahl von zweiten Kontaktöffnungen in einem zweiten Bereich des Substrats (202, 602) umfasst, wobei die Mehrzahl von ersten Kontaktöffnungen eine höchste Leitungsende-Dichte in der Mehrzahl von Kontaktöffnungen hat; Abscheiden einer Sperrschicht (620) entlang Seitenwänden und Unterseiten der Mehrzahl von Kontaktöffnungen und über der dielektrischen Schicht (610); Abscheiden einer Seed-Schicht (630) über der Sperrschicht (620); und Durchführen eines elektrochemischen Galvanisierungsprozesses, im Folgenden als ECP - Prozess bezeichnet, um die Mehrzahl von Kontaktöffnungen mit einer leitfähigen Schicht zu füllen, wobei das Durchführen des ECP-Prozesses Folgendes umfasst: In-situ-Überwachen eines Galvanisierstroms, der durch eine Galvanisierlösung (230) zwischen einer Anode (201) und dem Substrat (202, 602) fließt, die in die Galvanisierlösung (230) getaucht sind, wenn der ECP-Prozess abläuft, und Korrigieren einer Zusammensetzung der Galvanisierlösung (230) in Reaktion darauf, dass der Galvanisierstrom unter einem kritischen Galvanisierstrom liegt, sodass Hohlräume in der Mehrzahl von ersten Kontaktöffnungen entstehen.
  9. Verfahren nach Anspruch 8, wobei das Erzeugen der Mehrzahl von Kontaktöffnungen in der dielektrischen Schicht (610) ein Ätzen der dielektrischen Schicht (610) mit einer anisotropen Ätzung umfasst.
  10. Verfahren nach Anspruch 8 oder 9, das weiterhin ein Entfernen von Teilen der leitfähigen Schicht, der Seed-Schicht (630) und der Sperrschicht (620) von einer Oberseite der dielektrischen Schicht (610) umfasst.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei das Durchführen des ECP-Prozesses weiterhin ein Anlegen einer Vorspannung zwischen der Anode (201) und dem Substrat (202, 602) umfasst.
  12. Verfahren nach einem der Ansprüche 8 bis 11, wobei das Durchführen des ECP-Prozesses weiterhin ein Vergleichen des in situ gemessenen Galvanisierstroms mit dem kritischen Galvanisierstrom umfasst.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei das Abscheiden der Sperrschicht (620) ein Abscheiden von Titan (Ti), Tantal (Ta), Ruthenium Ru), Titannitrid (TiN), Tantalnitrid (TaN), Ti/TiN oder Ta/TaN umfasst.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei das Abscheiden der Seed-Schicht (630) ein Abscheiden von Kupfer umfasst.
  15. ECP - Anlage, wobei ECP einen elektrochemischen Galvanisierungsprozess bezeichnet, mit einer ECP-Zelle (132) mit einer Galvanisierlösung (230) für einen ECP-Prozess; einer Überwachungsvorrichtung (140), die so konfiguriert ist, dass sie einen Galvanisierstrom, der durch die Galvanisierlösung (230) zwischen einer Anode (201) und einem zu galvanisierenden Substrat (202, 602) fließt, in situ misst, wenn der ECP-Prozess abläuft; einem Galvanisierlösungs-Zuführsystem (160), das mit der ECP-Zelle (132) fluidgekoppelt ist und so konfiguriert ist, dass es der ECP-Zelle (132) die Galvanisierlösung (230) zuführt; und einem Steuersystem (170), das funktionsfähig mit der ECP-Zelle (132), der Überwachungsvorrichtung (140) und dem Galvanisierlösungs-Zuführsystem (160) verbunden ist, wobei das Steuersystem (170) so konfiguriert ist, dass es: den Galvanisierstrom mit einem kritischen Galvanisierstrom vergleicht, und eine Zusammensetzung der Galvanisierlösung (230) in Reaktion darauf korrigiert, dass der Galvanisierstrom unter dem kritischen Galvanisierstrom liegt.
  16. ECP-Anlage nach Anspruch 15, wobei die Überwachungsvorrichtung (140) eine Sonde (242) umfasst, die so eingerichtet ist, dass sie teilweise in die Galvanisierlösung (230) getaucht wird.
  17. ECP-Anlage nach Anspruch 15 oder 16, wobei die Galvanisierlösung (230) ein Metallsalz und organische Zusätze aufweist, wobei das Steuersystem (170) so konfiguriert ist, dass es eine Menge mindestens eines der organischen Zusätze in der Galvanisierlösung (230) einstellt.
  18. ECP-Anlage nach einem der Ansprüche 15 bis 17, die weiterhin eine oder mehrere Spin-Spül-Trockenzellen und eine oder mehrere Substrat-Abschrägungsreinigungszellen aufweist.
  19. ECP-Anlage nach einem der Ansprüche 15 bis 18, die weiterhin eine Fabrik-Schnittstelle (110) mit einer Mehrzahl von Substrat-Ladestationen aufweist.
  20. ECP-Anlage nach einem der Ansprüche 15 bis 19, die weiterhin eine Temperkammer (150) aufweist.
DE102019132610.9A 2018-11-30 2019-12-02 Verfahren zum durchführen eines ecp-prozesses, verfahren zum herstellen einer halbleiterstruktur und ecp-anlage Active DE102019132610B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862774100P 2018-11-30 2018-11-30
US62/774,100 2018-11-30
US16/698,528 US11015260B2 (en) 2018-11-30 2019-11-27 Method for controlling electrochemical deposition to avoid defects in interconnect structures
US16/698,528 2019-11-27

Publications (2)

Publication Number Publication Date
DE102019132610A1 DE102019132610A1 (de) 2020-06-04
DE102019132610B4 true DE102019132610B4 (de) 2023-07-06

Family

ID=70680988

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019132610.9A Active DE102019132610B4 (de) 2018-11-30 2019-12-02 Verfahren zum durchführen eines ecp-prozesses, verfahren zum herstellen einer halbleiterstruktur und ecp-anlage

Country Status (1)

Country Link
DE (1) DE102019132610B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150159289A1 (en) 2010-05-19 2015-06-11 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
US20160222535A1 (en) 2015-02-03 2016-08-04 Lam Research Corporation Geometry and process optimization for ultra-high rpm plating
US20160333492A1 (en) 2015-05-13 2016-11-17 Applied Materials, Inc. Methods for increasing the rate of electrochemical deposition
US20180080140A1 (en) 2016-09-19 2018-03-22 Lam Research Corporation Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150159289A1 (en) 2010-05-19 2015-06-11 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
US20160222535A1 (en) 2015-02-03 2016-08-04 Lam Research Corporation Geometry and process optimization for ultra-high rpm plating
US20160333492A1 (en) 2015-05-13 2016-11-17 Applied Materials, Inc. Methods for increasing the rate of electrochemical deposition
US20180080140A1 (en) 2016-09-19 2018-03-22 Lam Research Corporation Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step

Also Published As

Publication number Publication date
DE102019132610A1 (de) 2020-06-04

Similar Documents

Publication Publication Date Title
DE69836313T2 (de) Verfahren zum selektiven Füllen von Gräben mit leitendem Metall
US7674706B2 (en) System for modifying small structures using localized charge transfer mechanism to remove or deposit material
US20130140681A1 (en) Superfilled metal contact vias for semiconductor devices
DE102015219012B4 (de) Verfahren zur Herstellung einer Halbleiterstruktur, die eine Schicht aus einem ersten Metall zwischen einer Diffusionsbarrierenschicht und einem zweiten Metall umfasst
US11603602B2 (en) Method for controlling electrochemical deposition to avoid defects in interconnect structures
DE102016116411B4 (de) Hochohmige virtuelle Anode für eine Galvanisierungszelle
DE10232612B4 (de) Vorrichtung und Verfahren zur Überwachung eines elektrolytischen Prozesses
DE102008026133B4 (de) Verfahren zum Verringern der Metallunregelmäßigkeiten in komplexen Metallisierungssystemen von Halbleiterbauelementen
DE102019132610B4 (de) Verfahren zum durchführen eines ecp-prozesses, verfahren zum herstellen einer halbleiterstruktur und ecp-anlage
DE10319135B4 (de) Verfahren zum Elektroplattieren von Kupfer über einer strukturierten dielektrischen Schicht, um die Prozess-Gleichförmigkeit eines nachfolgenden CMP-Prozesses zu verbessern
Im et al. Nucleation and growth of cu electrodeposited directly on w diffusion barrier in neutral electrolyte
DE102019130451B4 (de) Elektrochemisches Plattierungssystem und Verwendungsverfahren
US7229916B2 (en) Method of manufacturing a semiconductor device
US7125803B2 (en) Reverse tone mask method for post-CMP elimination of copper overburden
Stöckgen et al. Integration challenges for copper damascene electroplating
JP4226994B2 (ja) 微細回路配線の形成方法並びにこれに用いるめっき液およびめっき装置
CN113502522A (zh) 铜互连层的形成方法及包含铜互连层的半导体器件
DE10033934A1 (de) Verfahren zum galvanischen Bilden von Leiterstrukturen aus hochreinem Kupfer bei der Herstellung von integrierten Schaltungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final