TWI706441B - 氧化矽薄膜的選擇性側向生長 - Google Patents

氧化矽薄膜的選擇性側向生長 Download PDF

Info

Publication number
TWI706441B
TWI706441B TW108130980A TW108130980A TWI706441B TW I706441 B TWI706441 B TW I706441B TW 108130980 A TW108130980 A TW 108130980A TW 108130980 A TW108130980 A TW 108130980A TW I706441 B TWI706441 B TW I706441B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
catalyst
exposed
silanol
Prior art date
Application number
TW108130980A
Other languages
English (en)
Other versions
TW202004849A (zh
Inventor
陳一宏
勁文 陳
蕭納克 穆克吉
愛柏亥吉巴蘇 馬里克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202004849A publication Critical patent/TW202004849A/zh
Application granted granted Critical
Publication of TWI706441B publication Critical patent/TWI706441B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在此揭示的實施例大致上關於形成氧化矽膜的方法。此方法可包括在具有末端羥基的基材的表面上執行矽烷化。接著使用電漿與H2 O浸泡再生成基材的表面上的羥基,以為了執行額外的矽烷化。進一步的方法包括使用路易斯酸來催化該些暴露表面、直接地使該暴露第一和第二表面非活化、及在側壁表面上沉積一含矽層。多個電漿處理可被執行以沉積一具有期望厚度的層。

Description

氧化矽薄膜的選擇性側向生長
在此揭示的實施例大致上關於半導體製造,並且更詳細地說是關於選擇性形成氧化矽膜的方法。
由於半導體工業引進具有更高效能和更多功能之新世代的積體電路(IC’s),形成那些IC’s的元件的密度被增加。隨著增加的元件密度,個別部件或元件之間的大小、尺寸及間隔被減小。來自圖案化製程之增加的解析度是減小IC上的特徵結構的尺寸與間隔的一種機制。
增加的解析度可藉由改變圖案的本質解析度來達成。一圖案的本質解析度是一圖案可轉移之最細微的空間細節。本質解析度是多個因子的函數,該些因子諸如所使用的輻射的波長與一圖案中的特徵結構的尺寸。圖案加乘製程(諸如自對準雙圖案法(self-aligned double patterning, SADP)和自對準四圖案法(self-aligned quadruple patterning, SAQP))可用以增加一圖案化製程的最終解析度,而不會改變曝光工具的本質解析度。因此,這些製程可減少每層的微影曝光的數量,這減少了元件製造的顯著成本。
目前圖案加乘通常是藉由一些沉積與蝕刻步驟的組合來達成。這樣的方式是非常不符合經濟效益的,並且會造成顯著的整合複雜性。又,由於特徵結構尺寸變得更小。
所以,存在著控制積體電路中特徵結構尺寸的新式方法的需求。
在此描述的實施例大致上關於選擇性沉積氧化矽層的方法,諸如選擇性沉積在介電質表面上而避免沉積在金屬表面上。此方法大致上包括在具有暴露羥基的表面上執行矽烷化。當具有OH的表面被暴露於矽烷化試劑時,該試劑與OH反應以形成一共價聯結到-O-Si(CH3 )3 基團。基材的表面上的羥基接著使用一含氮電漿與一H2 O浸泡而被再生成,以為了將-O-Si(CH3 )3 基團轉變成Si-OH基團且執行額外的矽烷化。多個矽烷化、電漿處理及浸泡可被執行,以沉積一具有期望厚度的層。
在一實施例中,一種沉積一氧化矽膜的方法可包括以下步驟:定位一基材在一處理腔室中,該基材具有:一第一層;及一第二層,該第二層設置在該第一層上方,該第二層具有一暴露第二表面與形成在該第二層中的一或更多個特徵結構,該些特徵結構產生一或更多個側壁表面與一暴露第一表面;以一觸媒來處理該基材,該觸媒包括一路易斯酸,該觸媒在該暴露第一表面、該一或更多個側壁表面及該暴露第二表面上形成末端反應性基團;輸送一觸媒去活化劑到該基材,該觸媒去活化劑被一電漿活化,該基材被偏壓以致該觸媒去活化劑被該暴露第一表面與該暴露第二表面接收,該些末端反應性基團被維持在該一或更多個側壁表面上;及輸送一矽醇到該基材,該矽醇將一含矽層沉積在該一或更多個側壁表面上。
在另一實施例中,一種沉積一氧化矽膜的方法可包括以下步驟:定位一基材在一處理腔室中,該基材包括:一介電質區域,該介電質區域具有一暴露介電質表面與被形成在該介電質區域中的一或更多個特徵結構,該些特徵結構具有側壁,該暴露介電質表面與該些側壁具有末端羥基;及一金屬區域,該金屬區域具有一實質上不含氧的暴露表面;及執行一或更多個矽烷化循環,以將一含矽與碳層選擇性沉積在該些側壁與該暴露介電質表面上,各個矽烷化循環包括以下步驟:在該基材的該表面上執行矽烷化,該矽烷化係沉積一含矽與碳層;暴露該基材於一含氮電漿,該含氮電漿在該含矽與碳層中以一氮取代至少一個碳;及暴露該基材於一含水氣體以在該基材的該表面上形成羥基。
在另一實施例中,一種沉積一氧化矽膜的方法可包括以下步驟:定位一基材在一處理腔室中,該基材具有:一金屬層,該金屬層包括銅;及一介電質層,該介電質層設置在該金屬層上方,該介電質層具有一暴露介電質表面與被形成在該介電質層中的一或更多個特徵結構,該些特徵結構產生一或更多個側壁表面與一暴露金屬表面;以一觸媒來處理該基材,該觸媒包括四甲基鋁,該觸媒在該暴露金屬表面、該一或更多個側壁表面及該暴露介電質表面上形成一末端CH3 基團;形成一電容式耦合電漿,該電容式耦合電漿包括一觸媒去活化劑;輸送該電容式耦合電漿到該基材,該基材被偏壓以致該觸媒去活化劑被該暴露金屬表面與該暴露介電質表面接收,該些末端CH3 基團被維持在該一或更多個側壁表面上;及輸送一矽醇到該基材,該矽醇沉積一氧化矽層在該一或更多個側壁表面上。
在此所述的實施例大致上關於形成碳摻雜氧化物膜的方法。該些方法大致上包括使用電漿在基材的表面上產生羥基,並且接著在基材的表面上執行矽烷化。基材的表面上的羥基接著使用電漿再被產生,以為了執行額外的矽烷化。可執行多次電漿處理與矽烷化,以沉積具有期望厚度的一層。
第1圖是根據一實施例的一處理腔室100的剖視圖。處理腔室100具有一腔室主體102與一耦接到腔室主體102的腔室蓋104,以界定一內部150。一基材支撐件106設置在腔室100的內部150中。基材支撐件106的一上表面166與腔室蓋104的一下表面168界定一處理區域108,其中設置在基材支撐件106的上表面166上的一基材接觸區域176中的基材被暴露於一處理環境。
基材透過腔室主體102中的一基材通道或開口110進入與離開處理腔室100。在第1圖的剖視圖中,基材通道或開口110位在剖視平面後面,而位在腔室100的「背後」。基材支撐件106可沿著腔室100的縱軸移動,以交替地定位基材支撐件106在一基材操縱位置(此時基材支撐件106的上表面166靠近開口110)與一基材處理位置(此時基材支撐件106的上表面166靠近腔室蓋104的下表面168)。在第1圖的視圖中,基材支撐件106被顯示位在基材處理位置。當基材支撐件106位在基材處理位置時,基材支撐件106的上表面166與腔室蓋104的下表面168之間的距離是約2 mm至約8 mm。基材支撐件106的一桿172典型地延伸通過腔室主體102的下壁170中的一開口120且耦接到一舉升機構(未示出)以促使基材支撐件106的移動。
一基材升降件112設置成通過基材支撐件106。基材升降件112具有一基底114,基底114接觸設置在腔室100的內部150的下區域中的一致動器116。致動器116被一支撐構件118從下壁170所支撐。致動器116可以是一環狀構件(諸如一環),並且支撐構件118可以是來自致動器116的一環狀突出部。致動器116、支撐構件118、或兩者可交替地被區片化(segment)。例如,其中一者或兩者可以是一區片化的環狀構件,或者致動器116可以是被定位以嚙合基材升降件112的基底114的一墊、柱或桿。
支撐構件118維持致動器116實質上平行於基材支撐件106的上表面166。當基材支撐件106從處理位置被移動到基材操縱位置時,基材升降件112的基底114會接觸致動器116,造成基材升降件112突出通過基材支撐件106的上表面且舉升設置在該上表面上的基材於該上表面之上,以被一基材操縱機械手臂(未示出)接取通過開口110。第1圖的視圖中僅可看到兩個基材升降件112,但典型的實施例將具有被分佈的三或更多個基材升降件112以提供用於基材操縱之穩定的定位。
腔室蓋104可以是一電極,並且可耦接到一RF功率源174。若腔室蓋104是一電極,腔室蓋104典型地包括一導電材料。腔室蓋104可整體地或實質上由一導電材料製成,或可被塗覆一導電材料到任何方便程度。若腔室蓋104被用作為一電極,腔室蓋104的下表面168將會導電而提供RF耦合到靠近基材支撐件106的上表面166的處理區域108內。在一實施例中,腔室蓋104是鋁。處理腔室100適於在其中產生一電漿(諸如一電容式耦合電漿)。
一氣體歧管124在埠194耦接到腔室蓋104。製程氣體透過一氣體管線128被輸送到腔室。複數個高速閥126A-C控制氣體通過氣體管線128到腔室100內的流量。該些高速閥可以是ALD閥,並且在一些實施例中能夠開啟或關閉小於1秒且在一些情況中小於0.25秒。一前驅物管線130耦接到該些高速閥126A-C的一者。其他高速閥可用以接合其他前驅物管線(第1圖未示出)以輸送氣體通過氣體管線128。該些高速閥的運作致使所需要用於腔室運作(諸如ALD沉積循環)之快速的氣體流的開關。
腔室蓋104具有一氣體入口122,氣體入口122位在腔室蓋104的周邊區域中且和埠194及氣體歧管124流體連通。氣體入口122可位在基材支撐件106的基材接觸區域176的外面。一邊緣環136設置成圍繞基材支撐件106的周邊區域。邊緣環136可以是一具有內部尺寸和外部尺寸的環狀構件。邊緣環136的內部尺寸可以和基材接觸區域176的尺寸實質上相同,以致設置在基材支撐件上的基材落置在邊緣環136內,如第1圖所示。邊緣環136的內部尺寸亦可大於基材接觸區域176的尺寸。邊緣環136的內部尺寸亦可小於基材接觸區域176,以致邊緣環136的一部分延伸在基材的邊緣上方。當基材支撐件106位在處理位置時,第1圖的邊緣環136落置在基材支撐件106上。因此,當基材支撐件106位在處理位置時,基材支撐件106亦支撐邊緣環136。
一泵送容室132位在腔室主體102的側壁178中而靠近基材支撐件106的處理位置。泵送容室132是一圍繞處理區域108的環狀通道,其中處理氣體從處理區域108被抽空到泵送容室132。一襯裡134將泵送容室132和處理區域108分隔。襯裡134具有一開口180,開口180容許氣體從處理區域108流動到泵送容室132內。當基材支撐件106位在處理位置時,開口180典型地位在基材支撐件106的上表面166下方。
第2圖是根據一實施例用以沉積碳摻雜氧化物膜的方法200的流程圖。方法200包括:在步驟202,定位一基材在一處理腔室中,基材具有一第一層與一設置在第一層上方的第二層,第二層具有一暴露的第二表面及被形成在第二層中的一或更多個特徵結構,該些特徵結構產生一或更多個側壁表面與一暴露的第一表面;在步驟204,以一觸媒來處理該基材,該觸媒包含一路易斯酸,該觸媒在該暴露的第一表面、一或更多個側壁表面及該暴露的第二表面上形成末端反應性基團;在步驟206,輸送一觸媒去活化劑到該基材,該觸媒去活化劑被一電漿活化,該基材被偏壓以致該觸媒去活化劑被該暴露的第一表面與該暴露的第二表面接收,該些末端反應性基團被維持在該一或更多個側壁表面上;及在步驟208,輸送一矽醇到該基材,該矽醇沉積一含矽層在該一或更多個側壁表面上。第3A-3D圖繪示根據一實施例在氧化矽膜的形成期間的基材。為了促進在此揭示的實施例的解釋,將結合第2圖及第3A-3D圖來解釋。
方法200開始於步驟202,其是藉由定位一基材在一處理腔室中,基材具有一第一層與一設置在第一層上方的第二層,第二層具有一暴露的第二表面及被形成在第二層中的一或更多個特徵結構,該些特徵結構產生一或更多個側壁表面與一暴露的第一表面。在步驟202,一元件300(如第3A圖所示)被定位在一處理腔室(諸如第1圖所示的處理腔室100)中。元件300包含一基材302。基材302可以是例如一具有氧化矽層或碳摻雜氧化矽層在其上的矽晶圓。基材302,如圖所示,包括第一層304。第一層304可以是一金屬層(諸如一含銅層)。一第二層306可被形成在第一層304上方。第二層306可以是一介電質層(諸如一氧化矽層)。如圖所示,第二層306具有一暴露第二表面310及被形成在第二層中的一或更多個特徵結構307。該一或更多個特徵結構307具有一側壁表面309且向下延伸到一暴露的第一表面308。
在步驟204,基材被定位在處理腔室中,以一觸媒來處理該基材,該觸媒包含一路易斯酸。該路易斯酸可以是一有機化合物,諸如有機鋁化合物、有機鐵化合物、有機鈦化合物、有機鋅化合物、或上述的組合。在一實施例中,該路易斯酸是三甲基鋁(TMA)、四甲基鈦、四甲基鋅、或上述的組合。該路易斯酸可進一步是一含鹵素化合物,諸如AlCl3 、FeCl3 、TiCl4 、ZnCl4 、或上述的組合物。該路易斯酸與該些暴露表面(諸如該暴露第一表面308、該一或更多個側壁表面309及該暴露第二表面310)反應,而產生一具有末端反應性基團的層312,如第3B圖所示。使用上述路易斯酸、層312的末端反應性基團可以是有機基團(諸如甲基基團,或鹵素基團(諸如氯基團))。
在步驟206,一觸媒去活化劑可被輸送到基材,該觸媒去活化劑被一電漿活化。該觸媒去活化劑可以是一氣體,諸如O2 、N2 、NH3 、H2 、H2 O、He、Ar、或上述的組合物。該電漿可以是一電容式耦合電漿。該電漿應具有一能量位準,以致該觸媒去活化劑主要地被離子化,而不產生自由基。在層312被暴露於該觸媒去活化劑之後且假設上述特定命名的路易斯酸的一者,-CH3或-Cl鍵被斷裂且一非反應性物種被取代,如第3C圖的層314所示。例如,當觸媒去活化劑是O2 或H2 O時,末端反應性基團被取代成-OH基團。基材被偏壓以致該觸媒去活化劑被該暴露第一表面308與該暴露第二表面310接收,而不被該些側壁表面309接收。因此,該些末端反應性基團被維持在該些側壁表面309上
在步驟208,一旦該暴露第一表面308與該暴露第二表面310以觸媒去活化劑來處理,一矽醇被輸送到基材,矽醇沉積一含矽層316在該一或更多個側壁表面上。矽醇可以是具有通式Si(OR)3 OH的任何化合物,其中R基團是碳氫化合物。在一實例中,矽醇是三-(三級-丁氧)矽醇、三-(三級-戊氧)矽醇、或上述的衍生物。矽醇被輸送到元件300的表面,矽醇在此處與殘留之層312的末端反應性基團反應而形成在側壁表面309上,如第3D圖所示。
取決於暴露時間與濃度,生長厚度可在側壁表面309上高達約200Å。藉由重複步驟204、206、208,有可能生長比200Å更厚的膜。有利地,在具有被抑制的觸媒的表面(例如該暴露第一表面308與該暴露第二表面310)上的生長厚度是不可量測的。因此,可在側壁表面309上達到選擇性生長,而在該暴露第一表面308或該暴露第二表面310不具有可偵測的生長。此選擇性生長容許有用於IC元件的持續最小化的更小特徵結構的產生。
第4圖是根據一實施例用以沉積氧化碳矽膜的方法400的流程圖。方法400包括:在步驟402,定位一基材在一處理腔室中,該基材包含:一介電質區域及被形成在基材中的一或更多個特徵結構,該介電質區域具有一暴露介電質表面,該些特徵結構具有側壁,該暴露介電質表面與該些側壁具有末端OH基團;及一金屬區域,該金屬區域具有一實質上不含氧的暴露表面;及在步驟404,執行一或更多個矽烷化循環以將含矽與碳層選擇性沉積在該些側壁與該暴露介電質表面上,各個矽烷化循環包含:在基材的表面上執行矽烷化,該矽烷化係沉積一含矽與碳層;在步驟406,暴露該基材於一含氮電漿,該含氮電漿在該含矽與碳層中以氮取代至少一個碳;及在步驟408,暴露該基材於一含水氣體以在基材的表面上形成羥基。第5A-5E圖繪示根據一實施例在氧化碳矽的形成期間的基材。為了促進在此揭示的實施例的解釋,將結合第4圖與第5A-5E圖來解釋。
方法400開始於步驟402,其是藉由定位一基材在一處理腔室中,該基材包含:一介電質區域及被形成在介電質區域中的一或更多個特徵結構,該介電質區域具有一暴露的介電質表面,該些特徵結構具有側壁,該暴露的介電質表面與該些側壁具有末端OH基團;及一金屬區域,該金屬區域具有一實質上不含氧之暴露的第一表面508。在步驟402,一元件500(如第5A圖所示)被定位在一處理腔室(諸如第1圖所示的處理腔室100)中。元件500包含一基材502。基材502可以是例如一具有氧化矽層或碳摻雜氧化矽層在其上的矽晶圓。基材502,如圖所示,包括第一層504。第一層504可以是一金屬層(諸如一含銅層)。一第二層506可被形成在第一層504上方。第二層506可以是一介電質層(諸如一氧化矽層)。如圖所示,第二層506具有一暴露第二表面510及被形成在第二層中的一或更多個特徵結構507。該一或更多個特徵結構507具有一側壁表面509且向下延伸到一暴露的第一表面508。該暴露的第一表面508具有一末端非反應性物種522,在此顯示成氫。該暴露的第二表面510與該側壁表面509具有末端羥基520。
在步驟404,隨著基材被定位,矽烷化被執行在基材的表面上,矽烷化係沉積一含矽與碳層。矽烷化反應是藉由將元件300暴露於一矽烷化試劑來執行,該矽烷化試劑係諸如(二甲基胺基)三甲基矽烷(DMATMS)、雙(二甲基胺基)二甲基矽烷、或上述的組合物。矽烷化造成氫從羥基520被取代成三甲基矽懸垂基團524,如第5C圖所示。矽烷化反應增加一單層的三甲基矽基團到元件500的側壁表面509與暴露第二表面510。由於三甲基矽烷的甲基的存在,此反應造成一具有一些碳被併入的氧化矽層的形成,並且因此氧化碳矽層被形成。使用上述的矽烷化反應,分離之單層的氧化碳矽可被形成,而容許在基材上重複沉積相當薄的層。
在一實例中,基材被維持在約25℃至約400℃的範圍內,諸如約75℃。壓力被維持在約100毫托至約760托的範圍內,諸如6托。矽烷化試劑被一惰性氣體承載,該惰性氣體被提供於約0.1至約4.0標準公升/分鐘(slm)的流速(諸如約2 slm)。該惰性氣體可以是氦、氬、或二原子氮中的一或更多者。矽烷化試劑被提供於約0.1公克/分鐘至約4.0公克/分鐘的速率。基材可被暴露於矽烷化試劑長達約5至約300秒,諸如約60秒。
應注意的是在諸如介電質層的實施例中,矽烷化反應會消耗存在於第二層506中的羥基,並且因此最終進一步的矽烷化不會發生。為了促進進一步的矽烷化,藉此增加被形成在基材502上的膜的厚度,第二層506被處理以誘發在第二層上之額外的羥基的形成(例如再生成)。
在步驟406,一旦矽烷化反應完成,基材被暴露於一含氮電漿,該含氮電漿在該含矽與碳層中以氮取代至少一個碳。電漿處理包括暴露基材330於一含氮電漿(諸如從氨形成的一電漿)。電漿處理係藉由斷裂一些矽-甲基鍵、以胺基團528來取代末端甲基526,而促進羥基的形成,如第5D圖所示。
在一實例中,NH3 氣體能以介於約100 sccm與約5000 sccm之間的流速(諸如約150 sccm)被提供到腔室,且施加50瓦RF功率以產生電漿。在另一實例中,RF功率可以介於約10瓦與約1000瓦之間,諸如60瓦。基材可被維持在約200℃的溫度,並且腔室壓力可以是約3托。一惰性氣體(諸如N2 )能以約100至約30000 sccm的流速(諸如27000 sccm)被提供到腔室。基材可被維持在約25-400℃的溫度,諸如約200℃。壓力可被維持在介於約1托至約10托的範圍內,諸如4.2托。暴露時間可以在約1秒至約60的範圍內,諸如4-10秒。
其次,在步驟408,基材被暴露於一含水氣體以在基材的表面上形成羥基。基材502被暴露於一含水氣體以藉由取代懸垂基團524中的胺基團528而促進羥基530的形成,如第5E圖所示。含水氣體可包括蒸汽或使用一水蒸氣產生器(water vapor generator, WVG)製造的水蒸氣。在一實例中,水蒸氣氣體的流速可以是約1 sccm至約1000 sccm,諸如約10 sccm。
在步驟408之後可能存在於基材302的表面上的不希望的水分子藉由減少處理腔室內的壓力與/或藉由增加處理腔室內的溫度而被脫附(desorb)與脫鍵(debond)。可揮發成分接著可從處理腔室被排出。
實施例的優點大致上包括具有相當小厚度(諸如小於約100Å或小於約10Å)之可再製造與選擇性沉積的氧化矽膜。氧化矽層可經由路易斯酸被催化矽醇沉積而被沉積。可藉由選擇表面的經偏壓非活化來控制選擇性。在進一步實施例中,氧化矽層可經由矽烷化藉由以電漿和水處理來再生成基材的表面而被沉積。矽烷化係容許精確的層接著層的沉積,因此促進具有準確厚度的多個非常薄的層的形成。
儘管上述說明導向本申請案的實施例,可設想出其他與進一步實施例而不悖離本申請案的基本範疇,並且本申請案的範疇是由隨附的申請專利範圍來決定。
100‧‧‧處理腔室 102‧‧‧主體 104‧‧‧腔室蓋 106‧‧‧基材支撐件 108‧‧‧處理區域 110‧‧‧基材通道或開口 112‧‧‧基材升降件 114‧‧‧基底 116‧‧‧致動器 118‧‧‧支撐構件 120‧‧‧開口 122‧‧‧氣體入口 124‧‧‧氣體歧管 126A~C‧‧‧高速閥 128‧‧‧氣體管線 130‧‧‧前驅物管線 132‧‧‧泵送容室 134‧‧‧襯裡 136‧‧‧邊緣環 150‧‧‧內部 166‧‧‧上表面 168‧‧‧下表面 170‧‧‧下壁 172‧‧‧桿 174‧‧‧RF功率源 176‧‧‧基材接觸區域 178‧‧‧側壁 180‧‧‧開口 194‧‧‧埠 200‧‧‧方法 202~208‧‧‧步驟 300‧‧‧元件 302‧‧‧基材 304‧‧‧第一層 306‧‧‧第二層 307‧‧‧特徵結構 308‧‧‧暴露第一表面 309‧‧‧側壁表面 310‧‧‧暴露第二表面 312‧‧‧層 314‧‧‧層 316‧‧‧含矽層 400‧‧‧方法 402~408‧‧‧步驟 500‧‧‧元件 502‧‧‧基材 504‧‧‧第一層 506‧‧‧第二層 507‧‧‧特徵結構 508‧‧‧暴露第一表面 509‧‧‧側壁表面 510‧‧‧暴露第二表面 520‧‧‧羥基 522‧‧‧末端非反應性物種 524‧‧‧懸垂基團 526‧‧‧末端甲基 528‧‧‧胺基團 530‧‧‧羥基
可藉由參考本發明的實施例來詳細暸解本發明的元件和方法的上述特徵,元件和方法的更特定敘述簡短地在前面概述過,其中該些實施例的一些實施例在附圖中示出。但是應注意的是,附圖僅示出元件和方法的典型實施例,因此附圖不應被視為會對元件和方法的範疇構成限制,這是因為元件和方法可允許其他等效實施例。
第1圖是適於實現實施例的一處理腔室的剖視圖。
第2圖是根據一實施例用以沉積氧化矽膜的方法操作的流程圖。
第3A-3D圖繪示根據一實施例在氧化矽膜的形成期間的基材。
第4圖是根據一實施例用以沉積氧化碳矽膜的方法操作的流程圖。
第5A-5E圖繪示根據另一實施例在氧化碳矽膜的形成期間的基材。
為促進了解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。可設想出的是一實施例的元件與特徵可有利地被併入到其他實施例而不需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
300‧‧‧元件
302‧‧‧基材
304‧‧‧第一層
306‧‧‧第二層
307‧‧‧特徵結構
314‧‧‧層
316‧‧‧含矽層

Claims (15)

  1. 一種處理一基材的方法,包含以下步驟: (a) 在形成於一基材上方之一層的一頂表面及側壁表面上共形地形成一觸媒層,其中該觸媒層包含三甲基鋁(TMA);(b) 將該基材暴露於由一觸媒去活化劑氣體形成之一電漿,其中該觸媒去活化劑氣體包含O2 、N2 、NH3 、H2 、H2 O、He、Ar或前述者的任何組合,在將該基材暴露於該電漿的同時偏壓該基材,以致該觸媒去活化劑氣體被該觸媒層的一頂表面接收而不會被該觸媒層的側壁表面接收;(c) 將該基材暴露於一矽醇,以於該基材上形成一材料層;以及(d) 重複(a)至(c),直到達成該材料層之一期望厚度為止。
  2. 如請求項1所述之方法,其中該矽醇是具有通式Si(OR)3 OH的化合物,R基團是碳氫化合物。
  3. 如請求項2所述之方法,其中該矽醇是三-(三級-丁氧)矽醇、三-(三級-戊氧)矽醇或前述者之衍生物。
  4. 如請求項1所述之方法,其中該材料層為氧化矽。
  5. 如請求項1所述之方法,其中該觸媒去活化劑氣體為O2 或H2 O。
  6. 如請求項1所述之方法,其中該層為一介電層。
  7. 如請求項1所述之方法,其中該材料層形成於該觸媒層之該側壁表面上。
  8. 一種處理一基材的方法,包含以下步驟: 提供一基材,該基材具有一第一層及一第二層,該第二層設置於該第一層上方,該第二層被圖案化而暴露該第一層的一頂表面的一部分,該第二層具有一頂表面及側壁表面; 於該第一層之該暴露的頂表面上及該第二層之該頂表面及該側壁表面上形成一觸媒層,其中該觸媒層包含三甲基鋁(TMA)、四甲基鈦、四甲基鋅或上述的組合; 將該基材暴露於由一觸媒去活化劑氣體形成之一電漿,其中該觸媒去活化劑氣體包含O2 、N2 、NH3 、H2 、H2 O、He、Ar或前述者的任何組合,並在將該基材暴露於該電漿的同時偏壓該基材,以致該觸媒去活化劑氣體由該第二層的該頂表面接收而不會由該第二層的該側壁表面接收; 將該基材暴露於一矽醇,以於該基材上形成一材料層;以及 重複於該第一層之該暴露的頂表面上及該第二層之該頂表面及該側壁表面上形成一觸媒層,將該基材暴露於由一觸媒去活化劑氣體形成之一電漿,及將該基材暴露於一矽醇以於該基材上形成一材料層,直到達成該材料層之一期望厚度為止。
  9. 如請求項8所述之方法,其中該矽醇是具有通式Si(OR)3 OH的化合物,R基團是碳氫化合物。
  10. 如請求項9所述之方法,其中該矽醇是三-(三級-丁氧)矽醇、三-(三級-戊氧)矽醇或前述者之衍生物。
  11. 如請求項8所述之方法,其中該材料層為氧化矽。
  12. 如請求項8所述之方法,其中該觸媒去活化劑氣體為O2 或H2 O。
  13. 如請求項8所述之方法,其中該材料層形成於該第二層之該側壁表面上。
  14. 如請求項8所述之方法,其中該第一層為一含金屬層。
  15. 如請求項8所述之方法,其中該第二層為一介電層。
TW108130980A 2015-02-09 2016-01-04 氧化矽薄膜的選擇性側向生長 TWI706441B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562113944P 2015-02-09 2015-02-09
US62/113,944 2015-02-09

Publications (2)

Publication Number Publication Date
TW202004849A TW202004849A (zh) 2020-01-16
TWI706441B true TWI706441B (zh) 2020-10-01

Family

ID=56567010

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108130980A TWI706441B (zh) 2015-02-09 2016-01-04 氧化矽薄膜的選擇性側向生長
TW105100082A TWI673767B (zh) 2015-02-09 2016-01-04 氧化矽薄膜的選擇性側向生長

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105100082A TWI673767B (zh) 2015-02-09 2016-01-04 氧化矽薄膜的選擇性側向生長

Country Status (6)

Country Link
US (3) US9508545B2 (zh)
JP (2) JP6711839B2 (zh)
KR (1) KR102374064B1 (zh)
CN (2) CN107210196B (zh)
TW (2) TWI706441B (zh)
WO (1) WO2016130238A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9508545B2 (en) 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
CN108231621A (zh) * 2016-12-15 2018-06-29 中微半导体设备(上海)有限公司 一种等离子体刻蚀工艺的处理装置及方法
KR102306680B1 (ko) * 2017-07-23 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
US10410858B2 (en) * 2017-08-11 2019-09-10 Tokyo Electron Limited Selective film deposition using halogen deactivation
JP6814116B2 (ja) 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10586734B2 (en) * 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10734234B2 (en) 2017-12-18 2020-08-04 International Business Machines Corporation Metal cut patterning and etching to minimize interlayer dielectric layer loss
US10950602B2 (en) 2018-09-20 2021-03-16 Samsung Electronics Co., Ltd. Semiconductor devices
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
US10937690B2 (en) * 2019-03-26 2021-03-02 Micron Technology, Inc. Selective dielectric deposition
CN110265288A (zh) * 2019-06-05 2019-09-20 深圳市华星光电技术有限公司 一种在基板上制备二氧化硅膜的方法及装置、阵列基板
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
CN112670175B (zh) * 2020-12-24 2024-05-03 长江先进存储产业创新中心有限责任公司 半导体结构的制作方法
KR20230157481A (ko) * 2021-03-31 2023-11-16 도쿄엘렉트론가부시키가이샤 막 형성 방법 및 기판 처리 장치
JP2024049188A (ja) * 2022-09-28 2024-04-09 東京エレクトロン株式会社 膜形成方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052181A1 (en) * 2008-08-29 2010-03-04 Thomas Werner Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer
US20120202359A1 (en) * 2004-08-31 2012-08-09 Hill Chris W Method of Increasing Deposition Rate of Silicon Dioxide on a Catalyst

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585884A (en) 1984-05-23 1986-04-29 Xerox Corporation Silylated compositions, and deuterated hydroxyl squaraine compositions and processes
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
US6028015A (en) 1999-03-29 2000-02-22 Lsi Logic Corporation Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
JP2002237648A (ja) 2001-02-13 2002-08-23 Fuji Photo Film Co Ltd 半導体レーザ素子
JP2004253483A (ja) * 2003-02-18 2004-09-09 Dainippon Printing Co Ltd 半導体ウエハの製造方法
JP4383095B2 (ja) * 2003-06-10 2009-12-16 大日本印刷株式会社 パターン形成体の製造方法
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
JP4526995B2 (ja) 2004-04-09 2010-08-18 東京エレクトロン株式会社 ゲート絶縁膜の形成方法ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム
CN100524822C (zh) * 2004-04-09 2009-08-05 东京毅力科创株式会社 栅极绝缘膜的形成方法
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US8241708B2 (en) * 2005-03-09 2012-08-14 Micron Technology, Inc. Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide
JP5285835B2 (ja) 2005-07-13 2013-09-11 株式会社東芝 半導体素子およびその製造方法
US7510939B2 (en) * 2006-01-31 2009-03-31 International Business Machines Corporation Microelectronic structure by selective deposition
US7625820B1 (en) * 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7767262B2 (en) * 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080138624A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
CN101874286B (zh) 2007-11-27 2012-07-25 纳米晶公司 通过纳米或微米颗粒膜生长的超低位错密度的第三族-氮化物半导体衬底及其制备方法
JP5366235B2 (ja) * 2008-01-28 2013-12-11 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP5132524B2 (ja) 2008-11-04 2013-01-30 キヤノン株式会社 窒化ガリウム系化合物半導体層の移設方法、及び窒化ガリウム系化合物半導体層が接合された基板
WO2011017339A2 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516788A (ja) * 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
JP5702173B2 (ja) * 2011-01-31 2015-04-15 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および多孔質絶縁層の改質方法
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8492290B2 (en) 2011-06-21 2013-07-23 International Business Machines Corporation Fabrication of silicon oxide and oxynitride having sub-nanometer thickness
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
KR101361454B1 (ko) * 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
US9330900B2 (en) * 2013-03-14 2016-05-03 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films through cyclical silylation
TWI739285B (zh) * 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9802828B2 (en) * 2014-10-03 2017-10-31 Applied Materials, Inc. Precursors suitable for high temperature atomic layer deposition of silicon-containing films
US9508545B2 (en) 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120202359A1 (en) * 2004-08-31 2012-08-09 Hill Chris W Method of Increasing Deposition Rate of Silicon Dioxide on a Catalyst
US20100052181A1 (en) * 2008-08-29 2010-03-04 Thomas Werner Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer

Also Published As

Publication number Publication date
KR102374064B1 (ko) 2022-03-11
US10002757B2 (en) 2018-06-19
TWI673767B (zh) 2019-10-01
CN107210196B (zh) 2020-06-19
WO2016130238A1 (en) 2016-08-18
JP2020170844A (ja) 2020-10-15
KR20170109588A (ko) 2017-09-29
US9508545B2 (en) 2016-11-29
JP2018506186A (ja) 2018-03-01
US9741558B2 (en) 2017-08-22
US20160233084A1 (en) 2016-08-11
JP6749516B1 (ja) 2020-09-02
CN107210196A (zh) 2017-09-26
TW201640561A (zh) 2016-11-16
CN111696853A (zh) 2020-09-22
US20170323777A1 (en) 2017-11-09
TW202004849A (zh) 2020-01-16
JP6711839B2 (ja) 2020-06-17
CN111696853B (zh) 2023-04-14
US20170125239A1 (en) 2017-05-04

Similar Documents

Publication Publication Date Title
TWI706441B (zh) 氧化矽薄膜的選擇性側向生長
JP7414891B2 (ja) 半導体基板を処理するための装置および方法
KR102166792B1 (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
CN111247269B (zh) 介电膜的几何选择性沉积
TWI675797B (zh) 用於進階圖案化之軟著陸奈米層
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
JP2021504947A (ja) 銅存在下での誘電体表面上へのSiO2の選択的成長
TW202401527A (zh) 用於達成無缺陷自組裝單層的晶圓處理
TWI721022B (zh) 低介電常數含鋁蝕刻終止膜的形成方法
JP2021534589A (ja) 高アスペクト比エッチングのための金属含有パシベーション
CN109791914B (zh) 用于互连结构的复合介电界面层
US9887099B2 (en) Pattern forming method capable of minimizing deviation of an inversion pattern
KR101006056B1 (ko) 성막 장치 및 성막 방법
JP7195239B2 (ja) 成膜方法及び成膜装置
WO2022124087A1 (ja) 成膜方法
CN112218975A (zh) 减少跨膜界面的扩散