TWI657483B - 半導體裝置之形成方法 - Google Patents

半導體裝置之形成方法 Download PDF

Info

Publication number
TWI657483B
TWI657483B TW106106795A TW106106795A TWI657483B TW I657483 B TWI657483 B TW I657483B TW 106106795 A TW106106795 A TW 106106795A TW 106106795 A TW106106795 A TW 106106795A TW I657483 B TWI657483 B TW I657483B
Authority
TW
Taiwan
Prior art keywords
forming
mandrel
pattern
semiconductor device
array
Prior art date
Application number
TW106106795A
Other languages
English (en)
Other versions
TW201801143A (zh
Inventor
翁明暉
羅冠昕
林緯良
洪繼正
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201801143A publication Critical patent/TW201801143A/zh
Application granted granted Critical
Publication of TWI657483B publication Critical patent/TWI657483B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種半導體裝置之形成方法,包括提供基板、形成複數個心軸圖案於上述基板之上以及形成複數個間隔物於上述心軸圖案之側壁上。上述方法亦包括移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽。上述方法亦包括沉積共聚合物材料於上述溝槽之中,其中上述共聚合物材料係為定向自組裝,以及於上述共聚合物材料中誘發微相分離以定義出被第二組成高分子圍繞之第一組成高分子。上述心軸圖案具有被限制的尺寸及配置。上述第一組成高分子包括排列成矩形或方形陣列之柱狀物。

Description

半導體裝置之形成方法
本發明實施例係有關於一種半導體裝置之形成方法,且特別有關於一種以定向自組裝製程圖案化半導體基板之方法。
半導體積體電路(IC)工業經歷了快速的成長。積體電路材料及設計之技術進步產生了許多積體電路世代,其中每一世代具有比上一世代更小及更複雜的電路。在積體電路進展的過程中,功能密度(亦即,每一晶片面積之互連裝置的數量)普遍地增加,同時幾何尺寸(亦即,製程上可產生之最小之元件或線)亦縮小。通常上述尺寸縮小的製程可增加生產效率及降低相關成本而帶來好處。上述之尺寸縮小也增加了積體電路製程及製造的複雜性。
例如,由於光微影製程之技術上及經濟上的限制,定向自組裝(directly self-assembly,簡稱DSA)製程被視為圖案化密集特徵(例如:接觸孔)之可能方法而受到重視。定向自組裝製程利用如嵌段共聚合物(block copolymer,簡稱BCP)之材料的自組裝特性,在現有製程條件之限制下仍可達到奈米等級之尺寸。典型的定向自組裝製程使用引導圖案來”引導”定向 自組裝製程。引導圖案之幾何形狀可影響自組裝高分子特徵之配置以及最後的圖案密度。在這些領域中仍有改善的需要。
本發明實施例包括一種半導體裝置之形成方法,其包括:提供基板;形成複數個心軸圖案(mandrel patterns)於基板之上;形成複數個間隔物於上述心軸圖案之側壁上;移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽;沉積共聚合物材料(copolymer material)於上述溝槽之中,其中共聚合物材料係為定向自組裝(directed self-assembling);以及於共聚合物材料中誘發微相分離(microphase separation)以定義出被第二組成高分子圍繞之第一組成高分子。
本發明實施例亦包括一種半導體裝置之形成方法,其包括:提供基板;形成複數個心軸圖案於上述基板之上;形成複數個間隔物於上述心軸圖案之側壁上;移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽;沉積共聚合物材料於上述溝槽之中,其中共聚合物材料係為定向自組裝;於共聚合物材料中誘發微相分離以定義出被第二組成高分子圍繞之第一組成高分子;以及轉移對應於第一組成高分子之圖案或對應於第二組成高分子之圖案至上述基板。
本發明實施例又包括一種半導體裝置之形成方法,其包括:提供基板;以第一光微影製程形成第一心軸圖案陣列於上述基板之上;以第二光微影製程形成第二心軸圖案陣列於上述基板之上,其中第一陣列之列與第二陣列之列交插, 第一陣列之行與第二陣列之行亦交插;形成複數個間隔物於上述心軸圖案之側壁上;移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽;沉積共聚合物材料於上述溝槽之中,其中共聚合物材料係為定向自組裝;於共聚合物材料中誘發微相分離以定義出被第二組成高分子圍繞之第一組成高分子;以及將對應於第一組成高分子之圖案轉移至上述基板。
100‧‧‧方法
102、104、106、108、110、112、114、116、118、120、122、132、134、135、136、137、138、136’、138’‧‧‧步驟
200、210、400、410‧‧‧目標圖案
202、212‧‧‧心軸圖案
200A、200B、210A、210B‧‧‧目標圖案之群組
222‧‧‧引導圖案
222A、222B、222C、222D‧‧‧間隔物
223、223A、223B、223C、223D‧‧‧溝槽
226、228‧‧‧奈米區域
300‧‧‧半導體結構
302‧‧‧基板
304‧‧‧材料層
306‧‧‧圖案化目標層
308、310‧‧‧硬罩幕層
312‧‧‧底層
314‧‧‧中間層
316‧‧‧光阻層(阻劑)
318‧‧‧硬罩幕層
320、342‧‧‧阻劑圖案
324‧‧‧嵌段共聚合物
330‧‧‧開口
332、344‧‧‧溝槽
340‧‧‧緩衝層
346‧‧‧硬罩幕介電材料
400A、400B、410A、410B‧‧‧心軸圖案之群組
504、630‧‧‧削減圖案
Dx、Dy‧‧‧尺寸
Px、Py‧‧‧節距
Sx、Sy‧‧‧間距
Tx‧‧‧厚度
以下將配合所附圖式詳述本發明之實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
根據本發明實施例之各面向,第1A圖繪示出半導體裝置之形成方法的流程圖。
根據第1A圖之方法的實施例,第1B及1C圖繪示出半導體裝置之形成方法的流程圖。
根據一些實施例,第2A及2B圖繪示出第1A圖之方法中之目標心軸圖案的上視圖。
根據本發明實施例之面向,第2C、2D、2E、2F及2G圖繪示出定向自組裝引導圖案及奈米區域的一些配置。
根據一些實施例,第3A、3B、3C及3D圖繪示出第1A圖所示之半導體裝置之形成方法的剖面圖。
根據一些實施例,第3M及3P圖繪示出第1A圖所示之半導體裝置之形成方法的上視圖。
根據一些實施例,第3E-1、3F-1、3G-1、3H-1、3I-1、3J-1、 3K-1、3L-1、3N-1、3O-1、3Q-1、3R-1及3S-1圖繪示出第1A及1B圖所示之半導體裝置之形成方法的剖面圖(沿著第2A、2B、3M及3P圖中之適當的切割線“1-1”)。
根據一些實施例,第3E-2、3F-2、3G-2、3H-2、3I-2、3J-2、3K-2、3L-2、3N-2、3O-2、3Q-2、3R-2及3S-2圖繪示出第1A及1B圖所示之半導體裝置之形成方法的剖面圖(沿著第2A、2B、3M及3P圖中之適當的切割線“2-2”)。
根據一些實施例,第4A、4B、4C、4D、4E及4F圖繪示出第1A圖所示之半導體裝置之形成方法的上視圖。
根據一些實施例,第5A、5B、5C、5D及5E圖繪示出第1A圖所示之半導體裝置之形成方法的上視圖。
根據一些實施例,第6A、6B、6C、6D、6E及6F圖繪示出第1A圖所示之半導體裝置之形成方法的上視圖。
根據一些實施例,第6G-1及6G-2圖繪示出第1A圖所示之半導體裝置之形成方法的剖面圖(沿著第6F圖之切割線“1-1”及“2-2”)。
根據一些實施例,第7A-1、7A-2、7B-1、7B-2、7C-1、7C-2、7D-1、7D-2、7E-1、7E-2、7F-1及7F-2圖繪示出第1A及1C圖所示之半導體裝置之形成方法的剖面圖。
以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵。以下描述具體的元件及其排列以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵形成於 第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本發明實施例,不代表所討論的不同實施例及/或結構之間有特定的關係。
此外,其中可能用到與空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
本發明實施例大體關於半導體裝置,且特別關於使用定向自組裝製程形成半導體裝置之方法。在典型的定向自組裝製程中,形成具有組成高分子之嵌段共聚合物(Block Copolymer,簡稱BCP)薄膜於由光微影所定義之表面上,並且誘發微相分離以使得組成高分子自組裝,而形成密集堆疊且具有均勻尺寸及形狀之特徵。一般而言,以光微影製程形成引導圖案(guide pattern),且引導圖案“引導”上述之定向自組裝製程。舉例而言,可使用定向自組裝製程所形成之特徵包括方位垂直基板之柱狀及片狀(lamellar)奈米區域(nanodomains)。在形成半導體裝置之密集堆疊的小接觸孔上,上述柱狀奈米區域 備受期待。然而,典型的嵌段共聚合物自發地在大面積中形成六角形之柱狀奈米區域陣列或在狹窄之溝槽中形成柱狀奈米區域之列(row)。因為半導體裝置中典型的接觸孔係設計成方形(square-shaped),所以上述任一情況皆無法良好地配合現存之半導體製造技術。矩形或方形之柱狀奈米區域陣列能較良好地配合現存之半導體設計及製造。因此,本發明實施例之一目標係為形成排列成矩形或方形陣列之柱狀奈米區域。在本發明一實施例中係設計出一些新穎的引導圖案以用於定向自組裝製程而達成上述目標。
請參照第1A圖,其根據本發明實施例之各面向繪示出使用定向自組裝製程之半導體裝置之形成方法100的流程圖。方法100僅作為舉例說明,而並非用來在請求項所明確記載的內容之外限制本發明實施例。可在方法100之前、之間及之後提供額外之步驟,且在上述方法之其他的實施例中,一些所述之步驟可被取代、移除或變更次序。以下將配合第2A至2G圖簡述方法100之概觀。隨後將根據本發明實施例之各面向並配合半導體結構300之不同的視圖3A至3S-2詳述方法100。
如第1A圖所示,方法100於步驟102接受基板,並於步驟104形成心軸圖案於基板之上。心軸圖案具有受限制的尺寸以控制後續之定向自組裝製程。方法100可在步驟106視情況使用削減製程以移除一些心軸圖案。接著,於步驟108形成間隔物於心軸圖案之側壁上。於步驟110移除心軸圖案以及於步驟112處理間隔物之後,方法100於步驟114及步驟116使用嵌段共聚合物進行定向自組裝製程。上述定向自組裝製程使用被 處理的間隔物作為引導圖案。間隔物的配置及嵌段共聚合物的成分使得所欲之組成高分子(或奈米區域)排列成方形或矩形陣列。方法100於步驟118及120可視情況移除一些組成高分子並轉移對應於組成高分子其中之一的圖案至基板。在本實施例中,所欲之組成高分子係為柱狀並且適合用來形成接觸孔。
第2A及2B圖根據本發明實施例之各面向繪示出將形成之心軸圖案的上視圖的一些例子。如第2A圖所示,目標圖案200包括複數個心軸圖案202,其係排列成類棋盤(checkerboard-like)之配置。不同於典型的棋盤,心軸圖案202係被隔開。心軸圖案202可分成兩個群組。心軸圖案202之第一群組200A係排列成具有列(row)及行(column)之陣列(以3x3之陣列為例)。心軸圖案202之第二群組200B係排列成具有另一列及行之陣列(以2x2之陣列為例)。群組(或陣列)200A之列與群組(或陣列)200B之列交插(interleaved),且群組200A之行與群組200B之行交插。
心軸圖案202大體為矩形且具有大約相同之尺寸。在第2A圖所繪示的例子中,每個心軸圖案202沿著方向“x”具有尺寸Dx,且沿著垂直於方向“x”之方向“y”具有尺寸Dy。群組200A中的心軸圖案202與相鄰的群組200B中的心軸圖案202在沿著方向”x”上係被間距Sx分隔開,而在沿著方向”y”上則被間距Sy分隔開。心軸圖案202沿著方向”x”之節距(pitch)Px係等於兩倍的Dx加上兩倍的Sx。心軸圖案202沿著方向”y”之節距Py係等於兩倍的Dy加上兩倍的Sy。在本實施例中,Sx大約相同於Sy,其係為於步驟108中(第1A圖)將形成於心軸圖案202側壁上 之間隔物的厚度。心軸圖案202係為島型(island-type)心軸圖案且間隔物係將形成於心軸圖案202之外側壁上。
請參照第2B圖,目標圖案210包括尺寸(Dx及Dy)及配置(Sx、Sy、Px及Py)與心軸圖案202大約相同之複數個心軸圖案212。目標圖案200與210其中一個不同之處在於心軸圖案212係為溝槽型(trench-type)圖案且間隔物係將形成於心軸圖案212之內側壁上。類似於目標圖案200,目標圖案210可分為兩個群組。心軸圖案212之第一群組210A係排列成具有列及行之陣列(以3x3之陣列為例)。心軸圖案212之第二群組210B係排列成具有另一列及行之陣列(以2x2之陣列為例)。群組(或陣列)210A之列與群組(或陣列)210B之列交插,且群組210A之行與群組210B之行交插。
第2C至2G圖例示性地繪示出一些引導圖案222及於定向自組裝製程(步驟116)中所欲之奈米區域226之配置。引導圖案222係衍伸自心軸圖案202或212。在本實施例中,引導圖案222係為形成自心軸圖案202或212之側壁上的間隔物。因此,心軸圖案202或212之幾何形狀控制了引導圖案222之幾何形狀。奈米區域226之尺寸係取決於步驟116中之嵌段共聚合物的成分(例如:嵌段共聚合物中之組成高分子的類型及比例)。在本實施例中,調整嵌段共聚合物的成分以及引導圖案222的表面性質以形成用於接觸孔之柱狀奈米區域226。如第2C至2F圖所示,設計引導圖案222之幾何圖形,使得奈米區域226在每個引導圖案中形成了矩形或方形陣列,而不是六角形陣列。一個最直接的優點就是奈米區域226符合現存之積體電路設計及製 造流程。
影響引導圖案222之幾何設計的因素包括形成心軸圖案202及212時之光微影製程的解析度、嵌段共聚合物之分子量以及組成高分子之熱穩定性。舉例來說,較小之引導圖案(具有較小之臨界尺寸)在光微影製程中可能需要較高之解析度。較大之引導圖案可能使得奈米區域形成六角形陣列,因為排列成六角形陣列相較於排列成方形陣列在熱力學上較為穩定。在本實施例中,設計引導圖案222之幾何圖形,使得每個奈米區域226之陣列至多具有四列及四行。換句話說,上述陣列可具有如第2C圖所示之1 x 1、1 x 2、1 x 3或1 x 4之尺寸(列x行、或行x列),或如第2D圖所示之2 x 2、2 x 3或2 x 4之尺寸,或如第2E圖所示之3 x 3或3 x 4之尺寸,或如第2F圖所示之4 x 4之尺寸。可藉由L形之引導圖案222形成第2G圖所示之配置,或藉由從第2C至2F圖其中一者之矩形或方形奈米區域陣列移除一些奈米區域226以形成第2G圖所示之配置。
在後續的段落中,將根據本發明實施例之各面向並配合半導體結構300之不同的視圖3A至3S-2詳述方法100。半導體結構300可為積體電路製程中所形成之中間產品或其一部分,其可包括靜態隨機存取記憶體(static random access memory,SRAM)及/或其他邏輯電路、被動元件(例如:電阻器、電容器以及感應器)以及主動元件(例如:p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、鰭式場效電晶體(FinFETs)、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性電晶體(bipolar transistors)、高 壓電晶體(high voltage transistors)、高頻電晶體(high frequency transistors))、其他記憶體單元以及上述之組合。
於步驟102中,方法100(第1A圖)接收基板302。如第3A圖所示,基板302包括材料層304以及一或多個圖案將形成於其中之圖案化目標層306。材料層304包括一或多層之材料或成分。在一些實施例中,材料層304包括元素半導體(例如:矽或鍺)及/或化合物半導體(例如:矽化鍺(silicon germanium)、碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、砷化銦(indium arsenide)、氮化鎵(gallium nitride)以及磷化銦(indium phosphide))。在一些實施例中,材料層304包括合金半導體,例如:矽碳化鍺(silicon germanium carbide)、砷磷化鎵(gallium arsenic phosphide)以及磷化鎵銦(gallium indium phosphide)。材料層304亦可包括非半導體之材料,其包括鈉鈣玻璃(soda-lime glass)、熔融矽石(fused silica)、熔融石英(fused quartz)、氟化鈣(calcium fluoride,CaF2)及/或其他適當之材料。在一些實施例中,材料層304具有一或多個定義於其中之膜層,例如具有一磊晶層位於塊狀半導體之上。在一些實施例中,材料層304包括絕緣層上半導體(SOI)基板。在一實施例中,材料層304可包括摻雜區域且具有形成於其上或其中之電路。
在一實施例中,圖案化目標層306係為硬罩幕層。舉例而言,其可包括介電材料(例如:氧化矽或氮化矽)。在另一實施例中,圖案化目標層306係為層間介電層(inter-layer dielectric layer,ILD)或金屬間介電層(inter-metal dielectric layer,IMD)。舉例而言,圖案化目標層306可包括低介電常數 (low-k)或極低介電常數(extreme low-k)材料。舉例而言,圖案化目標層306之材料可包括四乙氧基矽烷(tetraethylorthosilicate,TEOS)、未掺雜矽玻璃(un-doped silicate glass)或摻雜氧化矽(例如:硼磷矽玻璃(borophosphosilicate glass,BPSG)、熔融矽石玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸玻璃(boron doped silicon glass,BSG))及/或其他適當之介電材料。可藉由沉積或其他方法形成圖案化目標層306於材料層304之上,例如:物理氣相沉積法(physical vapor deposition,PVD)、化學氣相沉積法(chemical vapor deposition,CVD)以及原子層沉積法(atomic layer deposition,ALD)。上述化學氣相沉積法包括電漿輔助化學氣相沈積法(plasma enhanced CVD,PECVD)。
於步驟104中,方法100(第1圖)形成心軸圖案(例如:心軸圖案202(第2A圖)或212(第2B圖))於圖案化目標層306之上。承前述,心軸圖案具有被限制的尺寸。此步驟包括各種製程(例如:沉積、光微影以及蝕刻),將於後文進一步敘述。
如第3B圖所示,沉積硬罩幕(HM)層308於圖案化目標層306之上。在一些實施例中,硬罩幕層308包括一或多種介電材料,例如:氧化矽、氮化矽及/或氮氧化矽(silicon oxynitride,SiON)。在一些實施例中,硬罩幕層308包括氮化鈦(TiN)。在一些實施例中,硬罩幕層308之厚度約為5奈米(nm)至50奈米。在一些實施例中,使用選自於一群組之一或多個製程形成硬罩幕層308,上述群組係由化學氣相沉積法、物理氣 相沉積法、原子層沉積法、旋轉塗佈法(spin-on method)、濺鍍法(sputtering)、熱氧化法以及上述之組合所組成。
於一些實施例中,在光微影設備之解析度的允許下,可使用單一光微影製程形成心軸圖案202或212於硬罩幕層308中。在本實施例中,方法100使用如第1B圖所示之雙圖案光微影法(double patterning method)以降低一些對光微影製程的要求,例如:光波長及臨界尺寸。特別地,上述雙圖案光微影法使用第一光微影製程形成群組200A(或210A),並使用第二光微影製程形成群組200B(或210B)。
如第1B圖所示,於步驟132中,方法100形成另一硬罩幕層310於硬罩幕層308之上(第3C圖)。硬罩幕層310可包括介電材料,例如:氧化矽、氮化矽、氮氧化矽或低介電常數介電材料,且可使用一或多個前述之沉積製程形成。硬罩幕層310相對於硬罩幕層308具有不同之蝕刻選擇性。
方法100(第1B圖)使用包括第一光微影及一或多個蝕刻步驟之製程形成心軸圖案202(或212)之群組200A(或200B)於硬罩幕層310中。如第3D圖所示,形成三層堆疊(tri-layer stack)於硬罩幕層310之上。上述三層堆疊包括硬罩幕層310上之底層312、底層312上之中間層314以及中間層314上之光阻(或阻劑)316。在一些實施例中,底層312及中間層314為非必要的,而光阻層316可直接形成於硬罩幕層310之上。在一些實施例中,底層312包括底部抗反射塗層高分子材料,而中間層314包括含矽高分子。在一實施例中,阻劑316係為敏感於第一光微影所用之光的高分子。舉例而言,在一些實施例中,阻劑316 可敏感於I-線光(I-line light)、深紫外光(DUV light,例如:氪氟(KrF)準分子雷射(excimer laser)之248奈米的光或氬氟(ArF)準分子雷射之193奈米的光)、極紫外光(EUV light,例如:13.5奈米的光)、電子束、X光或離子束。可使用前述之沉積方法(包括旋轉塗佈法)形成底層312及中間層314。於本實施例中,阻劑316係被旋轉塗佈於中間層314上。
請參照第3E-1及3E-2圖,使用第一光微影製程圖案化阻劑316,使其具有心軸圖案202之群組200A的幾何圖形。在一實施例中,第一光微影製程包括使用具有對應於群組200A之圖案的光罩暴露阻劑316於光源、進行曝光後烘烤製程以及顯影阻劑316以移除部分之阻劑316。阻劑316被移除之部分可為曝光或未曝光之部分,其取決於阻劑及顯影製程的類型。顯影後之阻劑316亦可稱作阻劑圖案316。在另一實施例中,第一光微影製程可應用其他技術,例如:不需要使用光罩之電子束直接寫入技術。
請參照第3F-1及3F-2圖,蝕刻硬罩幕層310以使之具有群組200A之幾何圖形。上述步驟包括一或多個蝕刻製程。舉例而言,經由阻劑圖案316之開口蝕刻中間層314,經由中間層314之開口蝕刻底層312,以及經由底層312之開口蝕刻硬罩幕層310。移除阻劑圖案316、中間層314以及底層312而留下圖案化之硬罩幕層310於硬罩幕層308之上。上述用來形成硬罩幕層310之開口的蝕刻製程並未(或幾乎沒有)蝕刻硬罩幕層308。圖案化硬罩幕層310形成了第一複數個心軸圖案於硬罩幕層308之上,其對應於心軸圖案202(或212)之群組200A(或210A)。
上述蝕刻製程可使用乾式(電漿)蝕刻、濕式蝕刻或其他適當之蝕刻方法。舉例而言,乾式蝕刻製程可使用含氧氣體(oxygen-containing gas)、含氟氣體(fluorine-containing gas,例如:CF4、SF6、CH2F、CHF3及/或C2F6)、含氯氣體(chlorine-containing gas,例如:Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(bromine-containing gas,例如:HBr及/或CHBR3)、含碘氣體(iodine-containing gas)、其他適當之氣體及/或電漿及/或上述之組合。舉例而言,濕式蝕刻製程可包括在稀釋之氫氟酸(diluted hydrofluoric acid,DHF)、氫氧化鉀(KOH)溶液、氨水(ammonia)、含有氫氟酸、硝酸(HNO3)及/或醋酸(CH3COOH)之溶液、或其他適當之濕蝕刻劑中進行蝕刻。可使用電漿灰化製程或光阻剝離製程移除阻劑圖案316。
於步驟136中,方法100(第1B圖)沉積另一硬罩幕層318於硬罩幕層308之上,以為第二光微影製程作好準備。如第3G-1及3G-2圖所示,形成硬罩幕層318於硬罩幕層308之上且覆蓋圖案化硬罩幕層310。可使用介電材料(例如:氧化矽、氮化矽、氮氧化矽或低介電常數介電材料)形成硬罩幕層318。硬罩幕層318相對於硬罩幕層310及308具有不同之蝕刻選擇性。
於步驟138中,方法100(第1B圖)以包括第二光微影之製程圖案化硬罩幕層318以使之具有心軸圖案202(或212)之群組200B(或210B)的幾何圖形。請參照第3H-1及3H-2圖,形成阻劑圖案320於硬罩幕層318之上。可旋轉塗佈阻劑層於硬罩幕層318上、將阻劑層暴露於對應群組200B(或210B)之圖案、進行曝光後烘烤製程以及顯影阻劑層以形成阻劑圖案320。如第 3I-1及3I-2圖所示,使用阻劑圖案320作為蝕刻罩幕蝕刻硬罩幕層318,以形成第二複數個心軸圖案於硬罩幕層308之上,其對應於群組200B(或210B)。請參照第3J-1及3J-2圖,同時使用圖案化硬罩幕層310及圖案化硬罩幕層318作為蝕刻罩幕蝕刻硬罩幕層308,以形成心軸圖案202(或212)於硬罩幕層308中。用於硬罩幕層318及308之蝕刻製程可各自為乾式蝕刻、濕式蝕刻或其他適當之蝕刻方法。第3J-1及3J-2圖係以島型之心軸圖案202為例子進行說明。類似的製程可用來形成溝槽型心軸圖案212,例如:沉積材料層於圖案化硬罩幕層308之上、平坦化上述材料層之上表面以露出圖案化硬罩幕層308以及移除圖案化硬罩幕層308以形成溝槽型心軸圖案212於上述材料層中。
於步驟106中,方法100(第1A圖)可視情況進行削減製程(cut process)以移除一或多個心軸圖案202(或212)。在一實施例中,削減製程係為另一光微影製程,其形成光罩元件於部分之心軸圖案202(或212)上並露出另一部分之心軸圖案202(或212)。接著,進行另一蝕刻製程以移除心軸圖案202之露出部分,或者進行沉積製程以填充心軸圖案212之露出部分。將於後文配合第5A至5E圖進一步說明上述之削減製程。
於步驟108中,方法100(第1A圖)形成間隔物222於由圖案化硬罩幕層308所形成之心軸圖案202(或212)之側壁上。如第3K-1及3K-2圖所示,沉積間隔物層222於圖案化目標層306及圖案化硬罩幕層308之上以作為毯覆層。在一些實施例中,間隔物層222包括氮化物(例如:氮化矽或氮化鈦)且可使用化學氣相沉積法、物理氣相沉積法、原子層沉積法或其他適當 之沉積方法沉積上述間隔物層222。如第3L-1及3L-2圖所示,進行異向性(anisotropic)蝕刻製程(乾式蝕刻)以從圖案化目標層306及圖案化硬罩幕層308之上表面移除部分之間隔物層222。其他部分之間隔物層222則殘留在心軸圖案308之側壁上而成為間隔物222。在本實施例中,間隔物222之厚度Tx大約等同於第2A及2B圖中之間距Sx
於步驟110中,方法100(第1A圖)移除心軸圖案202(或212)以形成至少有一部分被間隔物222圍繞之溝槽223。第3M圖繪示出間隔物222及溝槽223之上視圖,而第3N-1及3N-2圖則各自繪示出半導體裝置300沿著第3M圖之切割線“1-1”及“2-2”的剖面圖。顧及前述各個光微影及蝕刻製程之尺寸變異,溝槽223之尺寸大體吻合第2A圖中心軸圖案202(或第2B圖中心軸圖案212)之尺寸。溝槽223之幾何形狀符合前述之一般原則(亦即,奈米區域226之矩形或方形陣列將形成於每個溝槽223中且上述陣列至多具有四列及四行)。另外,一些溝槽223在每一側皆被間隔物222圍繞,然而一些溝槽223僅部分地被間隔物222圍繞。舉例而言,溝槽223A、223B及223C係各自被間隔物222A、222B及222C完全地圍繞,而溝槽223D則在其三側被間隔物222A、222B及222C圍繞。進一步而言,間隔物222係相互連接。舉例而言,間隔物222A之角部連接間隔物222B之角部,間隔物222B之另一角部連接間隔物222C之角部。間隔物222A及222C係設置於使用第一光微影所形成之心軸圖案202(或212)之側壁上,而間隔物222B則設置於使用第二光微影所形成之心軸圖案202(或212)之側壁上。在另外一個例 子中,間隔物222D與間隔物222B共用一側。
於步驟112中,方法100(第1A圖)處理間隔物222及圖案化目標層306之表面。步驟112可使用電漿處理,或以塗佈(coating)及/或清洗(rinsing)製程將表面改質材料應用於間隔物222及圖案化目標層306。上述處理使得間隔物222及圖案化目標層306之表面適用於後續之定向自組裝製程(亦即,嵌段共聚合物將被誘發以形成第一及第二組成高分子,其中第二組成高分子圍繞第一組成高分子且第一組成高分子包括方位垂直於基板302之奈米區域)。舉例而言,上述處理可使得間隔物222及圖案化目標層306之表面更具親水性或疏水性,其係根據所使用之嵌段共聚合物而定。
於步驟114中,方法100(第1A圖)沉積嵌段共聚合物324至溝槽223中。如第3O-1及3O-2圖所示,在一些實施例中,嵌段共聚合物324係選自於以下材料所組成之群組:聚苯乙烯-嵌段-聚乙烯基吡啶(poly(styrene-b-vinyl pyridine))、聚苯乙烯-嵌段-聚丁二烯(poly(styrene-b-butadiene))、聚苯乙烯-嵌段-聚異戊二烯(poly(styrene-b-isoprene))、聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(poly(styrene-b-methyl methacrylate))、聚苯乙烯-嵌段-聚烯基芳香族物(poly(styrene-b-alkenyl aromatics))、聚異戊二烯-嵌段-聚環氧乙烷(poly(isoprene-b-ethylene oxide))、聚苯乙烯-嵌段-聚乙烯丙烯(poly(styrene-b-(ethylene-propylene)))、聚環氧乙烷-嵌段-聚己內酯(poly(ethylene oxide-b-caprolactone))、聚丁二烯-嵌段-聚環氧乙烷(poly(butadiene-b-ethylene oxide))、聚苯乙烯-嵌段- 聚(甲基)丙烯酸叔丁酯(poly(styrene-b-t-butyl(meth)acrylate))、聚甲基丙烯酸甲酯-嵌段-聚甲基丙烯酸叔丁酯(poly(methyl methacrylate-b-t-butyl methacrylate))、聚環氧乙烷-嵌段-聚環氧丙烷(poly(ethylene oxide-b-propylene oxide))、聚苯乙烯-嵌段-聚四氫呋喃(poly(styrene-b-tetrahydrofuran))以及上述嵌段共聚合物之組合。其他實施例亦可使用具有疏水性(或親水性)之第一組成及親水性(或疏水性)之第二組成的共聚合物材料324以利於分離組成高分子。於本實施例中係以塗佈或旋轉塗佈製程沉積嵌段共聚合物324。
於步驟116中,方法100(第1A圖)於嵌段共聚合物324中誘發微相分離(亦即,分離(segregate)嵌段共聚合物324中之組成高分子)。第3P圖繪示出半導體裝置300之上視圖,而第3Q-1及3Q-2圖則各自繪示出半導體裝置300沿著第3P圖之切割線“1-1”及“2-2”的剖面圖。如第3P圖所示,在本實施例中,嵌段共聚合物324包括兩組成高分子,亦即第一組成高分子(或第一奈米區域)226及第二組成高分子(或第二奈米區域)228。上述第一及第二組成高分子226及228之尺寸、形狀及配置取決於各種因素,例如:所使用之材料、組成高分子之相對數量、製程參數(例如:溫度)、間隔物222之表面性質以及其他因素。間隔物222充當用於微相分離之引導圖案。第一組成高分子226之陣列係形成於每個溝槽223中(第3M圖)。在本實施例中,上述陣列為1x2之陣列(或2x1之陣列)。此外,每個第一組成高分子226係為柱狀且被第二組成高分子228圍繞。進一步而言,第一 及第二組成高分子226及228之方位係垂直基板302。於各實施例中,誘發微相分離之步驟可包括加熱、冷卻、引入溶劑、應用磁場及/或其他技術。
於步驟118中,方法100(第1A圖)可視情況進行削減製程以移除一或多個第一及第二組成高分子226及228。在一實施例中,上述削減製程係為另一光微影製程,其形成光罩元件於部分之第一及第二組成高分子226及228之上並露出另一部分之第一及第二組成高分子226及228。接著,進行一或多個沉積及/或蝕刻製程以移除第一及第二組成高分子226及228露出的部分,使其不存在於後續之圖案轉移製程中。後續將配合第6A至6F圖進一步敘述上述削減製程。
於步驟120中,方法100(第1A圖)轉移對應於第一組成高分子226或第二組成高分子228其中一者之圖案至基板302。如第3R-1至3S-2圖所示,在本實施例中,轉移對應於第一組成高分子226之圖案至圖案化目標層306。如第3R-1及3R-2所示,以蝕刻製程選擇性地移除第一組成高分子226,上述蝕刻製程並未蝕刻或幾乎沒有蝕刻間隔物222及第二組成高分子228而形成了開口330。如第3S-1及3S-2圖所示,經由開口330蝕刻圖案化目標層306,以轉移圖案至圖案化目標層306而使之具有複數個溝槽332。接著,移除間隔物222及第二組成高分子228。在一實施例中,溝槽332係為接觸孔,於上述接觸孔中可形成有接觸特徵,例如:源極接觸、汲極接觸、閘極接觸以及連接不同金屬互連層的介層窗(via)。
於步驟122中,方法100(第1A圖)形成目標圖案或裝 置。舉例來說,方法100形成接觸於接觸孔332中。舉例而言,方法100可形成阻障層於接觸孔332之側壁上且於後續填入導電材料於接觸孔332中。上述阻障層可包括鉭(Ta)、氮化鉭(TaN)或其他適當之金屬擴散阻障材料(metal-diffusion barrier material),且可使用化學氣相沉積法、物理氣相沉積法、原子層沉積法或其他適當之製程沉積上述阻障層。導電材料可使用鋁(Al)、鎢(W)、銅(Cu)、鈷(Co)、上述之組合或其他適當之材料,且可使用適當之製程沉積上述導電材料,例如:化學氣相沉積法、物理氣相沉積法、電鍍(plating)及/或其他適當之製程。
第4A至4F圖繪示出方法100(第1A圖)一實施例之步驟104至步驟116,其心軸圖案係僅為局部陣列(partial array)。如第4A圖所示,目標圖案400包括看似不規則排列之心軸圖案202。心軸圖案202之群組400A形成了局部陣列,其係為陣列200A(第2A圖)之子集(subset)。心軸圖案202之群組400B形成了另一局部陣列,其係為陣列200B(第2A圖)之子集。群組400A之列與群組400B之列交插。群組400A之行與群組400B之行交插。如先前對應第2A圖所述之內容,心軸圖案202具有被限制的尺寸。第4B圖繪示出另一目標圖案410,其包括心軸圖案212。心軸圖案212之群組410A形成了局部陣列,而心軸圖案212之另一群組410B則形成了另一局部陣列。目標圖案400及410兩者類似,除了心軸圖案202係為島型而心軸圖案212係為溝槽型。如先前對應步驟104所述之內容,可形成心軸圖案202及212於基板之上。如第4C及4D圖所示,以類似於步驟108之方式形成間隔物222於心軸圖案202及212之側壁上。如第4E圖 所示,以類似於步驟110之方式移除心軸圖案而留下溝槽223,上述溝槽223至少三側被間隔物222圍繞。如第4F圖所示,形成奈米區域226之矩形或方形陣列於每個溝槽223中,如先前對應於步驟112、114及116所述之內容,上述陣列具有被限制的尺寸。
第4A及4B圖中所示之心軸圖案可以是本來就設計成如此,或者藉由使用前述對應於步驟106之削減製程從第2A及2B圖中所示之心軸圖案衍伸而得,上述削減製程係更繪示於第5A至5E圖中。如第5A圖所示,心軸圖案202係排列成如前述之列及行相互交插之兩陣列200A及200B。如第5B圖所示,舉例而言,可於另一光微影製程中使用削減圖案504以移除一些心軸圖案202。在一實施例中,上述削減製程形成光罩元件於心軸圖案202之上,且上述光罩元件露出心軸圖案202之與削減圖案504重疊(overlapping)的部分。接著,以選擇性蝕刻製程移除上述心軸圖案202之部分。如第5C圖所示,殘留之心軸圖案202形成了如前文對應第4A圖所述之局部陣列,且間隔物222係形成於心軸圖案202之側壁上。如第5D圖所示,移除心軸圖案202以形成溝槽223。如第5E圖所示,形成奈米區域226之矩形或方形陣列於每個溝槽223中,上述陣列具有被限制的尺寸。
第6A至6F圖繪示出如前文對應步驟118所述之削減製程。第6A圖繪示出心軸圖案202,其配置在兩相互交插之陣列200A及200B中。第6B圖繪示出設置於心軸圖案202之側壁上的間隔物222。第6C圖繪示出被間隔物222圍繞之溝槽223。第6D圖繪示出使用間隔物222作為引導圖案所形成之奈米區域 226。如第6E圖所示,使用光微影製程形成削減圖案630,以移除奈米區域226之一部分。第6F圖繪示出在削減製程後所殘留下來之用以圖案轉移之奈米區域226。在一實施例中,如第6G-1及6G-2圖(其各自為半導體裝置300沿著第6F圖之切割線“1-1”及“2-2”之剖面圖)中所示,以介電材料填充溝槽330以形成削減圖案630。
第1C圖根據本發明實施例之面向繪示出步驟104之另一實施例。在此實施例中,步驟104包括沉積硬罩幕層310於基板302上之步驟132及使用第一光微影形成第一心軸圖案陣列於硬罩幕層310中之步驟134。步驟104之其他部分將配合第7A-1至7F-2圖(半導體裝置300沿著第2A圖之切割線“1-1”及“2-2”各自的剖面圖)於後文簡明地敘述。
於步驟135中,沉積緩衝層340於基板302之上以將心軸圖案310覆蓋於其下並提供平坦之上表面(第7A-1及7A-2圖)。在一些實施例中,緩衝層340包括一或多種包括矽之高分子且可使用旋轉塗佈法及/或適當之沉積方法形成。於步驟137中,形成溝槽344於緩衝層340中。如第7B-1及7B-2圖所示,使用第二光微影製程形成阻劑圖案342於緩衝層上並提供溝槽344。如第7C-1及7C-2圖所示,以阻劑圖案342作為蝕刻罩幕蝕刻緩衝層340,以將溝槽344延伸至緩衝層340中。於步驟136’中,將硬罩幕介電材料346填充於溝槽344中以作為心軸圖案之第二陣列(第7D-1及7D-2圖)。於步驟138’中,使用化學機械研磨製程(CMP)平坦化半導體裝置300以露出心軸圖案310。接著,以蝕刻製程移除緩衝層340並留下心軸圖案310及346於硬 罩幕層308之上(第7E-1及7E-2圖)。接著,以心軸圖案310及346作為蝕刻罩幕蝕刻硬罩幕層308而形成心軸圖案於硬罩幕層308中(第7F-1及7F-2圖)。
本發明之一或多個實施例提供半導體裝置及其形成許多好處,但非以此為限。舉例而言,本發明實施例提供用於定向自組裝製程之引導圖案及其形成方法。上述引導圖案具有被限制的尺寸及配置。引導圖案”引導”定向自組裝製程以形成排列成矩形或方形陣列之柱狀奈米區域。上述奈米區域之配置具有適用於現存之積體電路設計及製造流程之優點,例如:適用於接觸孔之設計及形成。
在一例示性的面向中,本發明實施例係有關於一種半導體裝置之形成方法。上述方法包括提供基板、形成複數個心軸圖案於上述基板之上以及形成複數個間隔物於上述心軸圖案之側壁上。上述方法亦包括移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽。上述方法亦包括沉積共聚合物材料於上述溝槽之中(上述共聚合物材料係為定向自組裝)以及於上述共聚合物材料中誘發微相分離以定義出被第二組成高分子圍繞之第一組成高分子。
在另一例示性的面向中,本發明實施例係有關於一種半導體裝置之形成方法。上述方法包括提供基板、形成複數個心軸圖案於上述基板之上、形成複數個間隔物於上述心軸圖案之側壁上、以及移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽。上述方法亦包括沉積共聚合物材料於上述溝槽之中(上述共聚合物材料係為定向自組裝)以及於上 述共聚合物材料中誘發微相分離以定義出被第二組成高分子圍繞之第一組成高分子。上述方法亦包括轉移對應於第一組成高分子之圖案或對應於第二組成高分子之圖案至上述基板。
在另一例示性的面向中,本發明實施例係有關於一種半導體裝置之形成方法。上述方法包括提供基板、以第一光微影製程形成第一心軸圖案陣列於上述基板之上以及以第二光微影製程形成第二心軸圖案陣列於上述基板之上。第一陣列之列與第二陣列之列交插,且第一陣列之行與第二陣列之行亦交插。上述方法亦包括形成複數個間隔物於上述心軸圖案之側壁上、以及移除上述心軸圖案以形成至少部分被上述間隔物圍繞之複數個溝槽。上述方法亦包括沉積共聚合物材料於上述溝槽之中(上述共聚合物材料係為定向自組裝)以及於上述共聚合物材料中誘發微相分離以定義出被第二組成高分子圍繞之第一組成高分子。上述方法亦包括將對應於第一組成高分子之圖案轉移至上述基板。
上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本發明實施例之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與本發明實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本發明實施例之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本發明實施例的精神及範圍。

Claims (13)

  1. 一種半導體裝置之形成方法,包括:提供一基板;形成複數個心軸圖案(mandrel patterns)於該基板之上;形成複數個間隔物於該些心軸圖案之側壁上;移除該些心軸圖案以形成至少部分被該些間隔物圍繞之複數個溝槽,其中在一上視圖中,該些溝槽為矩形;沉積一共聚合物材料(copolymer material)於該些溝槽之中,其中該共聚合物材料係為定向自組裝(directed self-assembling);以及於該共聚合物材料中誘發微相分離(microphase separation)以定義出於該上視圖中被一第二組成高分子整個圍繞之一第一組成高分子。
  2. 如申請專利範圍第1項所述之半導體裝置之形成方法,更包括:於沉積該共聚合物材料之步驟之前,處理該些間隔物之表面。
  3. 如申請專利範圍第1項所述之半導體裝置之形成方法,其中於每個溝槽之中該第一組成高分子包括一島狀物陣列(array of islands),該島狀物陣列具有最多四列(rows)及最多四行(columns)。
  4. 如申請專利範圍第1項所述之半導體裝置之形成方法,其中該些溝槽之尺寸大約相同。
  5. 如申請專利範圍第1項所述之半導體裝置之形成方法,其中在該上視圖中,該些間隔物之一第一間隔物圍繞該些溝槽之一第一溝槽,該些間隔物之一第二間隔物圍繞該些溝槽之一第二溝槽,且該第一間隔物之一第一角部連接該第二間隔物之一第二角部。
  6. 如申請專利範圍第5項所述之半導體裝置之形成方法,其中在該上視圖中,該些間隔物之一第三間隔物圍繞該些溝槽之一第三溝槽,且該第二間隔物之一第三角部連接該第三間隔物之一第四角部,其中該些溝槽之一第四溝槽於其三側被該第一間隔物、第二間隔物及第三間隔物所圍繞,而剩餘之一側則為開放的。
  7. 如申請專利範圍第5項所述之半導體裝置之形成方法,其中該第一間隔物係形成於該些心軸圖案之一第一心軸圖案之側壁上,該第二間隔物係形成於該些心軸圖案之一第二心軸圖案之側壁上,且該第一心軸圖案及該第二心軸圖案係由不同之光微影製程所形成。
  8. 如申請專利範圍第1-7項中任一項所述之半導體裝置之形成方法,其中該些心軸圖案為島型圖案(island patterns)或溝槽圖案(trench patterns)。
  9. 一種半導體裝置之形成方法,包括:提供一基板;形成複數個心軸圖案於該基板之上;形成複數個間隔物於該些心軸圖案之側壁上;移除該些心軸圖案以形成至少部分被該些間隔物圍繞之複數個溝槽,其中在一上視圖中,該些溝槽為矩形;沉積一共聚合物材料於該些溝槽之中,其中該共聚合物材料係為定向自組裝;於該共聚合物材料中誘發微相分離以定義出於該上視圖中被一第二組成高分子整個圍繞之一第一組成高分子;以及轉移對應於該第一組成高分子之圖案或對應於該第二組成高分子之圖案至該基板。
  10. 如申請專利範圍第9項所述之半導體裝置之形成方法,其中形成該些心軸圖案之步驟包括:進行一第一光微影製程以形成一第一心軸圖案陣列於該基板之上;以及進行一第二光微影製程以形成一第二心軸圖案陣列於該基板之上,其中該第一陣列之列與該第二陣列之列係為交插(interleaved)排列,該第一陣列之行與該第二陣列之行亦為交插排列。
  11. 如申請專利範圍第9項所述之半導體裝置之形成方法,其中該些心軸圖案之尺寸係被控制,以在誘發該微相分離之步驟後形成該第一組成高分子之一島狀物陣列於每個溝槽中,且該島狀物陣列具有最多四列及最多四行。
  12. 如申請專利範圍第9-11項中任一項所述之半導體裝置之形成方法,更包括:在形成該些間隔物之步驟之前,進行一削減製程(cut process)以至少移除該些心軸圖案之其中之一。
  13. 如申請專利範圍第9-11項中任一項所述之半導體裝置之形成方法,更包括:在轉移該圖案之步驟之前,進行一削減製程以部分地移除該第一組成高分子。
TW106106795A 2016-03-18 2017-03-02 半導體裝置之形成方法 TWI657483B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662310020P 2016-03-18 2016-03-18
US62/310,020 2016-03-18
US15/197,467 2016-06-29
US15/197,467 US10056265B2 (en) 2016-03-18 2016-06-29 Directed self-assembly process with size-restricted guiding patterns

Publications (2)

Publication Number Publication Date
TW201801143A TW201801143A (zh) 2018-01-01
TWI657483B true TWI657483B (zh) 2019-04-21

Family

ID=59847754

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106795A TWI657483B (zh) 2016-03-18 2017-03-02 半導體裝置之形成方法

Country Status (4)

Country Link
US (2) US10056265B2 (zh)
KR (1) KR101903853B1 (zh)
CN (1) CN107204279B (zh)
TW (1) TWI657483B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
CN107742608B (zh) * 2017-11-23 2020-11-13 长江存储科技有限责任公司 双重图形侧墙掩膜刻蚀工艺
CN117038645B (zh) * 2023-10-10 2023-12-22 合肥新晶集成电路有限公司 半导体结构及其制备方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059438A1 (en) * 2011-09-06 2013-03-07 Semiconductor Manufacturing International (Beijing) Corporation Method for forming pattern and mask pattern, and method for manufacturing semiconductor device
JP2013068882A (ja) * 2011-09-26 2013-04-18 Toshiba Corp パターン形成方法
US20130183827A1 (en) * 2012-01-13 2013-07-18 Micron Technology, Inc. Methods Of Patterning Substrates
JP2013165151A (ja) * 2012-02-10 2013-08-22 Toshiba Corp パターン形成方法
US20140248439A1 (en) * 2013-03-01 2014-09-04 Kabushiki Kaisha Toshiba Pattern formation method
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US20150132964A1 (en) * 2013-11-08 2015-05-14 Kabushiki Kaisha Toshiba Method of Patterning
US20150262837A1 (en) * 2014-03-14 2015-09-17 Kabushiki Kaisha Toshiba Pattern forming method
US20150380252A1 (en) * 2014-06-27 2015-12-31 Globalfoundries Inc. Sidewall image templates for directed self-assembly materials

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5792918B2 (ja) * 2000-08-14 2015-10-14 サンディスク・スリー・ディ・リミテッド・ライアビリティ・カンパニーSandisk 3D Llc 高集積メモリデバイス
US6818519B2 (en) * 2002-09-23 2004-11-16 Infineon Technologies Ag Method of forming organic spacers and using organic spacers to form semiconductor device features
KR100450686B1 (ko) * 2002-12-12 2004-10-01 삼성전자주식회사 자기정렬 콘택플러그를 구비한 반도체 소자 및 그 제조방법
KR100585138B1 (ko) * 2004-04-08 2006-05-30 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7763534B2 (en) * 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8557128B2 (en) * 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8361699B2 (en) * 2008-02-05 2013-01-29 Nil Technology Aps Method for performing electron beam lithography
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US8084310B2 (en) * 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
JP2011129874A (ja) * 2009-11-19 2011-06-30 Toshiba Corp パターン形成方法及びパターン形成装置
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
US8883649B2 (en) * 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
JP2013174766A (ja) 2012-02-27 2013-09-05 Toshiba Corp マスクパターン作成方法、マスクパターン作成プログラムおよび半導体装置の製造方法
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
JP5758363B2 (ja) * 2012-09-07 2015-08-05 株式会社東芝 パターン形成方法
US9087699B2 (en) * 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8894869B2 (en) * 2012-11-13 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process using directed self assembly
CN103839781B (zh) * 2012-11-21 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
FR3001306A1 (fr) * 2013-01-18 2014-07-25 Commissariat Energie Atomique Procede de fabrication d'un reseau de conducteurs sur un substrat au moyen de copolymeres a blocs
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US20140234466A1 (en) * 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9312220B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9153478B2 (en) * 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9012270B2 (en) * 2013-03-15 2015-04-21 Globalfoundries Inc. Metal layer enabling directed self-assembly semiconductor layout designs
KR101772038B1 (ko) * 2013-03-15 2017-08-28 에이에스엠엘 네델란즈 비.브이. 블록 공중합체의 자가-조립에 의해 기판에 리소그래피 피처들을 제공하는 방법들
WO2014165530A1 (en) 2013-04-03 2014-10-09 Brewer Science Inc. Highly etch-resistant polymer block for use in block copolymers for directed self-assembly
US20150024597A1 (en) * 2013-07-16 2015-01-22 HGST Netherlands B.V. Method for sidewall spacer line doubling using polymer brush material as a sacrificial layer
US20150031207A1 (en) * 2013-07-29 2015-01-29 Applied Materials, Inc. Forming multiple gate length transistor gates using sidewall spacers
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9230820B2 (en) * 2013-10-30 2016-01-05 HGST Netherlands B.V. Method for directed self-assembly (DSA) of a block copolymer (BCP) using a blend of a BCP with functional homopolymers
US9070630B2 (en) * 2013-11-26 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9416447B2 (en) * 2014-02-07 2016-08-16 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
US9240329B2 (en) * 2014-02-23 2016-01-19 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US9293341B2 (en) * 2014-03-13 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9640397B2 (en) * 2014-03-14 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor integrated circuit using a directed self-assembly block copolymer
US9711646B2 (en) * 2014-03-31 2017-07-18 United Microelectronics Corp. Semiconductor structure and manufacturing method for the same
JP2016054214A (ja) 2014-09-03 2016-04-14 株式会社東芝 パターン形成方法
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
JP6413888B2 (ja) * 2015-03-30 2018-10-31 Jsr株式会社 パターン形成用組成物、パターン形成方法及びブロック共重合体
KR102317785B1 (ko) * 2015-05-12 2021-10-26 삼성전자주식회사 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9576817B1 (en) * 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9466534B1 (en) * 2015-12-09 2016-10-11 International Business Machines Corporation Cointegration of directed self assembly and sidewall image transfer patterning for sublithographic patterning with improved design flexibility
KR102577628B1 (ko) * 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9684236B1 (en) * 2016-03-17 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a film layer
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9947597B2 (en) * 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059438A1 (en) * 2011-09-06 2013-03-07 Semiconductor Manufacturing International (Beijing) Corporation Method for forming pattern and mask pattern, and method for manufacturing semiconductor device
JP2013068882A (ja) * 2011-09-26 2013-04-18 Toshiba Corp パターン形成方法
US20130183827A1 (en) * 2012-01-13 2013-07-18 Micron Technology, Inc. Methods Of Patterning Substrates
JP2013165151A (ja) * 2012-02-10 2013-08-22 Toshiba Corp パターン形成方法
US20140248439A1 (en) * 2013-03-01 2014-09-04 Kabushiki Kaisha Toshiba Pattern formation method
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US20140322917A1 (en) * 2013-04-23 2014-10-30 International Business Machines Corporation Grapho-epitaxy dsa process with dimension control of template pattern
US20150132964A1 (en) * 2013-11-08 2015-05-14 Kabushiki Kaisha Toshiba Method of Patterning
US20150262837A1 (en) * 2014-03-14 2015-09-17 Kabushiki Kaisha Toshiba Pattern forming method
US20150380252A1 (en) * 2014-06-27 2015-12-31 Globalfoundries Inc. Sidewall image templates for directed self-assembly materials

Also Published As

Publication number Publication date
TW201801143A (zh) 2018-01-01
US10056265B2 (en) 2018-08-21
CN107204279A (zh) 2017-09-26
CN107204279B (zh) 2019-12-27
US10692725B2 (en) 2020-06-23
US20170271164A1 (en) 2017-09-21
KR101903853B1 (ko) 2018-10-02
US20180350613A1 (en) 2018-12-06
KR20170108778A (ko) 2017-09-27

Similar Documents

Publication Publication Date Title
US10535532B2 (en) Multiple patterning method using mask portions to etch semiconductor substrate
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
KR102436100B1 (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
TWI545622B (zh) 藉由交叉多重圖案化層以增加圖案密度的方法
TWI596766B (zh) 半導體裝置與其製造方法
US10672614B2 (en) Etching and structures formed thereby
TWI691021B (zh) 半導體裝置及其製造方法
JP2017500744A (ja) リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト
US8735295B2 (en) Method of manufacturing dual damascene structure
US10229918B2 (en) Methods of forming semiconductor devices using semi-bidirectional patterning
WO2017087066A1 (en) Methods of forming etch masks for sub-resolution substrate patterning
TWI658493B (zh) 使用半雙向圖案化形成半導體裝置的方法以及島構件
KR101981867B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI657483B (zh) 半導體裝置之形成方法
US9543502B2 (en) Small pitch and high density contact array
TW202213451A (zh) 利用階梯間隔物的圖案縮減方法
CN103531528A (zh) 双镶嵌结构的制作方法
TWI840706B (zh) 製造半導體裝置和圖案化半導體結構的方法
TWI541879B (zh) 雙鑲嵌結構的製作方法