TWI606553B - 以單向加熱之使用強化玻璃之基板翹曲控制的技術 - Google Patents

以單向加熱之使用強化玻璃之基板翹曲控制的技術 Download PDF

Info

Publication number
TWI606553B
TWI606553B TW104127919A TW104127919A TWI606553B TW I606553 B TWI606553 B TW I606553B TW 104127919 A TW104127919 A TW 104127919A TW 104127919 A TW104127919 A TW 104127919A TW I606553 B TWI606553 B TW I606553B
Authority
TW
Taiwan
Prior art keywords
package substrate
substrate
package
support substrate
support
Prior art date
Application number
TW104127919A
Other languages
English (en)
Other versions
TW201622066A (zh
Inventor
啓楷 鐘
首藤隆之
Original Assignee
英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾公司 filed Critical 英特爾公司
Publication of TW201622066A publication Critical patent/TW201622066A/zh
Application granted granted Critical
Publication of TWI606553B publication Critical patent/TWI606553B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electric Connection Of Electric Components To Printed Circuits (AREA)
  • Chemical & Material Sciences (AREA)
  • Wire Bonding (AREA)
  • Structure Of Printed Boards (AREA)
  • Piezo-Electric Or Mechanical Vibrators, Or Delay Or Filter Circuits (AREA)

Description

以單向加熱之使用強化玻璃之基板翹曲控制的技術 發明領域
積體電路封裝基板。
發明背景
積體電路封裝提供用於積體電路晶片之若干功能,該等功能包括:將功率輸送至晶片;將資訊傳送至及傳送出晶片;散熱及保護晶片免遭實體及/或環境損害。達到封裝基板遭損壞或有缺陷之程度,則此等功能中之一或多者可受影響。
典型晶片封裝基板包括用樹脂材料製成之芯部基板,該芯部基板之厚度當前約為400微米(μm)及以下。介電材料之積層諸如為:ABF絕緣傳導性選路層,其連至封裝基板之表面(封裝基板之裝置側面)上的接觸點以用於連接至積體電路晶片;以及代表性的選路層,其連至封裝基板之後側上的接觸點以用於諸如電阻器及電容器的無源裝置,且連至接觸點以用於將封裝基板連接至諸如封裝基板之相對側上的印刷電路板之另一基板。
在封裝基板之製造期間,封裝基板可經受翹曲。 封裝基板之裝置側面上的過量凸狀翹曲(向外彎曲)可在回流焊接以將晶片連接至封裝基板期間導致敞開焊接接頭故障。隨著基板芯部變得更薄,關於翹曲的問題隨之增加。
依據本發明之一實施例,係特地提出一種一種方法,其包含:將一積體電路封裝基板夾持於一第一支撐基板與一第二支撐基板之間;將該經夾持的封裝基板自一單向暴露於一熱源;以及改變該封裝基板之一形狀。
100‧‧‧組件
110‧‧‧封裝基板/基板
115‧‧‧裝置側面
117‧‧‧後側
120、130‧‧‧接觸點
125‧‧‧焊料凸塊
135‧‧‧裝置/無源裝置
150‧‧‧支撐基板/第一支撐基板
155、165‧‧‧腔體
160‧‧‧支撐基板/第二支撐基 板
200‧‧‧爐
210‧‧‧模具
220‧‧‧夾具
225‧‧‧間隙
230‧‧‧氮源
235‧‧‧導管
250‧‧‧箭頭
300‧‧‧電腦組件
305‧‧‧微處理器
310‧‧‧封裝基板
325‧‧‧印刷電路板
400‧‧‧計算裝置
402‧‧‧板/母板
404‧‧‧處理器
406‧‧‧通訊晶片
t1、t2‧‧‧厚度
d1‧‧‧深度/深度尺寸
d2‧‧‧深度
W‧‧‧寬度
w‧‧‧寬度尺寸
L‧‧‧長度
l‧‧‧長度尺寸
圖1展示包括安置於第一支撐基板與第二支撐基板之間的封裝基板之組件的示意性側視圖。
圖2展示圖1之組件的俯視圖。
圖3展示圖1之安置於回流爐中之組件。
圖4為包括包裹於封裝基板中的微處理器之行動電腦。
圖5展示計算裝置之實施例。
較佳實施例之詳細說明
在一個實施例中,一種方法描述為可減少諸如封裝基板之基板的動態及靜態翹曲。代表性地,該方法包括:將積體電路封裝基板夾持於第一支撐基板與第二支撐基板之間。經夾持的封裝基板自單向暴露於熱源。此種單一方向熱暴露將有傾向改變封裝基板之形狀。可將具有隨機形 狀、鞍形狀或大體為凸狀形狀之封裝基板的裝置側面表面改變為大體上平面或些微凹狀形狀,以改良積體電路晶片至該裝置側面表面之連接。類似地,可將具有大體平面表面之封裝基板的裝置側面表面類似地改變為些微凹狀形狀,以改良晶片至該裝置側面表面之連接。本案亦描述一種組件,在一個實施例中,該組件可操作以支撐封裝基板以用於單一方向熱處理。
圖1展示組件之實施例。組件100包括安置於第一支撐基板與第二支撐基板之間的積體電路封裝基板。在一個實施例中,封裝基板110包括用樹脂材料製成之芯部,該芯部具有:約400μm及以下的厚度;百萬分(ppm)之5至7每攝氏溫度的熱膨脹係數;295℃ TMA/260℃ DMA之玻璃態轉化溫度(Tg);以及在室溫下為32吉帕斯卡(GPA)且在高溫下為19 GPA之楊氏模數。一或多個選路層在裝置側面(裝置側面115)上疊置於封裝基板之芯部之上,該一或多個選路層由介電材料(例如,ABF)絕緣。此類選路層連接至封裝基板之表面上的接觸點120,該等選路層可操作用於將積體電路晶片或晶粒連接至封裝基板110。在一個實施例中,例如像錫合金的無鉛焊料合金之焊料凸塊安置於接觸點120上。一或多個選路層在相對於裝置側面的側面(後側)上疊置於芯部之上,該一或多個選路層由介電材料(例如,ABF)絕緣。此類選路層連接至封裝基板之表面上的接觸點130,該等選路層可操作用於將一或多個諸如電容器及/或電阻器的無源裝置之連接。圖1展示位於封裝基板110之後側117上的接 觸點130及無源裝置135,無源裝置135藉由例如接觸點130與裝置135之間的焊料膏之方式(藉由表面安裝技術製程之方式)連接至接觸點130。在一個實施例中,芯部之後側上的此類選路層中之若干者亦連接至安置於封裝基板110之後側117上的針柵陣列,以將封裝基板連接至諸如印刷電路板的另一基板。
組件100展示安置於第一支撐基板150與第二支撐基板160之間的封裝基板110。如所例示,在此實施例中,第一支撐基板150位於封裝基板110之裝置側面115上,且支撐基板160安置於基板之後側117上。參考支撐基板150,在一個實施例中,形成支撐基板150之材料所具有的熱膨脹係數小於封裝基板110之芯部的熱膨脹係數。在一個實施例中,合適材料為具有約小於5ppm/K熱膨脹係數的強化玻璃。在一個實施例中,支撐基板150之主體具有厚度t,該主體可操作以支承封裝基板110之重量。
在一個實施例中,組件100之第一支撐基板150的二維面積小於基板110之二維面積。圖2展示圖1之組件100的俯視圖並展示第一支撐基板150,第一支撐基板150具有限定其二維面積的長度尺寸l及寬度尺寸w。在一個實施例中,第一支撐基板150之二維面積為封裝基板110之由長度L與寬度W限定之二維面積的百分之75至90。
再次參考圖1,在一個實施例中,第一支撐基板150包括具有深度尺寸d1之腔體155,該腔體155足以避免對接觸點120及/或位於此類接觸點上的焊料凸塊125進行接 觸。在一個實施例中,該腔體之深度d1等於焊料凸塊之最大高度。在一個實施例中,腔體155之二維面積相對於裝置側面115之表面限於包圍接觸點120/焊料凸塊125之陣列。在另一實施例中,腔體155之二維面積可大於可操作以包圍該陣列之區域。
第二支撐基板160在圖1中安置於組件100之封裝基板110的後側117上。在一個實施例中,第二支撐基板160具有長度及寬度尺寸來限定至少等於基板110之二維面積的二維面積。代表性地,在一個實施例中,第二支撐基板160為類似於用於第一支撐基板150之材料的材料。第二支撐基板160為所具有的熱膨脹係數小於封裝基板110之芯部材料的熱膨脹係數之材料。第二支撐基板160具有主體,該主體具有厚度t2,在一個實施例中,該主體經選擇以支承封裝基板110之重量。在一個實施例中,第二支撐基板160具有形成於其中的腔體165。腔體165具有由深度d2限定的體積,該腔體165足以避免對封裝基板110之後側117上的接觸點130及任何無源裝置或插針進行接觸。在一個實施例中,腔體165具有深度d2,深度d2等於無源裝置位於接觸點130之上再於裝置135上加一間隙之厚度,且該深度d2在50~100微米之代表性範圍內。
在第一支撐基板150及第二支撐基板160各為強化玻璃之實施例中,在一個實施例中,強化玻璃經拋光以避免在支撐基板與封裝基板110接觸之後的任何按壓痕跡。在一個實施例中,與基板110接觸的第一支撐基板150與第 二支撐基板160之表面具有小於5微米之翹曲/粗糙度。
封裝基板翹曲之典型關注問題為,當自裝置側面察看封裝基板之形狀時,該形狀為凸狀,以使得封裝之側面向外延伸。在此情況中,封裝基板之裝置側面(裝置側面115)上的接觸點(接觸點120)之陣列為非共面的。參考圖1,其中封裝基板110之裝置側面115面向上,封裝基板之凸狀形狀意謂接觸點中之陣列的周邊上的接觸點將低於位於陣列之中心的接觸點之平面(在更加靠近封裝基板之中點的接觸點下方),如組件100之分解插圖所示。由於封裝基板之尤其位於陣列之周邊上的接觸點與待附接至該等接觸點的晶粒或晶片之對應接觸點之間增加的距離,凸狀形狀潛在導致封裝基板之裝置側面上的敞開焊接接頭。在一個實施例中,需要產生具有無翹曲(全部接觸點120為共面的或零凸狀翹曲)之形狀的封裝基板。在另一實施例中,可接受具有一種封裝基板,其中該封裝基板之裝置側面具有凹狀形狀,該凹狀形狀具有沿凹狀方向達至30微米之翹曲。在晶粒附接至所具有之裝置側面具有些微凹狀形狀(例如,達至30μm凹狀)的封裝基板之裝置側面之後,封裝基板將有傾向朝著最低限度翹曲改變其形狀。
圖3展示圖1之倒置並安置於回流爐內側的組件。在一個實施例中,爐200具有熱源205以沿一個方向(在察看時為向下)引導熱量。圖3展示安置於模具210之內的組件100,模具210為例如具有尺寸來支撐位於其中的組件100之鋁模具。圖3亦展示夾具220,夾具220具有可操作來向組件 100施加壓力的相對臂部。
在一個實施例中,封裝基板110所具有之形狀包括相對於裝置側面115之凸狀翹曲。由於支撐基板之間的封裝基板之佈置,此種翹曲在圖1圖3中不明顯。參考圖3,爐200之內的基板110將有傾向使面向上的相對側朝向熱源205。將封裝基板110放置於爐200中並自一個方向(在察看時朝向後側117)加熱該爐,熱量將有傾向直接接觸基板110之一側(在此情況下為後側117)並致使此種側面相對於裝置側面115膨脹。封裝基板110之形狀將有傾向在自加熱側面翹曲離開(沿由箭頭250所指明之方向)之意義上改變。藉由第一支撐基板150之二維面積小於封裝基板110二維面積,該差值在支撐基板與模具210之間產生間隙225,因而達成該改變。因此,藉由使用一個方向加熱,可沿一個方向改變形狀,且可將相對於封裝基板110之裝置側面115的凸狀形狀改變為例如0至30微米凹狀之目標。
在一個實施例中,一種方法包括將安置於支撐基板之間的封裝基板110暴露於來自熱源205之熱量,該熱源205提供一個方向或單向加熱,該加熱溫度高於封裝基板上的焊料凸塊125之玻璃態轉化溫度但低於焊料凸塊125之熔點。代表性地,合適溫度為約180℃至200℃。在另一實施例中,合適溫度範圍為約180℃至250℃。使用約250℃之溫度允許用於無源裝置135的一次回流工藝,無源裝置135連接於封裝基板110之後側117上。代表性地,暴露於一個方向加熱可達一定時間段,該時間段足以改變封裝基板之形 狀。合適改變為:該改變在封裝基板110之裝置側面115上達成零翹曲(大體為平面)至朝著凹狀形狀為30微米之形狀。合適時間段為約1分鐘至30分鐘。
在一個實施例中,為了抑制接觸點或與封裝基板110相關聯的焊料材料之氧化,在其中具有最低限度氧之環境中完成將封裝基板110暴露於熱源。代表性地,爐200可包括連接至其處的氮源。在一個實施例中,氮源230可操作以通過導管235將氮引入爐200中。在一個實施例中,在具有氮及小於百萬分之100氧之環境中完成將封裝基板暴露於熱源之方法。跟隨將封裝基板暴露至熱源,可自爐200、模具210及支撐基板移除封裝基板。
所述使用一個方向(單一方向)加熱支撐基板之間的基板之方法允許產生具有一致地可接受平面度(及地面共面性)或具有最低限度凹度(例如,30μm或更小)之裝置側面表面。該方法可用於因具有不可接受翹曲之形狀(例如,在封裝基板之裝置側面上為過度凸狀形狀)而已被認為非可用的基板,或可在形成封裝基板中用作常規做法,該方法之兩種情況各一致地達成所需平面度或具有些微凹狀形狀(例如,0至30微米凹狀形狀)之裝置側面。
圖4展示電腦組件之實施例,在此實施例中,該電腦組件為行動電腦。微處理器安置於電腦組件300之內,該微處理器連接至封裝,且該封裝連接至印刷電路板。參考圖4,微處理器305連接至封裝基板310。封裝基板310通過例如針柵陣列之後側上連接至印刷電路板325。在一個實 施例中,根據以上所述方法製備封裝基板310之裝置側面,以使得經組裝的封裝(封裝基板310及微處理器305)大體為平面,以使得微處理器305與封裝基板之間的焊接得以達成。
圖5例示根據一個實行方案之計算裝置400。計算裝置400容納板402。板402可包括許多部件,包括但不限於處理器404及至少一個通訊晶片406。處理器404實體地且電氣地耦接至板402。在一些實行方案中,至少一個通訊晶片406亦實體地且電氣地耦接至板402。在其他實行方案中,通訊晶片406為處理器404之一部分。
取決於其應用,計算裝置400可包括其他部件,該等其他部件可為或可並未實體地且電氣地耦接至板402。此等其他部件包括但不限於依電性記憶體(例如,DRAM)、非依電性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、加密處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音訊編解碼器、視訊編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、攝影機及大容量儲存裝置(諸如硬碟片驅動機、光碟片(CD)、數位通用碟片(DVD)等)。
通訊晶片406允許用於將資料傳送至及傳送出計算裝置400之無線通訊。「無線」一詞及其衍生詞可用以描述可藉由調變的電磁輻射之使用經由非固體媒體傳達資料之電路、裝置、系統、方法、技術、通訊通道等。該術語並非暗示相關聯裝置不含有任何導線,然而在一些實施例 中該等裝置可能不含有任何導線。通訊晶片406可實行若干無線標準或協議中之任何者,包括但不限於Wi-Fi(IEEE 802.11族)、WiMAX(IEEE 802.16族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物以及指定為3G、4G、5G及以上的任何其他無線協議。計算裝置400可包括複數個通訊晶片406。例如,第一通訊晶片406可專用於較短範圍無線通訊,諸如Wi-Fi及藍牙,且第二通訊晶片406可專用於較長範圍無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置400之處理器404包括封裝於處理器404之內的積體電路晶粒。在一些實行方案中,以諸如以上所述方式使用封裝技術包括將封裝暴露於單一方向熱源,如上所述。「處理器」一詞可代表處理來自暫存器及/或記憶體之電子資料以將彼電子資料轉換成可儲存在暫存器及/或記憶體中之其他電子資料的任何裝置或裝置之一部分。
通訊晶片406亦包括封裝於通訊晶片406之內的積體電路晶粒。根據另一實行方案,以諸如以上所述方式使用封裝技術包括將封裝暴露於單一方向熱源,如上所述。
在其他實行方案中,容納於計算裝置400之內的另一部件可以封裝技術含有積體電路晶粒,該封裝技術包括以諸如以上所述方式將封裝暴露於單一方向熱源,如上所述
在各種實行方案中,計算裝置400可為膝上型電腦、隨身型易網機、筆記型電腦、超極緻筆電、智慧型電話、平板電腦、個人數位助理(PDA)、超級行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位攝影機、可攜式音樂播放器或數位視訊記錄器。在其他實行方案中,計算裝置400可為處理資料的任何其他電子裝置。
實例
實例1為一種方法,該方法包括:將積體電路封裝基板夾持於第一支撐基板與第二支撐基板之間;將經夾持的封裝基板自單向暴露於熱源;以及改變封裝基板之形狀。
在實例2中,實例1之方法的封裝基板包括第一側面,該第一側面包括二維面積,且第一支撐基板經夾持至封裝基板之第一側面,第一支撐基板所包括的二維面積為封裝基板之第一側面面積的百分之75至95。
在實例3中,實例2之方法的熱源之單向為封裝基板之第一側面的側面上的方向。
在實例4中,實例2之方法的第二支撐基板所包括的二維面積至少等於封裝基板之面積。
在實例5中,實例1之方法的第一支撐基板之材料及第二支撐基板之材料為強化玻璃。
在實例6中,實例5之方法的強化玻璃得以拋光。
在實例7中,實例1之方法的封裝基板之第一側面 包括晶粒區域,且封裝基板之第一側面在改變形狀之前具有凸狀形狀。
在實例8中,改變實例7之方法的形狀包括將封裝基板之第一側面的凸狀形狀改變為凹狀形狀。
在實例9中,實例1或2中之任何者的方法之封裝基板包括暴露的焊料,且該方法進一步包括在減少氧的環境中將經夾持的封裝基板暴露於熱源。
在實例10中,實例1或2中之任何者的方法之熱源包括具有180℃至250℃之溫度的熱源。
在實例11中,在將經夾持的封裝基板暴露於熱源之前,實例10之方法包括將焊料膏引入於封裝基板之表面上,且將經夾持的封裝基板暴露於熱源包括在適於使焊料膏回流的溫度下暴露於熱源。
在實例12中,一種藉由實例1或2之方法中之任何者製作的封裝基板。
實例13為一種方法,該方法包括:將積體電路封裝基板夾持於第一支撐基板與第二支撐基板之間,其中封裝基板經組配來以後續晶粒附接製程接受積體電路晶粒,且第一支撐基板及第二支撐基板中之每一者包括強化玻璃;將經夾持的封裝基板自正交於封裝基板之表面的單向暴露於熱源;以及改變封裝基板之形狀。
在實例14中,實例13之方法的封裝基板包括第一側面,該第一側面包括二維面積,且第一支撐基板經夾持至封裝基板之第一側面,第一支撐基板所包括的二維面積 為封裝基板之第一側面面積的百分之75至95。
在實例15中,實例14之方法的熱源之單向為封裝基板之第一側面的側面上的方向。
在實例16中,實例14之方法的第二支撐基板所包括的二維面積至少等於封裝基板之面積。
在實例17中,實例13之方法的封裝基板之第一側面包括晶粒區域,且封裝基板之第一側面在改變形狀之前具有凸狀形狀。
在實例18中,改變實例12之方法的形狀包括將封裝基板之第一側面的凸狀形狀改變為凹狀形狀。
在實例19中,一種藉由實例13或14之方法中之任何者製作的封裝基板。
實施例20為一種設備,該設備包括第一支撐基板及第二支撐基板,該第一支撐基板及該第二支撐基板各包括的材料之熱膨脹係數小於積體電路封裝基板之材料的熱膨脹係數,第一支撐基板所包括的二維面積為封裝基板之第一側面面積的百分之75至95,且第二支撐基板所包括的二維面積至少等於封裝基板之面積,且第一支撐基板及第二支撐基板中之每一者包括在其中具有腔體之主體,以使得當在封裝基板之相對側上組裝時,每一腔體具有一體積尺寸,以使得支撐基板之主體不與封裝基板之有源區域接觸。
在實例21中,用於實例20之設備中的第一支撐基板及第二支撐基板中之每一者的材料包括強化玻璃。
在實例22中,實例21之設備中的強化玻璃得以拋光。
包括摘要中所述內容之本發明之所例示實行方案之以上描述不欲為窮舉性的或將本發明限制於所揭示之精確形式。雖然本文出於例示性目的描述本發明之特定實行方案或用於本發明之實例,但是本發明範疇內之各種等效修改為可能的,如相關技術中之技術者將認識到的。
可根據以上詳細描述對本發明做出此等修改。以下申請專利範圍中所用之術語不應理解為將本發明限制於說明書及申請專利範圍中所揭示之特定實行方案。實情為,本發明之範疇將完全由以下申請專利範圍決定,申請專利範圍應根據請求項解釋之所建立學說來理解。
100‧‧‧組件
110‧‧‧封裝基板/基板
115‧‧‧裝置側面
117‧‧‧後側
120、130‧‧‧接觸點
125‧‧‧焊料凸塊
135‧‧‧裝置/無源裝置
150‧‧‧支撐基板/第一支撐基板
155、165‧‧‧腔體
160‧‧‧支撐基板/第二支撐基板
t1、t2‧‧‧厚度
d1‧‧‧深度/深度尺寸
d2‧‧‧深度

Claims (20)

  1. 一種方法,其包含:將一積體電路封裝基板夾持於一第一支撐基板與一第二支撐基板之間,其中該封裝基板包含複數個接觸點可操作用於後續將一晶粒連接至該封裝基板用以形成一封裝體;將該經夾持的封裝基板自一單向暴露於一熱源;以及改變該封裝基板之一形狀。
  2. 如請求項1之方法,其中該封裝基板包含一第一側面,該第一側面包括一二維面積,且該第一支撐基板經夾持至該封裝基板之該第一側面,該第一支撐基板所包含的一二維面積為該封裝基板之該第一側面面積的百分之75至95。
  3. 如請求項2之方法,其中該熱源之該單向為該封裝基板之該第一側面的側面上之一方向。
  4. 如請求項2之方法,其中該第二支撐基板所包含的一二維面積至少等於該封裝基板之面積。
  5. 一種方法,其包含:將一積體電路封裝基板夾持於一第一支撐基板與一第二支撐基板之間;將該經夾持的封裝基板自一單向暴露於一熱源;以及 改變該封裝基板之一形狀,其中該第一支撐基板之材料及該第二支撐基板之材料為強化玻璃。
  6. 如請求項5之方法,其中該強化玻璃被拋光。
  7. 如請求項1之方法,其中該封裝基板之一第一側面包含一晶粒區域,且該封裝基板之該第一側面在改變該形狀之前具有一凸狀形狀。
  8. 如請求項7之方法,其中改變該形狀包含將該封裝基板之該第一側面的該凸狀形狀改變為一凹狀形狀。
  9. 如請求項1之方法,其中該封裝基板包含暴露的焊料,且該方法進一步包含將該經夾持的封裝基板在一減少氧的環境中暴露於一熱源。
  10. 如請求項1之方法,其中該熱源包含具有180℃至250℃之溫度的一熱源。
  11. 如請求項10之方法,其中在將該經夾持的封裝基板暴露於一熱源之前,該方法包含將一焊料膏引入於該封裝基板之一表面上,且將該經夾持的封裝基板暴露於一熱源包含在適於使該焊料膏回流的一溫度下暴露於一熱源。
  12. 一種方法,其包含:將一積體電路封裝基板夾持於一第一支撐基板與一第二支撐基板之間,其中該封裝基板經組配來以一後續晶粒附接製程接受一積體電路晶粒,且該第一支撐基板及該第二支撐基板中之每一者包含強化玻璃; 將該經夾持的封裝基板自正交於該封裝基板之一表面的一單向暴露於一熱源;以及改變該封裝基板之一形狀。
  13. 如請求項12之方法,其中該封裝基板包含一第一側面,該第一側面包括一二維面積,且該第一支撐基板經夾持至該封裝基板之該第一側面,該第一支撐基板所包括的一二維面積為該封裝基板之該第一側面面積的百分之75至95。
  14. 如請求項13之方法,其中該熱源之該單向為該封裝基板之該第一側面的側面上之一方向。
  15. 如請求項13之方法,其中該第二支撐基板所包含的一二維面積至少等於該封裝基板之面積。
  16. 如請求項12之方法,其中該封裝基板之一第一側面包含一晶粒區域,且該封裝基板之該第一側面在改變該形狀之前具有一凸狀形狀。
  17. 如請求項16之方法,其中改變該形狀包含將該封裝基板之該第一側面的凸狀形狀改變為一凹狀形狀。
  18. 一種設備,其包含:一第一支撐基板及一第二支撐基板,該第一支撐基板及該第二支撐基板各包含具有一熱膨脹係數的一材料,該熱膨脹係數小於一積體電路封裝基板之一材料的一熱膨脹係數,該第一支撐基板所包含的一二維面積為該封裝基板之一第一側面面積的百分之75至95,且該第二支撐基板所包含的一二維面積至少等於一封裝基板 之面積,且該第一支撐基板及該第二支撐基板中之每一者包含一主體,該主體具有在其中之一腔體,以使得當在一封裝基板之相對側上組裝時,每一該腔體具有一體積尺寸,以使得各支撐基板之該主體不與一封裝基板之一有效區域接觸。
  19. 如請求項18之設備,其中用於該第一支撐基板及該第二支撐基板中之每一者的該材料包含強化玻璃。
  20. 如請求項19之設備,其中該強化玻璃被拋光。
TW104127919A 2014-09-27 2015-08-26 以單向加熱之使用強化玻璃之基板翹曲控制的技術 TWI606553B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/057921 WO2016048383A1 (en) 2014-09-27 2014-09-27 Substrate warpage control using temper glass with uni-directional heating

Publications (2)

Publication Number Publication Date
TW201622066A TW201622066A (zh) 2016-06-16
TWI606553B true TWI606553B (zh) 2017-11-21

Family

ID=55581698

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104127919A TWI606553B (zh) 2014-09-27 2015-08-26 以單向加熱之使用強化玻璃之基板翹曲控制的技術

Country Status (8)

Country Link
US (1) US9887110B2 (zh)
EP (1) EP3017465A4 (zh)
JP (1) JP6151461B2 (zh)
KR (1) KR101776449B1 (zh)
CN (1) CN105960708B (zh)
BR (1) BR112015020761A2 (zh)
TW (1) TWI606553B (zh)
WO (1) WO2016048383A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI642133B (zh) * 2016-10-20 2018-11-21 矽品精密工業股份有限公司 電子構件之置放製程及其應用之承載治具
KR102015336B1 (ko) * 2017-06-12 2019-08-28 삼성전자주식회사 반도체 패키지 기판의 휨 감소 방법 및 휨 감소 장치
US11421316B2 (en) * 2018-10-26 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling warpage in wafer level packaging processes
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
US20210057238A1 (en) * 2019-08-20 2021-02-25 Applied Materials, Inc. Methods and apparatus for contactless substrate warpage correction

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5553484A (en) * 1978-10-16 1980-04-18 Fujitsu Ltd Method of correcting slet of printed board
JP2795788B2 (ja) 1993-02-18 1998-09-10 シャープ株式会社 半導体チップの実装方法
CN1223010A (zh) * 1996-06-20 1999-07-14 先进自动化体系有限公司 降低半导体封装件的翘曲的方法和装置
SG66313A1 (en) 1996-06-20 2001-01-16 Advanced Systems Automation Method and apparatus for reducing warpage in semiconductor
JP3003620B2 (ja) * 1997-04-11 2000-01-31 日本電気株式会社 ベアチップマウンタおよびそのマウント方法
JP4196094B2 (ja) * 2003-09-25 2008-12-17 パナソニック株式会社 セラミック基板の製造方法
US7332110B2 (en) * 2003-12-09 2008-02-19 Hoya Corporation Method and device for producing optical part
US7172927B2 (en) 2003-12-18 2007-02-06 Freescale Semiconductor, Inc. Warpage control of array packaging
JP5025399B2 (ja) * 2007-09-27 2012-09-12 新光電気工業株式会社 配線基板及びその製造方法
JP2009111285A (ja) * 2007-10-31 2009-05-21 Kyocer Slc Technologies Corp 配線基板の製造方法
JP2009130269A (ja) * 2007-11-27 2009-06-11 Nec Electronics Corp 半導体製造装置および半導体装置の製造方法
KR20100005646A (ko) 2008-07-07 2010-01-15 김태일 부직포 및 그 제조방법
US7733655B2 (en) 2008-07-22 2010-06-08 International Business Machines Corporation Lid edge capping load
US8076762B2 (en) * 2009-08-13 2011-12-13 Qualcomm Incorporated Variable feature interface that induces a balanced stress to prevent thin die warpage
JP2011192781A (ja) * 2010-03-15 2011-09-29 Disco Corp パッケージ基板の加工方法
US8540506B2 (en) * 2010-08-16 2013-09-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor molding chamber
JP5407007B2 (ja) * 2011-11-29 2014-02-05 シャープ株式会社 発光デバイスの製造方法
US8642445B2 (en) * 2012-03-29 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing package warpage
JP6022862B2 (ja) * 2012-05-08 2016-11-09 株式会社不二製作所 硬質脆性基板の切り出し方法及び切り出し装置
JP6283218B2 (ja) 2013-02-27 2018-02-21 新光電気工業株式会社 基板の反り矯正装置及び基板の反り矯正方法
JP6244242B2 (ja) 2014-03-26 2017-12-06 千代田化工建設株式会社 水素製造システム及び水素製造方法

Also Published As

Publication number Publication date
TW201622066A (zh) 2016-06-16
EP3017465A1 (en) 2016-05-11
CN105960708A (zh) 2016-09-21
WO2016048383A1 (en) 2016-03-31
KR20160048026A (ko) 2016-05-03
JP2016540390A (ja) 2016-12-22
US9887110B2 (en) 2018-02-06
EP3017465A4 (en) 2017-04-26
JP6151461B2 (ja) 2017-06-21
CN105960708B (zh) 2019-11-05
US20160268149A1 (en) 2016-09-15
KR101776449B1 (ko) 2017-09-07
BR112015020761A2 (pt) 2017-07-18

Similar Documents

Publication Publication Date Title
TWI606553B (zh) 以單向加熱之使用強化玻璃之基板翹曲控制的技術
US9685388B2 (en) Picture frame stiffeners for microelectronic packages
US10231338B2 (en) Methods of forming trenches in packages structures and structures formed thereby
KR20190122133A (ko) 이방성 열 전도 섹션 및 등방성 열 전도 섹션을 갖는 방열 디바이스
TW201730992A (zh) 具有與嵌入於微電子基板中之微電子橋連接的多個微電子裝置之微電子結構
JP6370920B2 (ja) 成形コンパウンドを有する集積回路アセンブリ
KR101930987B1 (ko) 집적 회로 패키지를 위한 접촉 패드
TW201622023A (zh) 用以形成高密度穿模互連的方法
US10304769B2 (en) Multi-die package
US10811366B2 (en) Microelectronic bond pads having integrated spring structures
US20180308784A1 (en) Stacked die package with through-mold thermally conductive structures between a bottom die and a thermally conductive material
WO2019112582A1 (en) A heat dissipation structure for an integrated circuit package
WO2018017222A1 (en) Ball grid array (bga) with anchoring pins
US10658198B2 (en) Solder resist layer structures for terminating de-featured components and methods of making the same
KR20190098132A (ko) 와이어 본딩 애플리케이션들을 위한 패키지 구조체들에서의 인터포저 설계

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees