TWI593116B - 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體 - Google Patents

具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體 Download PDF

Info

Publication number
TWI593116B
TWI593116B TW105116113A TW105116113A TWI593116B TW I593116 B TWI593116 B TW I593116B TW 105116113 A TW105116113 A TW 105116113A TW 105116113 A TW105116113 A TW 105116113A TW I593116 B TWI593116 B TW I593116B
Authority
TW
Taiwan
Prior art keywords
gate dielectric
nitrogen
metal
layer
gate
Prior art date
Application number
TW105116113A
Other languages
English (en)
Other versions
TW201631776A (zh
Inventor
吉伯特 狄威
羅伯特 喬
馬可 拉多撒福傑維克
陳漢威
史考特 克蘭德寧
拉維 皮拉瑞斯提
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201631776A publication Critical patent/TW201631776A/zh
Application granted granted Critical
Publication of TWI593116B publication Critical patent/TWI593116B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2233Diffusion into or out of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/228Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面III-V族場效電晶體
本發明實施例是在半導體裝置的領域,且更特別是關於採用III-V族半導體的非平面場效電晶體(FET)。
利用凸出自基板表面的半導體材料的本體的非平面電晶體採用繞在半導體本體之二、三或甚至所有側(亦即,分別為雙閘、三閘、奈米線電晶體)的閘極電極。源極與汲極區域在閘極電極的任一側係形成在本體中,或是形成為耦接至本體的再生部分(re-grown portion)。
隨著high-k(高k值)閘極介電質的導入,在源極與汲極區域之間的半導體通道區域的可靠閘極控制對於達成非平面裝置是困難的,即使當通道半導體為矽時。對於III-V族FET(其針對電晶體通道區域採用III-V 化合物半導體),閘極控制更加難以捉摸。有關這類材料的金屬氧化物半導體(MOS;metal-oxide-semiconductor)介面比他們的矽對應體更少去研究,並且更少有良好表現(例如,遭受不良品質的原始氧化層、次化學計量(sub-stoichiometric)表面等)。
100‧‧‧基板
101‧‧‧場效電晶體
105‧‧‧半導體基底層
106‧‧‧底部裝置層
107‧‧‧上覆通道層
112A‧‧‧源極區域
112B‧‧‧汲極區域
120‧‧‧閘極電極
130‧‧‧閘極介電質層
135A‧‧‧接點金屬化
145A‧‧‧接點金屬化
120A‧‧‧功函數金屬層
120B‧‧‧主體填充金屬層/主體金屬
107A‧‧‧頂端通道半導體表面
107B‧‧‧底部通道半導體表面
107C‧‧‧側壁面
150‧‧‧氮
125‧‧‧金屬氮化物層
500‧‧‧插入物
700‧‧‧平台
705‧‧‧顯示螢幕
710‧‧‧積體裝置
711‧‧‧控制器
713‧‧‧電池
715‧‧‧電源管理積體電路(PMIC)
725‧‧‧(無線)積體電路
730‧‧‧微處理器核心
731‧‧‧圖形處理器核心
1000‧‧‧計算裝置
1002‧‧‧板
1004‧‧‧處理器
1006‧‧‧通訊晶片
本發明實施例係藉由範例所闡述而非藉由限制的方式,並且當考量以相關圖時能夠參照下列詳細說明而更全然的理解,其中:圖1A闡述依據本發明實施例之III-V族場效電晶體(FET)的第一剖面視圖;圖1B闡述依據本發明實施例闡述於圖1A之III-V族FET的第二剖面視圖;圖1C為依據本發明實施例闡述於III-V半導體介面在high-k閘極介電質中的氮素累積;圖1D闡述依據本發明實施例闡述於圖1A之III-V族FET的第二剖面視圖;圖2為依據本發明實施例闡述操作於形成III-V FET方法中之流程圖;圖3為依據本發明實施例闡述操作於形成奈米線III-V FET方法中的流程圖;圖4為依據本發明實施例闡述操作在用於對III-V半導體非定向摻雜high-K閘極介電質界面之方法中 的流程圖;圖5闡述依據本發明實施例之行動計算裝置平台的軸測視圖(isometric view)以及由行動平面所採用的微電子裝置的示意圖;以及圖6闡述依據本發明一實施例之計算裝置的功能方塊圖。
【發明內容及實施方式】
說明了具有氮摻雜閘極介電質介面及保形(conformal)閘極電極的III-V族場效電晶體(FET;field effect transistor)。在下列說明中,提出眾多的細節,然而,對於本領域具有通常知識者顯而易見的是,本發明可不以這些特定的細節來實行。在一些實例中,周知的方法及裝置係以方塊圖形式而非詳細的來繪示,以避免混淆本發明。遍及本說明書所參照的「實施例」或「在一實施例中」意味有關本實施例而說明的特定特徵、結構、功能或特性係包括在至少一個本發明的實施例中。因此,遍及本發明各處中之片語「在一實施例中」的顯現不必然參照至相同的本發明之實施例。此外,特定的特徵、結構、功能或特性可以任何適合的方式結合在一或多個實施例中。例如,第一實施例可與第二實施例結合於任何一處,此二者實施例並非規定成為互相排斥的。
術語「耦接」及「連接」,隨著他們的衍生,在此可用以說明組件之間的結構關係。應了解,這些 術語並不意指彼此為同義字。相反的,在特定實施例中,「連接」可用以指示兩個或更多元件彼此直接實體的或電性的接觸。「耦接」可用以指示兩個或更多元件彼此不是以直接就是以間接(在他們之間具有其它介入的元件)實體的或電性的接觸,及/或兩個或更多元件彼此配合或互動(例如,當在因果關係之中時)。
如在此使用的術語「越過/之上(over)」、「之下(under)」、「之間(between)」及「上頭(on)」參照至參考其它層或組件的材料層或組件的相對位置。例如,配置於另一層之上(上方(above))或之下(下方(below))的一層可直接與另一層接觸或是可具有一或更多介入之層。再者,配置於兩層之間的一層可直接與此兩層接觸或可具有一或更多介入之層。相反的,在第二層「上頭(on)」的第一層係直接與第二層接觸。相似的,除非明白的陳述,否則配置於兩個相鄰特徵之間的一個特徵可直接與相鄰特徵接觸或是可具有一或更多介入的特徵。
於此所揭示者係為III-V半導體裝置,其利用具有非定向氮摻雜之閘極介電質以形成金屬氧化物半導體(MOS;metal-oxide-semiconductor)型(或金屬絕緣體半導體)裝置。在氮摻雜閘極介電質於此說明為配置在非平面FET中的同時,應理解,所說明的實施例可輕易的適用於其它電容式耦接裝置設計,其採用與III-V半導體表面介接之類似的介電材料(例如,MOS電容器等)。此 外,於此說明的技術與結構亦可適用於針對低漏電的III-V半導體表面之鈍化層(passivation)。
對於缺乏良好品質之原始氧化層的III-V半導體材料,在本發明實施例中之FET結構需要自頂端的III-V半導體表面過渡至非原始high-k(高k值)閘極介電質。發明人已發現出現在high-k閘極介電質及III-V半導體的介面之陷落電荷(trapped charge)的數量能夠藉由對接近介面的閘極介電質進行氮摻雜而降低,以用於比其它可能者更佳的閘極控制。在高度微縮、非平面的FET實施例(例如,雙閘、三閘、環繞式閘極奈米線)中,良好的閘極控制已達成具有保形high-k閘極介電質、在具有III-V半導體介面處之high-k閘極介電質的保形氮摻雜以及保形金屬閘極電極。隨著接近所有閘控半導體(gated semiconductor)表面之介電質的適當的氮摻雜,介面陷落密度在閘控半導體表面處係為均勻的低,並且於後續的處理FET的期間,降低氧化半導體表面。由於在這類非平面FET中遮蔽表面的出現,非定向氮摻雜對於均勻的處理所有的保形high-k閘極介電質之半導體介面係為有益的。在實施例中,金屬閘極電極及金屬介電質介面的性質可更得益自氮摻雜閘極介電質。
圖1A闡述依據本發明實施例III-V族場效電晶體(FET)101之第一剖面視圖。FET 101係形成在由任何適合用於半導體裝置製造的材料所組成之基板100之上。在一實施例中,基板100為由(單)結晶材料組成的 主體基板(bulk substrate),結晶材料可包括(但不限於)矽(例如,100矽)、鍺、矽化鍺(silicon-germanium)、矽碳化物(silicon carbide)、藍寶石、III-V化合物半導體或類似的材料。
配置於基板100之上者係為一或更多半導體基底層105,諸如(但不限於)假性的(pseudomorphic)、變質的(metamorphic)或實質上的晶格匹配緩衝層及/或過渡層,如同在本領域中所知者。配置於一或更多半導體基底層105之上者係為底部裝置層106。在示範性實施例中,底部裝置層106係為具有比上覆通道層107之者更寬之帶隙(band gap)的底部位障(barrier)層,以為了載子局限(carrier confinement)及/或降低裝置漏電(leakage)的緣故。在其它實施例中,底部裝置層106僅具有足以與通道層107之組成不同的組成,則可施行選擇性的蝕刻底部裝置層以充分曝露通道層107之所有側(亦即,形成奈米線)。
在實施例中,底部裝置層106及通道層107兩者各實質上為單結晶化合物半導體,其包括來自III族(例如,硼、鋁、鎵或銦)及V族(例如,磷、砷或銻)的元素。在示範性實施例中,通道層107由二元化合物(binary compound)(但不限於InP、InAs、InSb或GaAs)、三元化合物(ternary compound)(諸如(但不限於)InAsSb、InGaAs、InAlAs或AlGaAs)或四元化合物(諸如(但不限於InGaAsSb、InAlAsSb或 InAlGaAs))組成。在進一步的實施例中,底部裝置層106可為具有用於理想的帶隙及/或晶格間距(lattice spacing)之潛在改變成分比率的這些相同化合物中任何者。例如,在一實施例中,底部裝置層106有較通道層107不同的組成,以使得裝置層106具有較大的帶隙,或相對於通道層107之帶隙,最少的傳導帶偏移(conduction band offset)。在某些這類實施例中,通道層107為對底部裝置層106匹配的晶格,而在其它實施例中,通道層107為對底部裝置層106不匹配(例如,應力假晶磊晶層)的晶格。雖然未描述於圖1A中,亦可出現頂端半導體位障層(儘管不必要),其由適於侷限載子於通道層107內的III-V材料所組成。
在實施例中,包括至少閘極介電質層及上覆的閘極電極的閘極堆疊保形的周圍包繞非平面III-V半導體通道表面。如圖1A所示,閘極介電質層130與閘極電極120周圍包繞通道層107之底部半導體表面,使得通道層107形成張拓於源極區域112A與汲極區域112B之間距離的奈米線,其分別透過接點金屬化(contact metallization)135A、145A耦接至外部世界。通道層107及底部裝置層106兩者的最大z厚度可相當的改變。對於某些實施例,通道層107具有介於2nm與10nm之間的z厚度。底部裝置層106一般可稍微的較厚以允許用於閘極堆疊材料的空間下填通道層107的下方。雖然底部裝置層106闡述為足夠厚以允許閘極介電質層130及閘極電極 120通過在底部裝置層106中的孔洞(void),其中底部裝置層具有厚度稍微低於4nm~40nm之回填的周圍包繞閘極電極的z高度的實施例亦為可能的。例如,其中出現多個分離的半導體材料層處,可蝕刻底部裝置層106及下伏層以形成孔洞,其接著以閘極堆疊回填。
雖然為了簡潔的關係,在圖1A中僅闡述單一通道層107,要注意的是,可重製通道層107以形成二或更多這類半導體通道的垂直堆疊,帶有介入半導體通道間距層(例如,具有4nm~40nm的厚度),其被移除以容許將閘極介電質與閘極電極層回填於相鄰通道層之間。注意,在此提供在單一通道奈米線之上下文中的技術係直接應用至這類堆疊的奈米線通道FET實施例。
圖1B闡述依據本發明實施例闡述在在圖1A中沿著a-a’平面的III-V族FET的第二剖面視圖。如所繪示的,通道層107形成具有頂面107A、底面107B及介於其之間的側壁面107C之任意形狀的(例如,矩形的、圓形的等)配線。閘極介電質130保形的周圍包繞通道層107,其形成與所有通道層表面(例如,107A、107B,107C)介接的絕緣之護皮。閘極電極120同樣保形的周圍包繞閘極介電質130。
在示範性實施例中,閘極電極120為金屬。金屬閘極電極實施例包括均質組成(homogenous composition)的電極,或更如圖1B中所闡述,以包括功函數(work function)金屬層120A及配置於功函數金屬 層之上或直接配置於上頭的主體填充(bulk fill)金屬層120B的閘極電極堆疊之形式。對於主體金屬120B,可利用任何在本領域所周知用於這類目的之高導電金屬,諸如(但不限於)鎢(W)、Al、金(Au)或銅(Cu)。一般來說,功函數金屬可為具有適合的功函數的任何金屬以達成用於FET之預定電壓臨界值(Vt)。在實施例中,功函數金屬120A係為排除氮之組成(亦即,非金屬氮化物(M-N))。在某些這類實施例中,任何氮存在係遠低於化學計量級(stoichiometric levels),且原因於此在它處進一步說明,在實施例中,其中氮的可察覺級(detectable level)出現在功函數金屬120A中,氮的數量在具有閘極介電質層130的閘極電極金屬介面處為最大,降低到距閘極介電質介面為末端的點處之功函數金屬120A及/或主體金屬120B內的微量級(trace level)。例如,在閘極金屬內氮濃度梯度(nitrogen concentration gradient)可與自氮的擴散所期望者上到自具有閘極介電質的金屬介面所期望者一致。在示範性n型增強模式FET實施例中,功函數金屬120A包括釕(Ru)、鈷(Co)、銥(Ir)、鎳(Ni)、鈀(Pd)或鉑(Pt)之至少其中之一者。亦可採用顯示類似高的功函數之其它金屬與其合金。對於p型增強模式FET實施例,功函數金屬120A可為Al、Ti或Ta以及其它金屬與他們的合金(其顯示類似低的功函數)中一或更多者。
對於在圖1B中所描述其中通道層107最佳近 似橢圖橫向剖面(雖然這類角圓化(corner rounding)可能不會發生到這類如對通道層的標尺寸和處理的y及z之函數一樣的範圍),閘極介電質130具有環形剖面。當通道層107的閘極控制為介電質的函數時,在實施例中介電質的厚度為Td,其同時在頂端通道半導體表面107A上方並且在底部通道半導體表面107B下方。雖然介電質厚度Td可能改變,示範性的範圍係為1nm~10nm。隨著閘極介電層130由用於示範性實施例的原子層沈積(ALD;atomic layer deposition)形成,為了在所有通道層表面處達成均勻的介電質厚度Td,高度的保形介電質沈積製程(conformal dielectric deposition process)係為有利的。
在實施例中,閘極介電層130為high-K材料,其包含金屬氧化物,諸如(但不限於)鋁(Al)、鉭(Ta)、鉿(Hf)、鋯(Zr)、鑭(La)或鈦(Ti)之一或更多者。在一個金屬氧化物的實施例中,採用III-IV金屬氧化物。III族金屬包括鋁(例如,Al2O3)、鎵或銦之任一者。IV族元素不是用以形成III族矽酸鹽(例如,AlSiOx)的矽就是用以形成III族鍺酸鹽的鍺。在另一金屬矽酸鹽的實施例中,例如,閘極介電質130為矽以及具有金屬Ta、Hf、Zr、La或Ti的其中之一或更多者的氧配位(oxygen ligand)(例如,TaSiOx、HfSiOx、ZrSiOx、TiSiOx、LaSiOx)。
對於金屬矽酸鹽的實施例,可改變矽含量以達成具有下伏的III-V半導體之高品質介面且到達用於閘 極介電質130之理想的有效介電常數(dielectric constant)。像這樣,金屬矽酸鹽可為參考矽或氧其一的化學計量膜(stoichiometric film)或次化學計量膜(sub-stoichiometric film)的其一。例如,在一個矽酸鋁的實施例中,可添加矽的數量以達成化學計量的正矽酸鋁(Al4(SiO4)3)膜。在採用具有次化學計量之矽的數量的矽酸鋁之替代的實施例中,矽:鋁的比值小於化學計量膜之比值。相似的,可採用化學計量的矽酸鉭(Ta4(SiO4)5)或具有較化學計量膜小的矽:鉭比值之次化學計量的矽酸鉭。像這樣,寬的介電常數的範圍可藉改變包含於此說明之金屬成分中一或更多者之膜的矽含量來達成。
在實施例中,閘極介電質層130係以氮150進行摻雜,或是「氮化(nitrided)」。已發現在接近至閘控半導體表面區域中將氮併入閘極介電質以降低出現在閘極介電質130及III-V半導體通道層107之介面處的陷落電荷的數量,使得改善FET 101的通道之閘極控制。一般而言,對於金屬氧化閘極介電質實施例,目前認為氮取代氧。如圖1B所繪示,氮摻雜為非向性,使得奈米線實施例包括氮摻雜的閘極介電質區域,其亦周圍包繞於通道層107的至少一部分,且有利的是完全的周圍包繞通道層107。雖然在一些實施例中氮可均勻的出現遍及介電質厚度T d ,但在示範性實施例中在閘極介電層130內的氮含量在遍及介電質厚度T d 並非均質,卻相反的堆積在接近 至通道層107之III-V半導體表面的介面處。圖1C為定性的說明在high-k閘極介電質之III-V半導體介面處氮堆積之圖表。如所繪示者,在閘極介電質層130內的氮在接近至通道半導體介面的介面呈現以最大量。於此注意的實施例確實具有極度小的閘極介電質厚度T d ,電子能量損失能譜(EELS;energy electron loss spectroscopy)係為一分析的技術,其可利用以對距通道層107為更末端之點處(例如在閘極電極120之介面處)的氮素級(nitrogen level)區分接近至通道層107的介面之閘極介電層130的氮素級。
雖然未受理論約束,目前認為在與能量狀態之陷落密度有關介於半導體通道層107及閘極介電質130之間的介面處之懸空鍵(dangling bond)的存在係藉由氮摻雜劑所鈍化,導致此在閘極介電質層130內介面氮的堆積。即使對於具有這類介面堆積的實施例,一些數量的氮能夠被期望遍及閘極介電質厚度T d ,特別是當厚度降低到1nm時。因此在金屬矽酸鹽閘極介電質實施例(例如,HfSiOx)中,氮摻雜呈現出閘極介電質材料HfSiOxNy且在進一步實施例中具有作為介電質厚度之函數的y,其中接近通道層107的y為最大(亦即,相對富氮/高氮的(nitrogen-rich))。類似的,對於非矽酸鹽金屬氧化物實施例(例如,HfO2等),氮摻雜呈現出閘極介電質材料HfOxNy且在進一步實施例中具有作為介電質厚度的函數的y,其中接近通道表面(例如,107A、107B、107C) 的y為最大(亦即,相對氮雜環)。
取決於將氮併入進入閘極介電質所採用的技術,氮源材料(nitrogen source material)可進一步出現在III-V FET的實施例中。例如在一實施例中,其中採用固態擴散以用於閘極介電質層的氮摻雜,保形金屬氮化物層係配置於閘極電極與閘極介電質之間以充作為非向性、用於摻雜閘極介電質之氮的等距固態源(isometric solid state source)。圖1D闡述用於這類實施例之III-V FET 101的第二剖面視圖。如所繪示者,金屬氮化物層125為完全周圍包繞閘極介電質的保形層且形成具有在FET 101的通道區域內閘極介電質的介面。
一般而言,金屬氮化物要有用以作為不防礙功函數金屬120A進行設定閘極電極功函數之厚度。可選擇功函數金屬120A的組成,使得在金屬氮化物層125之存在中達成理想的功函數。對於包括金屬氮化物層125的實施例,可以非氮化的金屬設定理想的閘極電極功函數。亦如是者,因為金屬氮化物層125可能不具有高導電性,其未在此說明作為金屬閘極電極的一部分,但相反的作為介於閘極電極與閘極介電質之間的介面層。在實施例中,其中金屬氮化物層125不具有良好導電性,其可考量為閘極電極堆疊的底部層或第一層。
在金屬氮化物層125允許功函數金屬不進一步約束亦需要充當為氮源下進行最佳化的同時,若將金屬氮化物採用為功函數金屬時,金屬氮化物層125及功函數 金屬120A接著會為單一材料層。金屬氮化物層125可包括任何金屬,可對其應用非向性沈積技術(例如,ALD、電漿增強ALD(plasma enhanced ALD))。在示範性實施例中,金屬氮化物層125包括Ti、V、Mo、Ta或W之至少其中之一者。對於採用金屬氮化物層125的實施例,在圖1D中所描述的閘極金屬/閘極介電質介面180則由金屬氮化物層125佔用。
隨著示範性III-V FET實施例之結構特徵的導入,現提供製造技術的進一步說明,特別關於氮摻雜閘極介電質/III-V半導體通道介面。圖2為依據本發明之實例闡述在用於形成III-V FET的方法201中之操作的一般流程圖。方法201以沈積直接與曝露的III-V半導體通道表面的非原生、high-K介電質為開始,用以形成閘極介電質層。一般而言,任何在本領域周知的技術可取決於介電材料組成以沈積閘極介電質。在示範性實施例中奈米線通道實施例,在FET 101的內文之別處所說明的閘極介電質材料的任一者由ALD所沈積以形成介於閘極介電質與所有曝露的III-V半導體表面之間的保形介面(conformal interface)。
在操作225處,閘極介電質係為藉由將沈積的閘極介電質層曝露於液體、蒸汽、氣態、電漿或氮的固態源所摻雜的氮。已發現在閘極介電質沈積之後氮摻雜閘極介電質以提供比若氮合併進入同時具有介電質沈積的閘極介電質時較低的介面陷落密度(Dit)。例如,閘極介電 質表面之氮曝露提供只有III-V半導體表面之非直接曝露係較佳的勝過在閘極介電質層(例如,金屬氧化物)沈積在半導體表面上之前III-V半導體之直接氮曝露,或是同時具有閘極介電質沈積。接續氮曝露,方法201繼續在操作285處形成閘極電極。例如,以功函數金屬(例如,於此別處所說明的該些中之任一者)及/或主體金屬罩(bulk metal cap)之沈積形成。在某些實施例中,如於此別處中更進一步所說明者,在操作285處可同時隨閘極電極形成來施行氮曝露,使得在方法201中之操作不需要以連續的方式施行。
方法201接著以使用對於本領域為傳統的任何技術所完成的其它FET之元件來完成,如圖1A所闡述像是在閘極電極之對側上頭形成源極區域與汲極區域。值得注意者,在操作299處於完成FET之期間在某點處,施行熱退火(thermal anneal),在其期間氮摻雜劑在閘極介電質內朝向III-V半導體介面擴散,其中氮可堆積且進一步鈍化半導體-絕緣體介面。一般而言,可施行此熱退火於操作285處接續閘極電極之至少一層的沈積的製造流程中的任何處。隨著閘極電極材料充做帽蓋,接近至III-V半導體介面的閘極介電質之氮摻雜能夠繼續進行氮的最小向外擴散(out diffusion)。像這樣,一或更多的接續熱處理(例如,在閘極-優先處理中的源極/汲極重生或是在閘極-最後處理中傳統線N2最終退火之終端)可額外的充作熱退火操作490。
圖3為依據本發明實施例更具體闡述在方法301中用於形成奈米線III-V FET的操作之流程圖。方法301以將閘極介電質沈積於III-V半導體奈米線通道表面四周為開始。在一實施例中,於此之別處所說明的金屬氧化/金屬矽酸鹽實施例之任一者藉由ALD沈積以保形的包圍III-V奈米線。在操作325處,閘極介電質接著透過非向性曝露處理而等向性的摻雜。非向性曝露保形的將保形閘極介電質表面曝露至氮源(nitrogen source)。非向性曝露可透過使用濕溶液(wet solution)、蒸汽相(vapor phase)、電漿式製程(plasma-based processing)、固相(solid phase)或其中的任何結合實現。
圖4為依據本發明實施例闡述在方法401中用於非向性摻雜對III-V半導體的閘極介電質介面之操作。在圖4之內文中所說明的一或更多的技術可在圖3的操作325處施行,且更一般而言在圖2的操作225處。方法401以藉由對下列至少一者曝露所按照的保形閘極介電質沈積操作305為開始:在操作426處之液體/蒸汽相氮源;在操作427處之氣態或電漿氮源;或在操作428處之用以充做固相氮源之材料的沈積。這些氮化操作之各者可交替施行,如虛線所標誌,或結合來施行。
在實施例中,在操作426處,閘極介電質層係曝露於氮化溶液中,像是(但不限於)聯胺(hydrazine)溶液。聯胺溶液,諸如(但不限於)N2H4、二甲基聯胺/二甲肼(dimethylhydrazine)或叔丁 基肼(tertbutylhydrazine)可應用至在介於25℃與100℃之間的溫度之閘極介電質表面。由於微小的幾何形狀,聯胺之水溶液可有利的提供於超臨界流體(supercritical fluid)狀態。在替代的實施例中,在操作426處,曝露的閘極介電層之蒸汽相退火施行在介於25℃與400℃之間的溫度,其中閘極介電質層表面曝露於NH3、N2H4、二甲肼或叔丁基肼之非向性蒸汽。
在另一實施例中,在操作428處,閘極介電質層係曝露於固相氮源。固相氮源可以任何包含在閘極介電質層之上保形的沈積之膜的氮之形式,在其外氮可於後續的熱退火循環期間被驅動。在一個這類實施例中,金屬氮化物係沈積在閘極介電質上頭。金屬氮化物可為於此在別處於金屬氮化物層125的內文中所說明之該些者中任一者。對於其中通道半導體及此後閘極介電質層為高度非平面的示範性實施例(例如,對於奈米線實施例),以原子層沈積(ALD;atomic layer deposition)處理形成金屬氮化物層125(例如,至1~2nm)。已發現ALD技術來保形的沈積金屬氮化物層125,甚至沈積在底部奈米線通道表面之上(例如,在圖1B中的107B)。在特定實施例中,金屬氮化物層125係使用金屬前驅物(metal precursor)(例如,Ti、Va、Mo、Ta、W等)之交替脈衝(alternating pulse)以及包含共反應物(諸如,NH3、聯胺等)的氮來沈積在介於150℃與400℃之間。在進一步這類實施例中,於氮共反應物脈衝之一或更多者(例 如,僅第一脈衝、所有脈衝等)期間採用電漿活化(plasma activation)。對於這類循環的ALD製程,循環順序可能以包含共反應物的第一氮(即,在第一金屬前驅物脈衝之前)來引發。
在另一實施例中,非金屬氮化物係沈積在閘極介電質上頭。非金屬氮化物可為任何傳統的氮化介電質膜,像是(例不限於)氮化矽(silicon nitride)。在示範性實施例中,所沈積的非金屬氮化物係為其能夠隨後從閘極介電質選擇性的被移除之成分的。對於其中通道半導體以及因此閘極介電質層為高度非平面的示範性實施例(例如,對於奈米線實施例),非金屬氮化物層係以CVD或ALD處理所形成(例如,至1~2nm)。
一個列入操作428之實施例的益處係為,當提供在具有良好控制的厚度之金屬化合金狀態中時(對照至限於表面終端族群的表面處理或取決於限制全面控制的因子數目而摻雜給定的閘極介電質之穿透深度(penetration depth)),可併入接近於閘極介電質層之潛在較大數量的氮及/或較高的氮含量之控制的級數。
接續操作428,在操作430處可從閘極介電質表面將固相氮摻雜源材料(solid phase nitrogen doping source material)移除成為犧牲膜。可以不需要這類移除,其中添加在操作428處的膜不要成為犧牲的,因此操作430係為可選的,且像這樣以虛線盒描述。一個犧牲氮源膜的益處是添加相對高電阻性的氮化金屬層不需被併入 進入閘極電極堆疊(例如,藉由移除金屬氮化物摻雜劑源材料層)。亦如是者,閘極介電質可維持低等效氧化物厚度(例如,藉由移除氮化矽摻雜劑源材料層)。剝去犧牲氮摻雜劑源材料可具有傳統對於對閘極介電質更提出足夠的選擇性的特定氮摻雜劑源材料組成的任何蝕刻劑。例如,在一實施例中,移除在操作428處沈積的氮化矽層可繼續進行等向性(向下游)電漿蝕刻處理、蒸汽相蝕刻處理或濕蝕刻處理之其一。
接續其一(或兩者)的操作426、428(或430),方法401繼續進行到操作486,其中閘極金屬以閘極電極之形式沈積。在示範性實施例奈米線通道實施例中,在操作486處閘極金屬係以用於最大正形性(conformality)的ALD處理來形成。在實施例中,於此它處所說明之功函數金屬及/或主體金屬之任一者(例如,對於功函數金屬120A及主體金屬120B)在操作486處沈積。在示範性實施例中,其中透過排除自閘極電極的手段提供用於摻雜閘極介電質之氮源,操作486需要實質上不包含氮而沈積功函數金屬,或實質上不包含氮而沈積主體金屬。在進一步實施例中,當沈積時功函數金屬及主體金屬兩者實質上皆無氮。
在另一實施例中,於操作427處,閘極介電質層係曝露於氣態及/或電漿氮源。對於操作427,將一或更多氮源氣體之電漿氮化,像是(但不限於)具有反應載子(諸如,H2及/或惰性氣體像是Ar或He)的N2、 N2O、NH3及他們的混合。在示範性奈米通道實施例中,遠程的、向下游的電漿係利用於降低的基板損害及降低的氮摻雜劑物種之方向性以為了摻雜之較大的正形性。在一實施例中,在操作427處之電漿曝露需要從閘極介電質ALD腔室移除工件,且將工件載入負責氮摻雜之分開的製程腔室。接續對氮源的曝露,工件接著移動進入閘極金屬ALD腔室並施行操作486。這類方法可考慮事後氮化電漿(ex-situ nitridizing plasma)。
在另一實施例中,操作427需要從閘極介電質ALD腔室移除工件,並且將工件載入閘極金屬ALD腔室,其中氮化電漿在反應中(in-situ)被提供作為電漿增強ALD(PEALD)閘極金屬沈積處理的一部分。在本實施例中,保形閘極金屬沈積操作452係以亦將閘極介電質曝露至電漿氮源的方式來施行且可更將氮併入進入閘極金屬電極之層。像這樣,此技術類似於操作428之者,在其中固相氮源可保形的形成於閘極介電質之上。然而,鑑於操作428形成插入在閘極介電質與閘極電極之間的層,在操作452處閘極金屬電極(例如,功函數金屬120A)係直接形成於閘極介電質上頭。在實施例中,操作452需要閘極介電質層表面對氮化電漿以及對伴隨插入腔室驅淨的Ru、Co、Ir、Ni、Pd或Pt之有機金屬前驅物的其中至少一個曝露,用以氮摻雜閘極介電質與保形的沈積低電阻閘極功函數金屬兩者。氮化電漿及有機金屬脈衝的循環亦可被迭代,其中如此做為並不會不利的影響金屬的功函數設 定性質。
接續閘極金屬沈積操作486或452之任一者,在操作490處施行熱退火並且電晶體製造完成於操作299處。熱退火要刺激自源極材料(例如,在閘極介電質表面上頭的金屬氮化物或介於閘極介電層與閘極金屬層之間的介面)到III-V通道半導體與閘極介電質層之介面的氮的固態擴散。在熱退火期間,氮可在接近至III-V半導體通道與其中更多陷落狀態存在的介面的閘極介電質內堆積。氮可從金屬氧化物或金屬矽酸鹽將氧取代,並且當電晶體製造完成時,增強藉由在介電質層中的物種(氧)、於閘極介電質層之下維持化學尖銳(chemically sharp)半導體介面的III-V半導體通道電阻潛伏氧化物。在熱退火期間,向上擴散進入閘極金屬覆蓋層的某準位亦可能發生。例如,即使其中功函數金屬及/或主體金屬實質上沈積時沒有氮,在操作490處的退火從具有距閘極介電質層介面為更末端的點處在閘極金屬層中發現較少數量的氮的閘極介電質層介面開始可能將氮擴散。
當閘極介電質層的厚度非常薄時(例如,1nm~10nm),退火不再需要長或在非常高的溫度。在氮曝露以後所達到的溫度(例如,操作426、427、428),像是在源極汲極重生期間(若其在閘極形成之後施行時),或甚至閘極金屬沈積操作486、452期間可為足夠的(例如,150℃~400℃)。傳統製程末段(end-of-line)N2退火(亦即,後互線金屬化與鈍化),其典型 約400℃,亦可足以用於操作490。因此,操作490可實行為獨立的退火或是結合為在操作299處用以完成電晶體之傳統製程的部分。
圖5闡述依據本發明實施例的行動計算裝置平台700的等軸測視圖及由行動平台所採用可擴充的微電子裝置710之示意視圖721。行動計算平台700可為任何組態用於電子資料顯示器、電子資料處理及無線電子資料傳輸之各者的任何可攜裝置。例如,行動計算平台700可為數位書寫板(tablet)、智慧型電話、膝上型電腦等中的任一者,並且包括顯示螢幕705(其在示範性實施例中為觸控螢幕(電容式、電感式、電阻式等))、晶片級(SoC)或封裝級積體微電子裝置710及電池713。
積體裝置710係進一步在可擴充視圖721中闡述。在示範性實施例中,裝置710包括至少一記憶體,至少一處理器晶片(例如,多核心微處理器及/或圖形處理器核心730、731)。在實施例中,具有氮摻雜閘極介電質介面的非平面III-V FET,如於此其它處(例如,圖1A~1D)更詳細的說明者,係整合進入裝置710。裝置710更耦接至板、基板或連同電源管理積體電路(PMIC)715、包括寬帶RF(無線)傳送器及/或接收器(例如,包括數位基帶以及類比前端模組更包含在傳送路徑上頭的電源放大器及在接收路徑上頭的低雜訊放大器)的RF(無線)積體電路(RFIC)725,以及彼等的控制器711的插入物500。功能上來說,PMIC 715施行電池電源調 節、直流對直流(DC-to-DC)轉換等,而所以具有耦接至電池713的輸入且具有提供到所有其它功能模組之電流供應的輸出。PMIC或RFIC中一或更多者亦可包括具有氮摻雜閘極介電質介面的非平面III-V FET。在示範性實施例中,RFIC 725具有耦接至天線的輸出,用以實行一些無線標準或協定中任一者,包括(但不限於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、彼等的衍生以及任何其它指派為3G、4G、5G及更高的無線協定。在實行中,這些模組之各者可整合上至如SoC的單晶片、上至耦接至封裝置710之封裝基板的分開的IC或是在板級(board level)處。
圖5為依據本發明實施例之計算裝置1000的功能方塊圖。例如,可在平台700內發現計算裝置1000,且計算裝置1000更包括主控一些組件的板1002,像是(但不限於)處理器1004(例如,應用處理器(applications processor))與至少一通訊晶片1006。在實施例中,至少處理器1004與具有氮摻雜閘極介電質介面的非平面III-V FET整合(例如,晶片上(on-chip))。處理器1004為實體的及電性的耦接至板1002。處理器1004包括封裝在處理器1004內的積體電路晶粒。術語「處理器」可參照任何裝置或處理來自暫存器及/或記憶體的電子資料的裝置或裝置之部分,用以將該 電子資料變換至可儲存在暫存器及/或記憶體中的其它電子資料。
在一些實行中,至少一個通訊晶片1006係亦實體的及電性的耦接至板1002。在進一步的實行中,通訊晶片1006為處理器1004的部分。取決於其應用,計算裝置1000可包括其它組件,其可或不可實體的及電性的耦接至板1002。這些其它的組件包括(但不限於)揮發性記憶體(例如,DRAM)、以快閃記憶體或STTM等的形式之非揮發性記憶體(例如,RAM或ROM)、圖形處理器、數位單一處理器、密碼處理器、晶片組、天線、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器(audio codec)、視頻編解碼器(video codec)、電源放大器、全球定位系統(GPS)裝置、羅盤、加速度計、陀螺儀、揚聲器、相機及大量儲存裝置(諸如,硬碟驅動器、固態驅動器(SSD;solid state drive))、光碟(CD)、數位影音光碟(DVD)等)。
通訊晶片1006之至少一者使無線通訊能用於將資料移轉到計算裝置1000及從計算裝置1000移轉資料。術語「無線」及其衍生可用以說明電路、裝置、系統、方法、技術、通訊頻道等,而可透過經由非固態媒體使用調變的電磁輻射將資料進行通訊。術語並未暗指相關的裝置不包含任何配線,雖然在一些實施例中他們可以不包含。通訊晶片1006可實行一些無線標準或協定中的任一者,包括(但不限於)於此其它處所說明的該些者。計 算裝置1000可包括複數個通訊晶片1006。舉例來說,第一通訊晶片1006可專用於較短範圍無線通訊,像是Wi-Fi及藍牙,而第二通訊晶片1006可專用於較長範圍無線通訊,像是GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
據此,本發明一或多個實施例一般關於在III-V半導體表面的介面處具有氮摻雜閘極介電質的非平面III-V FET。理解的是,上述說明準備用以闡述,但非限制。例如,當在圖中的流程圖繪示由某些本發明實施例施行特別的操作順序時,應理解,這類順序並非必要(例如,替代的實施例可以不同的順序施行操作、結合某些操作、重疊某些操作等)。此外,按讀取及理解上述說明,許多其它實施例將對本領域具有通常知識者而言為顯而易見的。雖然本發明已參照具體示範性實施例進行說明,但將認可本發明不限於所說明的實施例,然而能夠在所附申請專利範圍之精神內能夠以修改及改變)來實行。因此,本發明之範圍以參照至所附申請專利範圍連同與等效於其中賦與這類申請專利範圍權利的全部範圍來決定。
100‧‧‧基板
101‧‧‧場效電晶體
105‧‧‧半導體基底層
106‧‧‧底部裝置層
107‧‧‧上覆通道層
112A‧‧‧源極區域
112B‧‧‧汲極區域
120‧‧‧閘極電極
130‧‧‧閘極介電質層
135A‧‧‧接點金屬化
145A‧‧‧接點金屬化
150‧‧‧氮

Claims (10)

  1. 一種非平面的半導體裝置,包含:半導體奈米線;閘極介電層,設置在該半導體奈米線周圍,其中該閘極介電層包含遍及該閘極介電層之厚度的非均勻氮濃度,其中該非均勻氮濃度包含鄰近與該半導體奈米線之介面的富氮區域,該富氮區域相對於離該介面遠側之該閘極介電層的區域具有更多的氮;以及閘極電極,設置在該閘極介電層之上。
  2. 如申請專利範圍第1項的半導體裝置,其中該半導體奈米線直接與該閘極介電質接觸。
  3. 如申請專利範圍第2項的半導體裝置,其中該閘極介電層的該富氮區域亦完全周圍包繞該通道。
  4. 如申請專利範圍第1項的半導體裝置,更包含金屬氮化物層,設置於該閘極電極與該閘極介電層之間,該金屬氮化物介接該閘極介電層。
  5. 如申請專利範圍第4項的半導體裝置,其中該金屬氮化物包含下列至少一者:Ti、V、Mo、Ta或W。
  6. 如申請專利範圍第4項的半導體裝置,其中該閘極電極包含功函數金屬,設置在該金屬氮化物之上,該功函數金屬具有在出現該金屬氮化物下設定該閘極電極之功函數的成分。
  7. 如申請專利範圍第6項的半導體裝置,其中該功函數金屬包含下列至少一者:Ru、CO、Ir、Ni、Pd或 Pt。
  8. 如申請專利範圍第1項的半導體裝置,其中該閘極介電層為金屬氧化物,其包括選自由Al、Zr、Hf、Ta及La所組成之群組的金屬。
  9. 如申請專利範圍第8項的半導體裝置,其中該金屬氧化物為更包含矽的金屬矽酸鹽。
  10. 如申請專利範圍第1項的半導體裝置,更包含複數個半導體奈米線。
TW105116113A 2012-09-26 2013-09-10 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體 TWI593116B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/627,971 US8890264B2 (en) 2012-09-26 2012-09-26 Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface

Publications (2)

Publication Number Publication Date
TW201631776A TW201631776A (zh) 2016-09-01
TWI593116B true TWI593116B (zh) 2017-07-21

Family

ID=50338036

Family Applications (3)

Application Number Title Priority Date Filing Date
TW102132571A TWI506793B (zh) 2012-09-26 2013-09-10 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體
TW104127671A TWI544642B (zh) 2012-09-26 2013-09-10 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體
TW105116113A TWI593116B (zh) 2012-09-26 2013-09-10 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW102132571A TWI506793B (zh) 2012-09-26 2013-09-10 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體
TW104127671A TWI544642B (zh) 2012-09-26 2013-09-10 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體

Country Status (6)

Country Link
US (2) US8890264B2 (zh)
EP (1) EP2901491B1 (zh)
KR (2) KR101936488B1 (zh)
CN (2) CN104603950B (zh)
TW (3) TWI506793B (zh)
WO (1) WO2014051740A2 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI559381B (zh) * 2013-02-19 2016-11-21 應用材料股份有限公司 金屬合金薄膜的原子層沉積
US9601544B2 (en) * 2013-07-16 2017-03-21 Imec Three-dimensional magnetic memory element
US9876110B2 (en) * 2014-01-31 2018-01-23 Stmicroelectronics, Inc. High dose implantation for ultrathin semiconductor-on-insulator substrates
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
TWI633451B (zh) * 2014-06-04 2018-08-21 聯華電子股份有限公司 平面設計至非平面設計之轉換方法
US9412667B2 (en) 2014-11-25 2016-08-09 International Business Machines Corporation Asymmetric high-k dielectric for reducing gate induced drain leakage
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10490475B2 (en) * 2015-06-03 2019-11-26 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation after oxide removal
US10651288B2 (en) 2015-06-26 2020-05-12 Intel Corporation Pseudomorphic InGaAs on GaAs for gate-all-around transistors
CN106373886A (zh) * 2015-07-24 2017-02-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10062693B2 (en) * 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US11764275B2 (en) * 2016-04-01 2023-09-19 Intel Corporation Indium-containing fin of a transistor device with an indium-rich core
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
JP6780015B2 (ja) 2016-04-25 2020-11-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 水平ゲートオールアラウンドデバイスのナノワイヤの空隙スペーサ形成
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US9953831B1 (en) * 2016-12-21 2018-04-24 Globalfoundries Inc. Device structures with multiple nitrided layers
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR102341721B1 (ko) * 2017-09-08 2021-12-23 삼성전자주식회사 반도체 소자
KR102379707B1 (ko) 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
WO2019066791A1 (en) * 2017-09-27 2019-04-04 Intel Corporation MULTI-GRID THIN FILM TRANSISTOR MEMORY
US10332962B2 (en) 2017-10-11 2019-06-25 International Business Machines Corporation Nanosheet semiconductor structure with inner spacer formed by oxidation
US10522344B2 (en) 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10566428B2 (en) * 2018-01-29 2020-02-18 Raytheon Company Method for forming gate structures for group III-V field effect transistors
US10192867B1 (en) 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10636867B2 (en) * 2018-07-11 2020-04-28 Globalfoundries Singapore Pte. Ltd. Metal-insulator-poly capacitor in a high-K metal gate process and method of manufacturing
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11133310B2 (en) * 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11056396B1 (en) * 2019-12-27 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having gate dielectric layers of varying thicknesses and method of forming the same
KR20210085161A (ko) * 2019-12-30 2021-07-08 삼성전자주식회사 커패시터 구조물, 이의 제조 방법, 상기 커패시터 구조물을 포함하는 반도체 장치 및 이의 제조 방법
US11715781B2 (en) 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US20210408239A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Plasma nitridation for gate oxide scaling of ge and sige transistors

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5986291A (en) * 1993-10-12 1999-11-16 La Corporation De L'ecole Polytechnique Field effect devices
US6649543B1 (en) * 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
US6825506B2 (en) * 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US6921700B2 (en) 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7115959B2 (en) * 2004-06-22 2006-10-03 International Business Machines Corporation Method of forming metal/high-k gate stacks with high mobility
US7163877B2 (en) * 2004-08-18 2007-01-16 Tokyo Electron Limited Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing
TWI237867B (en) * 2004-10-29 2005-08-11 Taiwan Semiconductor Mfg Method of improving to deposit dielectric
US7242055B2 (en) * 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US9000418B2 (en) * 2004-11-19 2015-04-07 Panasonic Corporation Field effect transistor, method of manufacturing the same, and electronic device using the same
US7253061B2 (en) 2004-12-06 2007-08-07 Tekcore Co., Ltd. Method of forming a gate insulator in group III-V nitride semiconductor devices
KR100755367B1 (ko) * 2005-06-08 2007-09-04 삼성전자주식회사 실린더형 게이트를 갖는 나노-라인 반도체 소자 및 그제조방법
US7547637B2 (en) * 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US7655994B2 (en) 2005-10-26 2010-02-02 International Business Machines Corporation Low threshold voltage semiconductor device with dual threshold voltage control means
US20070152238A1 (en) * 2005-11-18 2007-07-05 General Electric Company Heterostructure field effect transistor and associated method
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
KR100890040B1 (ko) 2006-10-23 2009-03-25 주식회사 하이닉스반도체 전하트랩층을 갖는 불휘발성 메모리소자 및 그 제조방법
US7655962B2 (en) * 2007-02-23 2010-02-02 Sensor Electronic Technology, Inc. Enhancement mode insulated gate heterostructure field-effect transistor with electrically isolated RF-enhanced source contact
CN100536113C (zh) * 2007-04-27 2009-09-02 北京大学 一种体硅纳米线晶体管器件的制备方法
TWI368324B (en) * 2007-11-06 2012-07-11 Nanya Technology Corp Recessed-gate transistor device and mehtod of making the same
JP4697611B2 (ja) * 2008-03-28 2011-06-08 日本電気株式会社 熱型赤外線固体撮像素子及びその製造方法
US8017933B2 (en) * 2008-06-30 2011-09-13 Intel Corporation Compositionally-graded quantum-well channels for semiconductor devices
US8202773B2 (en) * 2008-08-29 2012-06-19 Texas Instruments Incorporated Engineered oxygen profile in metal gate electrode and nitrided high-k gate dielectrics structure for high performance PMOS devices
KR101471858B1 (ko) 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
US8093584B2 (en) * 2008-12-23 2012-01-10 Intel Corporation Self-aligned replacement metal gate process for QWFET devices
US8017469B2 (en) * 2009-01-21 2011-09-13 Freescale Semiconductor, Inc. Dual high-k oxides with sige channel
JPWO2010116886A1 (ja) * 2009-04-10 2012-10-18 住友電気工業株式会社 絶縁ゲート型バイポーラトランジスタ
US8455860B2 (en) * 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US8084308B2 (en) 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8368125B2 (en) * 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
WO2011039800A1 (ja) * 2009-09-29 2011-04-07 株式会社 東芝 半導体装置
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8258543B2 (en) * 2009-12-07 2012-09-04 Intel Corporation Quantum-well-based semiconductor devices
US8492852B2 (en) * 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8298881B2 (en) 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
CN102683283B (zh) * 2012-05-03 2014-10-15 上海华力微电子有限公司 一种双层隔离混合晶向应变硅纳米线cmos制备方法
CN102683293B (zh) * 2012-05-03 2014-07-16 上海华力微电子有限公司 双层SOI混合晶向后栅型反型模式SiNWFET的制备方法

Also Published As

Publication number Publication date
US9818847B2 (en) 2017-11-14
KR101686083B1 (ko) 2016-12-28
CN107425050A (zh) 2017-12-01
TWI506793B (zh) 2015-11-01
WO2014051740A3 (en) 2014-08-28
US8890264B2 (en) 2014-11-18
EP2901491B1 (en) 2022-09-28
KR101936488B1 (ko) 2019-01-08
TW201631776A (zh) 2016-09-01
US20150072498A1 (en) 2015-03-12
CN104603950B (zh) 2017-06-20
EP2901491A2 (en) 2015-08-05
KR20150052835A (ko) 2015-05-14
CN104603950A (zh) 2015-05-06
EP2901491A4 (en) 2016-06-22
TW201545356A (zh) 2015-12-01
KR20160145201A (ko) 2016-12-19
TWI544642B (zh) 2016-08-01
TW201427007A (zh) 2014-07-01
US20140084387A1 (en) 2014-03-27
WO2014051740A2 (en) 2014-04-03

Similar Documents

Publication Publication Date Title
TWI593116B (zh) 具有保形金屬閘極電極及氮摻雜閘極介電質介面的非平面iii-v族場效電晶體
US10784170B2 (en) CMOS implementation of germanium and III-V nanowires and nanoribbons in gate-all-around architecture
US20230335594A1 (en) Nanowire transistor fabrication with hardmask layers
US9614060B2 (en) Nanowire transistor with underlayer etch stops
TWI643340B (zh) 奈米線電晶體之內部間隔物及其製造方法
CN108054084B (zh) 纳米尺度模板结构上的ⅲ族-n晶体管
TWI489631B (zh) 用於降低奈米佈線電晶體中的寄生電阻之接觸技術及配置
US10763305B2 (en) Semiconductor structure with data storage structure
TW201824540A (zh) 用於iii-v族mosfet的源極/汲極凹陷蝕刻停止層及底部寬隙覆蓋層