TWI549178B - A plasma etch method, a plasma etch apparatus, and a memory medium - Google Patents

A plasma etch method, a plasma etch apparatus, and a memory medium Download PDF

Info

Publication number
TWI549178B
TWI549178B TW099101947A TW99101947A TWI549178B TW I549178 B TWI549178 B TW I549178B TW 099101947 A TW099101947 A TW 099101947A TW 99101947 A TW99101947 A TW 99101947A TW I549178 B TWI549178 B TW I549178B
Authority
TW
Taiwan
Prior art keywords
plasma
voltage
plasma etching
negative
upper electrode
Prior art date
Application number
TW099101947A
Other languages
English (en)
Other versions
TW201044456A (en
Inventor
Koichi Yatsuda
Yoshinobu Ooya
Shin Okamoto
Hiromasa Mochiki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201044456A publication Critical patent/TW201044456A/zh
Application granted granted Critical
Publication of TWI549178B publication Critical patent/TWI549178B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

電漿蝕刻方法、電漿蝕刻裝置及記憶媒體
本發明是有關對半導體基板等的被處理基板實施電漿蝕刻的電漿蝕刻方法、電漿蝕刻裝置及記憶媒體。
例如在半導體裝置的製造製程中,為了在被處理基板的半導體晶圓所形成的所定層中形成所定的圖案,大多使用以阻劑作為遮罩來藉由電漿進行蝕刻的電漿蝕刻處理。
用以進行如此的電漿蝕刻的電漿蝕刻裝置,有各式各樣被使用,其中又以電容耦合型平行平板電漿蝕刻裝置為主流。
電容耦合型平行平板電漿蝕刻裝置是在腔室內配置一對的平行平板電極(上部及下部電極),將處理氣體導入腔室內的同時,在電極的至少一方施加高頻電力,而於電極間形成高頻電場,藉由此高頻電場來產生處理氣體的電漿,而對半導體晶圓的所定層實施電漿蝕刻。
具體而言,有藉由施加電漿形成用的相對性高的頻率的高頻電力、及離子引入用的相對性低的頻率的高頻電力來形成適當的電漿狀態之電漿蝕刻裝置為人所知,藉此可以高選擇比來進行再現性高的蝕刻處理(例如專利文獻1)。而且,此種的蝕刻是利用電漿中正離子成為支配性的處理氣體來進行蝕刻。
可是,最近隨著半導體裝置的微細化進展,被要求長寬比為20以上的HARC(High Aspect Ratio Contact)蝕刻。在如此的HARC蝕刻中,蝕刻遮罩的光阻劑是帶負電,在蝕刻初期雖電荷會在蝕刻面中和,但隨著蝕刻進展,一旦長寬比變高,則正離子會積在孔底,蝕刻面會帶正電。因此,對蝕刻的促進扮演重要角色的正離子會在孔內因排斥而彎曲,產生蝕刻形狀的彎曲或變形。又,由於正離子難到達孔底部,所以造成蝕刻速率降低。
[先行技術文獻] [專利文獻]
[專利文獻1]特開2000-173993號公報
本發明是有鑑於上述情事而硏發者,其目的是在於提供一種可形狀性佳且以高蝕刻速率來蝕刻被蝕刻膜而形成高長寬比的孔之電漿蝕刻方法、電漿蝕刻裝置。
並且,以提供一種記憶有使如此的電漿蝕刻方法實行的程式之記憶媒體為目的。
為了解決上述課題,本發明的第1觀點的電漿蝕刻方法,係使用電漿蝕刻裝置在蝕刻對象膜形成孔的電漿蝕刻方法,該電漿蝕刻裝置係具備:收容被處理體,內部可真空排氣的處理容器、及配置於前述處理容器內,具有作為被處理體的載置台機能的下部電極、及以能夠對向於前述下部電極的方式配置於前述處理容器內的上部電極、及對前述處理容器內供給處理氣體的處理氣體供給單元、及對前述上部電極或下部電極的至少一方施加電漿生成用的高頻電力的電漿生成用高頻電力施加單元、及對前述上部電極施加負的直流電壓的直流電源,其特徵為:交替重複:第1條件,其係開啟前述電漿生成用高頻電力施加單元,而於前述處理容器內產生電漿,且從前述直流電源施加負的直流電壓至前述上部電極;及第2條件,其係關閉前述電漿生成用高頻電力施加單元,而使前述處理容器內的電漿消滅,且從前述直流電源施加負的直流電壓至前述上部電極,根據前述第1條件來藉由電漿中的正離子使蝕刻進行,根據前述第2條件來產生負離子,且藉由前述直流電壓來供給負離子至前述孔內,藉此中和前述孔內的正電荷。
在上述第1觀點中,較理想是前述電漿蝕刻裝置更具備:對前述下部電極施加偏壓施加用的高頻電力之偏壓施加用高頻電力供給單元,與前述電漿生成用高頻電力施加單元的開啟‧關閉同步,使前述偏壓施加用高頻電力供給單元的輸出變化,在關閉前述電漿生成用高頻電力施加單元來消滅電漿的期間,設置使前述偏壓施加用高頻電力供給單元形成關閉或比第1輸出更低輸出的第2輸出之期間。
並且,在此情況,較理想是在開啟前述電漿生成用高頻電力施加單元來產生電漿的期間,設置使前述偏壓施加用高頻電力供給單元形成關閉或比第1輸出更低輸出的第2輸出之期間。
本發明的第2觀點的電漿蝕刻方法,係使用電漿蝕刻裝置在蝕刻對象膜形成孔的電漿蝕刻方法,該電漿蝕刻裝置係具備:收容被處理體,內部可真空排氣的處理容器、及配置於前述處理容器內,具有作為被處理體的載置台機能的下部電極、及以能夠對向於前述下部電極的方式配置於前述處理容器內的上部電極、及對前述處理容器內供給處理氣體的處理氣體供給單元、及對前述上部電極或下部電極的至少一方施加電漿生成用的高頻電力的電漿生成用高頻電力施加單元、及對前述上部電極施加負的直流電壓的直流電源,其特徵為:進行第1階段,其係從前述電漿生成用高頻電力施加單元連續性供給高頻電力,而於前述處理容器內產生電漿,且從前述直流電源施加負的直流電壓至前述上部電極之第1階段,然後,進行第2階段,其係交替重複:第1條件,其係開啟前述電漿生成用高頻電力施加單元,而於前述處理容器內產生電漿,且從前述直流電源施加負的直流電壓至前述上部電極;及第2條件,其係關閉前述電漿生成用高頻電力施加單元,而使前述處理容器內的電漿消滅,且從前述直流電源施加負的直流電壓至前述上部電極,在前述第2階段中,根據前述第1條件來藉由電漿中的正離子使蝕刻進行,根據前述第2條件來產生負離子,且藉由前述直流電壓來供給負離子至前述孔內,藉此中和前述孔內的正電荷。
在上述第2觀點中,較理想是前述電漿蝕刻裝置更具備:對前述下部電極施加偏壓施加用的高頻電力之偏壓施加用高頻電力供給單元,在前述第1階段中,從前述偏壓施加用高頻電力供給單元連續性以第1輸出來施加偏壓,在前述第2階段中,與前述電漿生成用高頻電力施加單元的開啟‧關閉同步,使前述偏壓施加用高頻電力供給單元的輸出變化,在關閉前述電漿生成用高頻電力施加單元來消滅電漿的期間,設置使前述偏壓施加用高頻電力供給單元形成關閉或比第1輸出更低輸出的第2輸出之期間。
又,此時,較理想是在前述第2階段中,在開啟前述電漿生成用高頻電力施加單元來產生電漿的期間,設置使前述偏壓施加用高頻電力供給單元形成關閉或比第1輸出更低輸出的第2輸出之期間。
在上述第1及第2觀點中,較理想是前述第2條件的1次的時間為10μsec以上、50μsec以下。
並且,前述第1條件與前述第2條件的重複可以脈衝狀來進行。前述被處理體的蝕刻對象膜的典型例可舉絕緣膜。
本發明的第3觀點的電漿蝕刻裝置,其特徵係具備:收容被處理體,內部可真空排氣的處理容器;配置於前述處理容器內,具有作為被處理體的載置台機能的下部電極;以能夠對向於前述下部電極的方式配置於前述處理容器內的上部電極;對前述處理容器內供給處理氣體的處理氣體供給單元;對前述上部電極或下部電極的至少一方施加電漿生成用的高頻電力的電漿生成用高頻電力施加單元;對前述上部電極施加負的直流電壓的直流電源;及控制前述電漿生成用的高頻電力施加單元的控制部,前述控制部係控制成包含交替重複:第1條件,其係開啟前述電漿生成用高頻電力施加單元,而於前述處理容器內產生電漿,且從前述直流電源施加負的直流電壓至前述上部電極;及第2條件,其係關閉前述電漿生成用高頻電力施加單元,而使前述處理容器內的電漿消滅,且從前述直流電源施加負的直流電壓至前述上部電極,此時,根據前述第1條件來藉由電漿中的正離子使蝕刻進行,根據前述第2條件來產生負離子,且藉由前述直流電壓來供給負離子至前述孔內,藉此中和前述孔內的正電荷。
在上述第3觀點中,是更具備:對前述下部電極施加偏壓施加用的高頻電力之偏壓施加用高頻電力供給單元,前述控制部係與前述電漿生成用高頻電力施加單元的開啟‧關閉同步,使前述偏壓施加用高頻電力供給單元的輸出變化,在關閉前述電漿生成用高頻電力施加單元來消滅電漿的期間,設置使前述偏壓施加用高頻電力供給單元形成關閉或比第1輸出更低輸出的第2輸出之期間。
並且,在此情況中,較理想前述控制部係於開啟前述電漿生成用高頻電力施加單元來產生電漿的期間,設置使前述偏壓施加用高頻電力供給單元形成關閉或比第1輸出更低輸出的第2輸出之期間。
本發明的第4觀點的電腦可讀取的記憶媒體,係記憶有用以控制電漿蝕刻裝置之動作於電腦上的程式,該電漿蝕刻裝置係具備:收容被處理體,內部可真空排氣的處理容器、及配置於前述處理容器內,具有作為被處理體的載置台機能的下部電極、及以能夠對向於前述下部電極的方式配置於前述處理容器內的上部電極、及對前述處理容器內供給處理氣體的處理氣體供給單元、及對前述上部電極或下部電極的至少一方施加電漿生成用的高頻電力的電漿生成用高頻電力施加單元、及對前述上部電極施加負的直流電壓的直流電源,其特徵為:前述程式係於實行時,令電腦控制前述電漿蝕刻裝置,而使能夠進行上述第1觀點或第2觀點的電漿蝕刻方法。
若根據本發明,則會交替重複:開啟電漿生成用高頻電力施加單元來使電漿產生於處理容器內,且從直流電源施加負的直流電壓至上部電極之第1條件、及關閉電漿生成用高頻電力施加單元來使處理容器內的電漿消滅,且從直流電源施加負的直流電壓至前述上部電極之第2條件,根據第1條件來利用電漿中的正離子使蝕刻進行,根據第2條件來生成負離子,藉由前述直流電壓來供給負離子至前述孔內,藉此中和孔內的正電荷,所以在第2條件時中和孔內的正電荷之後以第1條件來生成電漿時,正離子不會在孔內彎曲行進。因此,可取得良好的蝕刻形狀,可抑制蝕刻進展而長寬比變高所造成的蝕刻速率降低。
以下,參照圖面來具體說明有關本發明的實施形態。
圖1是表示可實施本發明的電漿蝕刻方法的電漿蝕刻裝置的概略剖面圖。
此電漿蝕刻裝置是構成為電容耦合型平行平板電漿蝕刻裝置,具有例如表面被陽極氧化處理的鋁所構成的大略圓筒狀的腔室(處理容器)10。此腔室10是被安全接地。
在腔室10的底部隔著由陶瓷等所構成的絕緣板12來配置有圓柱狀的基座支持台14,在此基座支持台14上設有例如由鋁所構成的基座16。基座16是構成下部電極,在其上載置被處理基板的半導體晶圓W。
在基座16的上面設有以靜電力來吸附保持半導體晶圓W的靜電吸盤18。此靜電吸盤18是具有以一對的絕緣層或絕緣薄片來夾著由導電膜所構成的電極20的構造者,在電極20電性連接直流電源22。然後,利用藉由來自直流電源22的直流電壓所產生的庫倫力等的靜電力來將半導體晶圓W吸附保持於靜電吸盤18。
在靜電吸盤18(半導體晶圓W)的周圍,於基座16的上面,配置有用以使蝕刻的均一性提升之例如矽所構成的導電性聚焦環(補正環)24。在基座16及基座支持台14的側面設有例如石英所構成的圓筒狀內壁構件26。
在基座支持台14的內部,例如圓周上設有冷媒室28。在此冷媒室中,從設於外部的冷卻設備單元(未圖示)經由配管30a,30b來循環供給所定溫度的冷媒、例如冷卻水,可藉由冷媒的溫度來控制基座上的半導體晶圓W的處理溫度。
而且,來自未圖示的傳熱氣體供給機構的傳熱氣體,例如He氣體會經由氣體供給路線32來供給至靜電吸盤18的上面與半導體晶圓W的背面之間。
在下部電極的基座16的上方,以能夠和基座16對向的方式平行設有上部電極34。然後,上部及下部電極34,16間的空間會成為電漿生成空間。上部電極34是與下部電極的基座16上的半導體晶圓W對向,而形成與電漿生成空間接觸的面,亦即對向面。
此上部電極34是隔著絕緣性遮蔽構件42來被腔室10的上部所支持,藉由電極板36及水冷構造的電極支持體38所構成,該電極板36是構成與基座16的對向面,且具有多數的吐出孔37,該電極支持體38是裝卸自如地支持該電極板36,由導電性材料例如鋁所構成。電極板36是焦耳熱少的低電阻的導電體或半導體為理想,且如後述般由強化阻劑的觀點來看是含矽物質為理想。由如此的觀點來看,電極板36是以矽或SiC所構成為理想。在電極支持體38的內部設有氣體擴散室40,連通至氣體吐出孔37的多數個氣體通流孔41會由此氣體擴散室40延伸至下方。
在電極支持體38形成有往氣體擴散室40導入處理氣體的氣體導入口62,在此氣體導入口62連接氣體供給管64,在氣體供給管64連接處理氣體供給源66。在氣體供給管64,從上游側依序設有質量流控制器(MFC)68及開閉閥70(亦可取代MFC而為FCS)。然後,從處理氣體供給源66,蝕刻用的處理氣體,例如C4F8氣體之類的氟碳化合物氣體(CxFy)會由氣體供給管64至氣體擴散室40,經由氣體通流孔41及氣體吐出孔37來淋浴狀地吐出至電漿生成空間。亦即,上部電極34是具有用以供給處理氣體的淋浴頭之機能。
在上部電極34是經由低通濾波器(LPF)46a來電性連接可變直流電源50。可變直流電源50是以負極能夠成為上部電極34側的方式連接,可對上部電極34施加負的電壓。來自可變直流電源50的給電是可藉由開啟‧關閉開關52來開啟‧關閉。並且,可變直流電源50的電流‧電壓及開啟‧關閉開關52的開啟‧關閉是可藉由控制器51來控制。低通濾波器(LPF)46a是捕捉來自後述第1及第2高頻電源的高頻者,適合以LR濾波器或LC濾波器來構成。
以能夠從腔室10的側壁延伸至比上部電極34的高度位置更上方的方式設置圓筒狀的接地導體10a。
在下部電極的基座16是經由第1整合器46來電性連接第1高頻電源48。第1高頻電源48是輸出27~100MHz的頻率,例如40MHz的高頻電力。第1整合器46是使負荷阻抗整合於第1高頻電源48的內部(或輸出)阻抗者,具有在腔室10內產生電漿時使第1高頻電源48的輸出阻抗與負荷阻抗看起來一致的機能。
並且,在下部電極的基座16是經由第2整合器88來電性連接第2高頻電源90。由此第2高頻電源90供給高頻電力至下部電極的基座16,藉此偏壓會被施加於半導體晶圓W,離子會被引進半導體晶圓W。第2高頻電源90是輸出400kHz~13.56MHz的範圍內的頻率,例如3MHz的高頻電力。第2整合器88是用以使負荷阻抗整合於第2高頻電源90的內部(或輸出)阻抗者,具有在腔室10內產生電漿時使第2高頻電源90的內部阻抗與腔室10內含電漿的負荷阻抗看起來一致的機能。
在第1高頻電源48、第2高頻電源90、第1整合器46及第2整合器88連接RF控制器95,該等第1高頻電源48、第2高頻電源90、第1整合器46及第2整合器88可藉由RF控制器95來控制。具體而言,RF控制器95可控制第1高頻電源48的開啟‧關閉及輸出,可控制成連續性開啟第1高頻電源48來產生電漿的狀態、及交替地開啟‧關閉,例如脈衝狀交替形成電漿存在的狀態及電漿消滅的狀態之狀態。並且,RF控制器95可控制偏壓用的第2高頻電源90的開啟‧關閉及輸出,可使電漿處理中以所定的輸出來連續性施加偏壓的狀態、及第2高頻電源90的輸出與第1高頻電源48的開啟‧關閉同步,而例如脈衝狀地控制輸出。在使第2高頻電源90與第1高頻電源48的開啟‧關閉同步而來控制輸出時,可交替地開啟‧關閉,或不完全關閉地使高輸出及低輸出形成交替。
如圖2所示,此第1整合器46是具有:從第1高頻電源46的給電路線96分歧而設置的第1可變電容器97、及設於給電路線96的該分歧點的第1高頻電源48側的第2可變電容器98、及設於分歧點的相反側的線圈99。本實施形態的情況與通常的電漿蝕刻不同,第1高頻電源48是高頻電力以所定周期被開啟‧關閉的模式時,RF控制器95會控制成使第1整合器46的整合動作同步於此開啟‧關閉來切換。
此情況,RF控制器95在使第1高頻電力供給單元48以開啟‧關閉模式來動作時,在關閉時是控制成不會進行第1整合器46的動作,在開啟時是控制成第1整合器46會進行第1高頻電源48的內部阻抗與腔室10內含電漿的負荷阻抗為一致之類的動作。
有關第2整合器88基本上也是與第1整合器46同樣構成,使第2高頻電源90的輸出同步於第1高頻電源48的開啟‧關閉來進行輸出控制時,RF控制器95會控制成使第2整合器88的整合動作同步於此輸出控制來切換。
此情況,RF控制器95是在使第2高頻電源90同步於第1高頻電源48的開啟‧關閉來進行輸出控制時控制成不會進行第2整合器88的動作。但,第2整合器88的動作十分快時,亦可在高輸出時控制成第2整合器88會進行第2高頻電源90的內部阻抗與腔室10內含電漿的負荷阻抗為一致之類的動作。
在腔室10的底部設有排氣口80,在此排氣口80經由排氣管82來連接排氣裝置84。排氣裝置84是具有渦輪分子泵等的真空泵,可將腔室10內減壓至所望的真空度。並且,在腔室10的側壁設有半導體晶圓W的搬出入口85,此搬出入口85可藉由閘閥86來開閉。並且,沿著腔室10的內壁裝卸自如地設有用以防止蝕刻副生物(沈積物)附著於腔室10的沈積物屏蔽11。亦即,沈積物屏蔽11構成腔室壁。並且,沈積物屏蔽11也設於內壁構件26的外周。在腔室10的底部的腔室壁側的沈積物屏蔽11與內壁構件26側的沈積物屏蔽11之間設有排氣板83。沈積物屏蔽11及排氣板83可適用鋁材被覆Y2O3等的陶瓷者。
在沈積物屏蔽11構成腔室內壁的部分之與晶圓W大致同高度的部分設有DC性連接至接地的導電性構件(GND區塊)91,藉此發揮異常放電防止效果。另外,此導電性構件91是只要設於電漿生成領域即可,其位置並非限於圖1的位置,例如亦可設於基座16的周圍等,設於基座16側,或環狀地設於上部電極34的外側等,設於上部電極附近。
電漿處理裝置的各構成部,例如電源系或氣體供給系、驅動系、甚至RF控制器95等是形成被連接至含微電腦(電腦)的控制部(全體控制裝置)100而來控制的構成。並且,在控制部100連接有由鍵盤、顯示器等所構成使用者介面101,該鍵盤是為了操作者管理電漿處理裝置而進行命令的輸入操作等,顯示器是使電漿處理裝置的操業狀況可視化顯示。
更在控制部100連接有記憶部102,該記憶部102是儲存有用以在控制部100的控制下實現在電漿處理裝置所被實行的各種處理的控制程式或按照處理條件來使處理實行於電漿處理裝置的各構成部的程式亦即處方。處方可被記憶於記憶部102中的記憶媒體。記憶媒體可為硬碟或半導體記憶體,或亦可為CDROM、DVD、快閃記憶體等可搬性者。又,亦可由其他的裝置例如經由專用線路來使處方適當傳送。
然後,因應所需,以來自使用者介面101的指示等,從記憶部102叫出任意的處方,使實行於控制部100,在控制部100的控制下,進行電漿處理裝置的所望處理。在本發明的實施形態所述的電漿處理裝置(電漿蝕刻裝置)是包含此控制部100者。
其次,說明有關在如此構成的電漿處理裝置中,本發明的高長寬比的接觸孔的蝕刻(HARC蝕刻)。
在此,例如圖3所示,準備一半導體晶圓W,對絕緣膜121實施HARC蝕刻,該半導體晶圓W是在Si基板120上形成絕緣膜121,以其上藉由光蝕刻(Photolithography)而圖案化的光阻劑膜122作為蝕刻遮罩來形成之構造。
首先,將閘閥86設為開啟狀態,經由搬出入口85來將上述構成的半導體晶圓W搬入腔室10內,載置於基座16上。然後,一邊藉由排氣裝置84來對腔室10內進行排氣,一邊從處理氣體供給源66以所定的流量來供給處理氣體至氣體擴散室40,一面經由氣體通流孔41及氣體吐出孔37來供給至腔室10內,一面將其中的壓力例如設為0.1~150Pa的範圍內的設定值。在此狀態下,從第1高頻電源48來施加27~100MHz的頻率,例如40MHz較高頻率的電漿生成用的高頻電力至下部電極的基座16,且從第2高頻電源90連續性施加400kHz~13.56MHz的頻率,例如3MHz之比電漿生成用的高頻電力更低頻率的離子引入用的高頻電力,更從可變直流電源50連續性施加所定的直流電壓至上部電極34,而來對半導體晶圓W進行第1階段的蝕刻。此時,半導體晶圓W是藉由從直流電源22來施加直流電壓至靜電吸盤18的電極20,而固定於靜電吸盤18。
在此,處理氣體可採用以往所被使用的各種,例如可適用以C4F8氣體之類的氟碳化合物氣體(CxFy)為代表之含有鹵元素的氣體。又,亦可含Ar氣體或O2氣體等其他的氣體。
從形成於上部電極34的電極板36的氣體吐出孔37吐出的處理氣體是在藉由高頻電力所產生之上部電極34與下部電極的基座16間的輝光放電中電漿化,藉由在此電漿所生成的正離子或自由基來蝕刻半導體晶圓W的絕緣膜121。
此時,藉由對下部電極施加電漿形成用的高頻電力,可在更接近晶圓之處產生電漿,且電漿不會擴散至廣的區域,可抑制處理氣體的解離,所以即使是腔室10內的壓力高,電漿密度低的條件,還是可使蝕刻速率提升。又,即使電漿形成用的高頻電力的頻率高時,還是可確保比較大的離子能量,所以為高效率。並且,像本實施形態那樣藉由對下部電極分別施加電漿形成用的高頻電力及離子引入用的高頻電力,可獨立控制電漿蝕刻所必要之電漿形成的機能及離子引入的機能。因此,可滿足被要求高微細加工性的蝕刻條件。又,由於對電漿生成用供給27MHz以上的高頻率領域的高頻電力,所以可使電漿在理想的狀態下高密度化,即使在更低壓的條件下,還是可產生高密度電漿。而且在如此形成電漿時,藉由控制器51來從可變直流電源50施加負的直流電壓至上部電極34,因此電漿中的正離子會衝突於上部電極34而使二次電子生成於其附近,可使該二次電子往垂直方向下方加速來供給至被處理體的半導體晶圓W。
可是,如此的蝕刻是電漿中的正離子會成為支配性,但在蝕刻初期,藉由蝕刻所形成的接觸孔123淺,如圖4所示,光阻劑膜122會藉由電漿中的電子而帶負電,由於電子會到達蝕刻面,所以即使正離子被供給至蝕刻面,電荷會中和。因此,蝕刻會正常地進行。
然而,隨著蝕刻進展,如圖5所示,一旦接觸孔123的長寬比變高,則電子難以到達接觸孔123內,正離子會積在接觸孔123內,蝕刻面形成帶正電的狀態。
若維持此狀態不動來使蝕刻進行,則為了蝕刻而進入接觸孔123內的正離子會因與接觸孔123內的正電荷之間的排斥而彎曲,產生蝕刻形狀的彎曲或變形。又,由於正離子難以到達孔底部,所以會帶來蝕刻速率的降低。
於是,某程度蝕刻進展後,維持從可變直流電源50連續性施加直流電壓的狀態不動,切換成交替開啟‧關閉電漿生成用的第1高頻電源48而來交替重複電漿生成的狀態(電漿開啟)及電漿消失的狀態(電漿關閉)之第2階段。
將第2階段的直流電源50、第1高頻電源48、電漿發光強度、正離子、負離子的狀態顯示於圖6。如此圖所示,在第2階段,若將第1高頻電源48關閉,則電漿發光強度會降低,電漿會消失。隨著此電漿發光強度的降低,正離子會減少,藉由剩下的電子,負離子會增加。因為在上部電極34是被施加負的直流電壓,所以如圖7所示,存在於電極間的處理空間的負離子會藉由直流電壓而被推入接觸孔123內,中和其中的正電荷。然後,其次開啟第1高頻電源48時,因為接觸孔123內的正電荷會減少,所以如圖8所示,正離子可直進接觸孔123內。因此,藉由如此一面對上部電極34施加負的直流電壓,一面交替開啟‧關閉第1高頻電源48,而交替形成電漿開啟的正離子成為支配性的時期、及電漿關閉的負離子成為支配性的時期,在接觸孔123內之正離子的彎曲會被抑制,可使蝕刻形狀成為良好者,蝕刻速率也可提高。
此情況,關閉電漿生成用的第1高頻電源48的時間是10μsec以上、50μsec以下為理想。藉由設為10μsec以上,可形成正離子少負離子多的狀態,但若超過50μsec,則無助於蝕刻的時間會變長,效率會降低。
在此第2階段中,是以同步於第1高頻電源48的開啟‧關閉來使偏壓施加用的第2高頻電源90的輸出變化為理想。具體而言,(1)在關閉第1高頻電源48來消滅電漿的期間,設置關閉第2高頻電源90或使輸出降低的期間。(2)加上,在開啟第1高頻電源48來產生電漿的期間,設置關閉第2高頻電源90或使輸出降低的期間。進行(1)及(2)雙方的順序例,如圖9的(a)所示,在關閉電漿生成用的第1高頻電源48來消滅電漿的期間,將第2高頻電源90設為關閉或比第1階段更低的輸出,在開啟第1高頻電源48來產生電漿的期間,使偏壓施加用的第2高頻電源90的輸出能夠交替重複與第1階段相同的第1輸出、及關閉(輸出0)或比第1階段更低輸出的第2輸出之順序,如圖9的(b)所示,可舉使第1輸出及第2輸出的切換周期與第1高頻電源48的開啟‧關閉周期配合,錯開其時序之順序。只就上述(1)的順序例而言,如圖9的(c)所示,可舉使第2高頻電源的第1輸出及第2輸出的切換時序與第1高頻電源的開啟‧關閉時序完全一致之順序。
上述(1)是在關閉第1高頻電源48來消滅電漿的期間,若第2高頻電源90為第1輸出,則在下部電極的基座16上殘留有相當厚的電漿鞘層,這會成為負離子的障壁,因此在電漿消滅的期間使第2高頻電源90成為關閉或第2輸出,將電漿鞘層設為0或極小者,使如此的障壁成為實質上無的狀態。因此,可更提高開啟‧關閉上述第1高頻電源48的效果。
另一方面,有關上述(2)是如以下所述般。在開啟第1高頻電源48來產生電漿時,對上部電極34施加負的直流電壓,藉此電漿中的正離子會衝突於上部電極34而來產生二次電子,該二次電子會被加速於垂直方向下方,但當偏壓施加用的第2高頻電源90的輸出為第1輸出時,如圖10的(a)所示,因為在下部電極的基座16上形成有厚的電漿鞘層S,所以此電漿鞘層S會成為二次電子的障壁,幾乎不會到達接觸孔123內。相對的,當偏壓施加用的第2高頻電源90的輸出為0或更低的第2輸出時,如圖10的(b)所示,可使電漿鞘層S變薄,二次電子的障壁小,因此可使藉由被施加於上部電極34的直流電壓來加速於垂直方向下方的二次電子有效地到達接觸孔123內,可中和接觸孔123內的正電荷。因此,藉此也可更為提高開啟‧關閉上述第1高頻電源48的效果。
圖11是表示施加2MHz的高頻偏壓(Vpp=1000V)時的電漿中(晶圓上方15mm)及晶圓表面的電子能量,(a)為電漿中,(b)為晶圓表面。另一方面,圖12是表示未施加高頻偏壓時的電漿中(晶圓上方15mm)及晶圓表面的電子能量,(a)為電漿中,(b)為晶圓表面。由該等的圖可確認出,藉由關閉高頻偏壓,可降低障壁對二次電子的效果,該二次電子是具有藉由DC施加而從上部電極34放出、加速的能量。
另外,藉由電漿關閉使負離子增加的效果,若電漿未大致完全消失,則未被有效地發揮,因此在關閉第1高頻電源48時也被施加的直流電壓必須是實質上無助於電漿的生成之大小。並且,依順序,在關閉第1高頻電源48時,也會有被施加來自第2高頻電源90的高頻電力的情形,但此時該高頻電力也必須是實質上無助於電漿的輸出。並且,偏壓施加用的第2高頻電源90的第2輸出只要是電漿鞘層的厚度為形成二次電子透過程度的厚度之類的輸出,則雖可不一定要是0(關閉),但以形成0(關閉)為理想。
其次,說明有關可實施本發明的方法的其他電漿蝕刻裝置。圖13是表示可實施本發明的電漿蝕刻方法的其他電漿蝕刻裝置的概略剖面圖。
此電漿蝕刻裝置是電漿生成用的高頻電力會被施加於上部電極的點與圖1的裝置不同,但有關其他的構成則是基本上與第1實施形態的電漿蝕刻裝置同樣,因此在圖13中,對於與圖1相同者附上同樣的符號,而省略說明。
在本實施形態中,用以產生電漿的第1高頻電源48'會經由第1整合器46'及給電棒44來連接至上部電極34。第1高頻電源48'是具有與第1實施形態的第1高頻電源48同樣的機能,其頻率是27~100MHz的範圍為理想。第1整合器46'是使負荷阻抗整合於第1高頻電源48'的內部(或輸出)阻抗者,具有在腔室10內產生電漿時使第1高頻電源48'的輸出阻抗與負荷阻抗看起來一致的機能。第1整合器46的輸出端子是被連接至給電棒44的上端。並且,可變直流電源50也經由上述第1整合器46'及給電棒44來連接至上部電極34。
如圖14所示,第1整合器46'是具有:從第1高頻電源48'的給電路線49分歧而設置的第1可變電容器54、及設於給電路線49的該分歧點的下游側的第2可變電容器56,藉由該等來發揮上述機能。並且,在第1整合器46'設有濾波器58,以直流電壓電流(以下簡稱直流電壓)能夠有效地供給至上部電極34的方式捕捉來自第1高頻電源48'的高頻電力(例如40MHz)及來自第2高頻電源90的高頻電力(例如3MHz)。亦即,來自可變直流電源50的直流電流會經由濾波器58來流動於給電路線49。此濾波器58是以線圈59及電容器60所構成,藉由該等來捕捉來自第1高頻電源48'的高頻電力及來自第2高頻電源90的高頻電力。並且,在圓筒狀接地導體10a的頂壁部分與給電棒44之間設有筒狀的絕緣構件44a,藉此給電棒44與接地導體10a會被電性絕緣。
在上部電極34電性連接有低通濾波器(LPF)92,該低通濾波器(LPF)92是用以使來自第1高頻電源48'的高頻電力(例如40MHz)不通過,而使來自第2高頻電源90的高頻電力(例如3MHz)通往接地者。此低通濾波器(LPF)92是適合以LR濾波器或LC濾波器來構成,即使僅1條的導線也可對來自第1高頻電源48的高頻電力(60MHz)賦予充分的電抗,所以亦可應付。另一方面,在下部電極的基座16電性連接有高通濾波器(HPF)94,該高通濾波器(HPF)94是用以使來自第1高頻電源48'的高頻電力(例如40MHz)通至接地者。
在圖13的電漿蝕刻裝置中也是一面對上部電極34施加直流電壓,一面開啟‧關閉電漿生成用的第1高頻電源48',藉此在電漿關閉時以負離子來中和接觸孔內的正電荷,在電漿開啟時可使正離子直進。並且,藉由使偏壓施加用的第2高頻電源90的輸出變化,亦可取得藉由二次電子之接觸孔中的正電荷的中和效果。
由於此圖13的裝置是對上部電極34供給電漿形成用的第1高頻電力,對下部電極的基座16供給離子引入用的第2高頻電力,因此可擴大電漿的控制界限,且因為對上部電極34供給27MHz以上的高頻率領域的高頻電力,所以可使電漿在較佳的狀態下高密度化,即使是在較低壓的條件下也可產生高密度電漿。
不過,在如此對上部電極施加電漿形成用的高頻電力時,是在上部電極附近產生電漿,所以就腔室10內的壓力高,電漿密度低之類的條件而言,比較難以使對晶圓的蝕刻速率提昇。
另外,在上述的任一實施形態中,上述第1高頻電力及第2高頻電力所能採取的頻率,就第1高頻電力而言,可舉27MHz、40MHz、60MHz、80MHz、100MHz,就第2高頻電力而言,可舉400kHz、800kHz、1MHz、2MHz、3MHz、13MHz、13.6MHz,可按照製程來以適當的組合使用。
以上,說明有關本發明的實施形態,但本發明並非限於上述實施形態,亦可實施各種的變形。例如,上述實施形態是在電漿蝕刻時進行:一面對上部電極34施加直流電壓,一面連續施加電漿生成用的高頻電力之第1階段、及一面對上部電極34施加直流電壓,一面開啟‧關閉電漿生成用的高頻電力之第2階段,但亦可只進行第2階段。又,適用本發明的被處理體並非限於圖3所示者,例如亦可為在光阻劑與蝕刻對象膜之間存在反射防止膜或硬質遮罩者。蝕刻對象膜典型的是絕緣膜,但並非限於此。
10...腔室(處理容器)
16...基座(下部電極)
34...上部電極
46、46'...第1整合器
48、48'...第1高頻電源
50...可變直流電源
66...處理氣體供給源
84...排氣裝置
88...第2整合器
90...第2高頻電源
95...RF控制器
100...控制部
102...記憶部
W...半導體晶圓(被處理基板)
圖1是表示可實施本發明的電漿蝕刻方法之電漿蝕刻裝置的概略剖面圖。
圖2是表示在圖1的電漿蝕刻裝置中被連接至第1高頻電源的第1整合器的構造。
圖3是表示適用本發明的電漿蝕刻方法之被處理體的半導體晶圓的構造例的剖面圖。
圖4是模式性地顯示蝕刻初期的被處理體的狀態的剖面圖。
圖5是模式性地顯示蝕刻進行的階段的被處理體的狀態的剖面圖。
圖6是表示本發明的實施形態的電漿蝕刻方法的第2階段的直流電源、第1高頻電源、電漿發光強度、正離子、負離子的狀態的時序圖。
圖7是用以說明在第2階段,關閉電漿生成用的第1高頻電源時的狀態的模式圖。
圖8是用以說明在第2階段,關閉電漿生成用的第1高頻電源後開啟的狀態的模式圖。
圖9是表示本發明的實施形態的電漿蝕刻方法的第2階段的偏壓施加用的第2高頻電力的較佳施加形態。
圖10是用以說明在電漿生成時使偏壓施加用的高頻電力開啟‧關閉的作用。
圖11是表示在電漿生成時施加高頻偏壓時的電漿中及晶圓表面電子能量。
圖12是表示在電漿生成時未施加高頻偏壓時的電漿中及晶圓表面電子能量。
圖13是表示可實施本發明的電漿蝕刻方法的其他電漿蝕刻裝置的概略剖面圖。
圖14是表示在圖13的電漿蝕刻裝置中被連接至第1高頻電源的第1整合器的構造。
48...第1高頻電源
50...可變直流電源

Claims (19)

  1. 一種電漿蝕刻方法,係使用電漿蝕刻裝置在蝕刻對象膜形成孔的電漿蝕刻方法,該電漿蝕刻裝置係具備:收容被處理體,內部可真空排氣的處理容器、及配置於前述處理容器內,具有其上載置前述被處理體的載置台的下部電極、及以對向於前述下部電極的方式配置於前述處理容器內的上部電極、及對前述處理容器內供給處理氣體的處理氣體供給單元、及對前述上部電極或下部電極的至少一方施加電漿生成用的RF電力的RF電力供給器、及對前述上部電極施加負的直流電壓的直流電源供給器,其中交替重複第1處理及第2處理,該第1處理係開啟前述RF電力供給器,而於前述處理容器內產生電漿,且從前述直流電源供給器施加前述負的直流電壓至前述上部電極;及該第2處理係關閉前述RF電力供給器,且從前述直流電源供給器施加前述負的直流電壓至前述上部電極,其中在前述第2處理期間,電漿鞘層藉由在前述第1處理產生的電漿而殘留形成在前述下部電極上,且其中前述方法進一步包括在前述第2處理期間減少前述電漿鞘層的厚度,及其中在前述第1處理期間藉由電漿中的正離子進行蝕刻處理,及在前述第2處理期間產生負離子,且藉由前述直流電壓來供給負離子至前述孔內,藉此中和前述孔內的正離子,從而在前述蝕刻處理期間抑制前述孔內的前述正 離子的行進方向的彎曲。
  2. 如申請專利範圍第1項之電漿蝕刻方法,其中,前述電漿蝕刻裝置更具備:對前述下部電極施加偏壓施加用的RF電力之偏壓RF電力供給器,及其中與前述RF電力供給器的開啟/關閉同步,使前述偏壓RF電力供給器的輸出變化,在前述第2處理期間,針對特定周期關閉前述偏壓RF電力供給器或減少前述偏壓RF電力供給器之輸出。
  3. 如申請專利範圍第2項之電漿蝕刻方法,其中,在開啟前述RF電力供給器來產生電漿的期間,針對特定周期關閉前述偏壓RF電力供給器或減少前述偏壓RF電力供給器之輸出。
  4. 一種電漿蝕刻方法,係使用電漿處理裝置在蝕刻對象膜形成孔的電漿蝕刻方法,該電漿處理裝置係具備:收容被處理體,內部可真空排氣的處理容器、及配置於前述處理容器內,具有其上載置前述被處理體的載置台的下部電極、及以對向於前述下部電極的方式配置於前述處理容器內的上部電極、及對前述處理容器內供給處理氣體的處理氣體供給單元、及對前述上部電極或下部電極的至少一方施加電漿生成用的RF電力的RF電力供給器、及對前述上部電極施加負的直流電壓的直流電源供給器,該方法包含:第1步驟,其係從前述RF電力供給器連續性供給RF電力,而於前述處理容器內產生電漿,且從前述直流電源 供給器施加負的直流電壓至前述上部電極,第2步驟,其係交替重複第1處理及第2處理,該第1處理係開啟前述RF電力供給器,而於前述處理容器內產生電漿,且從前述直流電源供給器施加前述負的直流電壓至前述上部電極;及該第2處理係關閉前述RF電力供給器,且從前述直流電源供給器施加前述負的直流電壓至前述上部電極,其中在前述第2處理期間,電漿鞘層藉由在前述第1處理產生的電漿而殘留形成在前述下部電極上,且其中前述方法進一步包括在前述第2處理期間減少前述電漿鞘層的厚度,及其中在前述第2步驟中,在前述第1處理中藉由電漿中的正離子進行蝕刻,及在前述第2處理中產生負離子,且藉由前述直流電壓來供給負離子至前述孔內,藉此中和前述孔內的正離子,從而在前述蝕刻處理期間抑制前述孔內的前述正離子的行進方向的彎曲。
  5. 如申請專利範圍第4項之電漿蝕刻方法,其中,前述電漿蝕刻裝置更具備:對前述下部電極施加偏壓施加用的RF電力之偏壓RF電力供給器,其中在前述第1步驟中,從前述偏壓RF電力供給器連續性在第1輸出施加偏壓,及其中在前述第2步驟中,與前述RF電力供給器的前述開啟/關閉同步,使前述偏壓RF電力供給器的輸出變化,且在該第2處理期間,針對特定週期關閉前述偏壓 RF電力供給器或使第2輸出低於第1輸出。
  6. 如申請專利範圍第5項之電漿蝕刻方法,其中,在前述第2步驟中,在開啟前述RF電力供給器來產生電漿的期間,針對特定周期關閉前述偏壓RF電力供給器或使前述第2輸出低於前述第1輸出。
  7. 如申請專利範圍第1項之電漿蝕刻方法,其中,前述第2處理的1次的時間為10μsec以上、50μsec以下。
  8. 如申請專利範圍第1之電漿蝕刻方法,其中,前述第1處理與前述第2處理的重複是以脈衝狀來進行。
  9. 如申請專利範圍第1之電漿蝕刻方法,其中,前述被處理體的蝕刻對象膜為絕緣膜。
  10. 如申請專利範圍第2項之電漿蝕刻方法,其中在前述第1處理期間,針對特定周期關閉前述偏壓RF電力供給器或減少前述偏壓RF電力供給器之輸出。
  11. 如申請專利範圍第5項之電漿蝕刻方法,其中在前述第1處理期間,針對特定周期關閉前述偏壓RF電力供給器或減少前述偏壓RF電力供給器之輸出。
  12. 如申請專利範圍第1項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  13. 如申請專利範圍第2項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  14. 如申請專利範圍第3項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  15. 如申請專利範圍第4項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  16. 如申請專利範圍第5項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  17. 如申請專利範圍第6項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  18. 如申請專利範圍第10項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
  19. 如申請專利範圍第11項之電漿蝕刻方法,其中當交替重複前述第1處理與前述第2處理時,從前述直流電力供給器連續地施加前述負的直流電壓至前述上部電極。
TW099101947A 2009-01-26 2010-01-25 A plasma etch method, a plasma etch apparatus, and a memory medium TWI549178B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009014254A JP5221403B2 (ja) 2009-01-26 2009-01-26 プラズマエッチング方法、プラズマエッチング装置および記憶媒体

Publications (2)

Publication Number Publication Date
TW201044456A TW201044456A (en) 2010-12-16
TWI549178B true TWI549178B (zh) 2016-09-11

Family

ID=42354502

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099101947A TWI549178B (zh) 2009-01-26 2010-01-25 A plasma etch method, a plasma etch apparatus, and a memory medium

Country Status (5)

Country Link
US (1) US8641916B2 (zh)
JP (1) JP5221403B2 (zh)
KR (1) KR101475546B1 (zh)
CN (1) CN101800161B (zh)
TW (1) TWI549178B (zh)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US8783220B2 (en) 2008-01-31 2014-07-22 West Virginia University Quarter wave coaxial cavity igniter for combustion engines
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
KR101246191B1 (ko) 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
TWI556306B (zh) * 2012-02-01 2016-11-01 Tokyo Electron Ltd Plasma etching method and plasma etching device
US20130206738A1 (en) * 2012-02-10 2013-08-15 First Solar, Inc. In situ inductive ablation meter
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
KR101504532B1 (ko) * 2012-03-09 2015-03-24 주식회사 윈텔 플라즈마 처리 방법 및 기판 처리 장치
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9129902B2 (en) * 2013-05-01 2015-09-08 Lam Research Corporation Continuous plasma ETCH process
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP6169701B2 (ja) * 2013-08-09 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6140575B2 (ja) * 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
JP6180890B2 (ja) * 2013-11-08 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN104752256B (zh) * 2013-12-25 2018-10-16 中微半导体设备(上海)有限公司 一种等离子体刻蚀方法和系统
PE20170722A1 (es) 2014-04-08 2017-07-04 Plasma Igniter Inc Generacion de plasma de resonador de cavidad coaxial de senal doble
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP6424024B2 (ja) * 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP6356516B2 (ja) * 2014-07-22 2018-07-11 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
CN104502808B (zh) * 2014-11-20 2018-08-17 平高集团有限公司 直流长期带电试验方法、系统以及电极加载方法、装置
US9922806B2 (en) * 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
JP6602581B2 (ja) * 2015-07-17 2019-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6789721B2 (ja) * 2016-08-12 2020-11-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10312048B2 (en) * 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
KR20190014623A (ko) * 2017-08-03 2019-02-13 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
JP7045152B2 (ja) * 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR102003942B1 (ko) * 2017-11-07 2019-07-25 한국원자력연구원 정합 장치를 포함하는 플라즈마 발생 장치 및 임피던스 정합 방법
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TWI792598B (zh) 2017-11-17 2023-02-11 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
US20190186369A1 (en) 2017-12-20 2019-06-20 Plasma Igniter, LLC Jet Engine with Plasma-assisted Combustion
KR102330944B1 (ko) * 2018-01-29 2021-12-01 가부시키가이샤 알박 반응성 이온 에칭 장치
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7306886B2 (ja) * 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
US10672589B2 (en) * 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
JP7068140B2 (ja) * 2018-11-05 2022-05-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111146086B (zh) * 2018-11-05 2024-05-03 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US20210398780A1 (en) * 2018-11-30 2021-12-23 Lam Research Corporation Method andd apparatus for atomic layer deposition or chemical vapor deposition
WO2020145051A1 (ja) * 2019-01-09 2020-07-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11361947B2 (en) 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524782B (zh) * 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
JP7158308B2 (ja) * 2019-02-14 2022-10-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6976279B2 (ja) * 2019-03-25 2021-12-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
CN112226734A (zh) * 2019-07-15 2021-01-15 住友重机械工业株式会社 负离子生成装置
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20210305027A1 (en) * 2020-03-24 2021-09-30 Tokyo Electron Limited Plasma processing apparatus and wear amount measurement method
JP2021180283A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 載置台アセンブリ、基板処理装置および基板処理方法
KR20210157854A (ko) 2020-06-22 2021-12-29 안재용 기능성 마스크
US11532481B2 (en) * 2020-06-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method of forming
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) * 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070221493A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
US20080023440A1 (en) * 2006-07-31 2008-01-31 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2941572B2 (ja) * 1992-08-11 1999-08-25 三菱電機株式会社 プラズマエッチング装置及び半導体装置の製造方法
JPH06342769A (ja) * 1992-08-21 1994-12-13 Nissin Electric Co Ltd エッチング方法及び装置
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
KR100253080B1 (ko) * 1997-06-25 2000-04-15 윤종용 반도체 장치의 건식식각 방법 및 그 제조 장치
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
US6566272B2 (en) * 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
CN1983518B (zh) * 2004-06-21 2011-06-08 东京毅力科创株式会社 等离子体处理装置和方法
JP5036143B2 (ja) * 2004-06-21 2012-09-26 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070221493A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
US20080023440A1 (en) * 2006-07-31 2008-01-31 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation

Also Published As

Publication number Publication date
US8641916B2 (en) 2014-02-04
US20100190350A1 (en) 2010-07-29
JP5221403B2 (ja) 2013-06-26
TW201044456A (en) 2010-12-16
KR101475546B1 (ko) 2014-12-22
CN101800161A (zh) 2010-08-11
KR20100087266A (ko) 2010-08-04
CN101800161B (zh) 2013-08-21
JP2010171320A (ja) 2010-08-05

Similar Documents

Publication Publication Date Title
TWI549178B (zh) A plasma etch method, a plasma etch apparatus, and a memory medium
JP6671446B2 (ja) デュアルチャンバ構成のパルスプラズマチャンバ
TWI540637B (zh) Plasma etching method
JP5466480B2 (ja) プラズマエッチング方法、プラズマエッチング装置および記憶媒体
TWI576890B (zh) Power supply system, plasma processing device and plasma processing method
US8383001B2 (en) Plasma etching method, plasma etching apparatus and storage medium
TWI587384B (zh) Plasma processing device and plasma processing method (1)
TWI665726B (zh) 電漿蝕刻方法及電漿蝕刻裝置
KR101154559B1 (ko) 플라즈마 에칭 장치 및 플라즈마 에칭 방법
TWI424792B (zh) Plasma processing device and plasma processing method
TW201810422A (zh) 使用材料變性及rf脈衝的選擇性蝕刻
JP2014531753A5 (zh)
US20150083580A1 (en) Plasma processing method
JP2013149865A (ja) プラズマ処理装置
TWI632606B (zh) Method of etching an insulating film
JP2011103489A (ja) プラズマ処理装置およびプラズマ処理方法
CN111916327B (zh) 多频率多阶段的等离子体射频输出的方法及其装置
KR20210106371A (ko) 기판 처리 방법 및 기판 처리 장치