TWI467325B - 光微影方法 - Google Patents

光微影方法 Download PDF

Info

Publication number
TWI467325B
TWI467325B TW101132674A TW101132674A TWI467325B TW I467325 B TWI467325 B TW I467325B TW 101132674 A TW101132674 A TW 101132674A TW 101132674 A TW101132674 A TW 101132674A TW I467325 B TWI467325 B TW I467325B
Authority
TW
Taiwan
Prior art keywords
photoresist
composition
layer
organic solvent
polymer
Prior art date
Application number
TW101132674A
Other languages
English (en)
Other versions
TW201327035A (zh
Inventor
裵榮喆
蘿絲瑪莉 貝爾
朴鐘根
李承炫
Original Assignee
羅門哈斯電子材料有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅門哈斯電子材料有限公司 filed Critical 羅門哈斯電子材料有限公司
Publication of TW201327035A publication Critical patent/TW201327035A/zh
Application granted granted Critical
Publication of TWI467325B publication Critical patent/TWI467325B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Description

光微影方法
本發明主張依35 U.S.C.§119(e)享有於2011年9月9日申請之美國臨時申請案第61/533,106號之優先權,其全文內容以引用方式納入本文。
本發明係一般有關於電子裝置的製造。更具體而言,本發明係有關於允許使用負調顯影製程而形成之精細圖案的光微影方法。
在半導體製造工業中,光阻劑材料係用於轉移影像至置於半導體基板上之一或多層下方層(underlying layer),諸如金屬、半導體以及介電層,以及轉移至該基板自身。為了增加該半導體裝置之積體密度以及允許形成具有奈米範圍之維度的結構,具有高解析度能力的光阻劑以及光微影加工工具已經持續被開發。
正調化學放大光阻劑傳統上係用於高解析度加工。該等阻劑典型上使用具有酸不穩定離去基之樹脂以及光酸產生劑。曝光於光化輻射下造成該酸產生劑形成酸,該酸於曝光後烘烤期間造成樹脂中酸不穩定基的裂解。這造成在水性鹼性顯影劑溶液中,阻劑之曝光以及未曝光區域間不同的溶解度特性。該阻劑之經曝光區域可溶於該水性鹼性顯影劑中以及自基板表面移除,而在該顯 影劑中不可溶之未曝光區域則在顯影後殘留以形成正型影像。
一種在半導體裝置內達到奈米規格特徵尺寸之方法為於化學放大光阻劑曝光期間使用短波長光,舉例而言,193 nm或更短者。為了進一步改善微影表現,已發展浸潤微影工具以有效增大成像裝置中鏡片之數值孔徑(NA),舉例而言,具有KrF或ArF光源之掃描儀。其係藉由在成像裝置之最終表面以及半導體晶圓之上表面之間使用具相對高折射係數的流體(亦即,浸潤流體)而完成的。相較於空氣或惰性氣體介質,該浸潤流體允許更大量之光聚焦在該阻劑層上。當使用水作為該浸潤流體時,可增大最大數值孔徑,舉例而言,自1.2至1.35。隨著數值孔徑之增大,其可能於單一曝光製程中達到40 nm半節距(half-pitch)之解析度,因而允許改良之縮小設計。然而,此標準浸潤微影製程一般上不適合要求較大解析度的裝置,舉例而言,32 nm以及22 nm半節距節點的製造。
自材料以及加工兩者的觀點,業經可觀的努力以拓展解析度至以正調顯影達成之實際解析度之上。一種此實例涉及傳統正型化學放大光阻劑之負調顯影(NTD)。NTD允許藉由利用該取自亮視野遮罩的優越的成像品質於印刷臨界暗域層,而予以相較於標準正調成像具有改良之解析度和加工窗。NTD阻劑典型上使用具有酸不穩定(或酸可裂解)基的樹脂以及光酸產生劑。曝光於光化輻射造成光酸產生劑形成酸,該酸於曝光後烘烤期間,造成該酸不穩定基裂解,進而於經曝光區域產生極性轉換。結果,建立出阻劑之曝光區域以及未經曝光區域間之溶解度特性之差異,致使該阻劑之未曝光區域可藉由特定的顯影劑(典型的有機顯影劑諸 如酮類,酯類或醚類)移除,留下藉由不可溶之經曝光區域創製之圖案。
習知於浸潤微影中使用界於光阻劑及浸潤流體間之保護阻擋材料,以避免光阻劑成分的淋洗(leaching)與曝光工具光學材料的積垢,以及提供抗反射性質。該阻擋層可自添加至光阻劑組成物之成分所形成,該成分在旋塗製程中自分離(self-segregate)至阻劑層之上表面。或者,可使用與該光阻劑分開之組成物,以於該光阻劑層上形成上塗或頂塗層。美國專利申請案公開第US2011/0020755A1號揭露一種NTD方法,其涉及在曝光該阻劑膜之前在阻劑膜上形成保護膜,透過浸潤介質曝光該阻劑膜及以負顯影劑進行顯影。該保護膜組成物含有溶劑以將保護膜平均地施用至該阻劑膜之頂部而不溶解該阻劑膜、不具對193nm光透明之芳族基團之樹脂及視需要之界面活性劑。
業經本發明之發明人發現接觸孔之"頸化"或線及溝槽圖案中之"T型頂化"可能在來自NTD製程之經顯影之阻劑圖案中發生。該效果係圖示於第1圖之接觸孔圖案形成之狀況中。塗覆有一或多層待圖案化之層102之基板100、光阻劑層104及浸潤頂塗層106。該光阻劑層透過光罩110曝光於光化輻射108,以於經曝光之及未經曝光之區域之間創製不同的溶解度(如第1A圖所示)。該光罩具有透光區域及不透光區域112,114,各別對應接續的顯影步驟中該阻劑層之保留區域及移除區域。曝光後烘烤(PEB)後,潛像(由極性-轉換及未轉換區域間之邊界(虛線116)界定)形成於該光阻劑中,如第1B圖所示。該極性-轉換不欲地延伸入於曝光步驟中設在該不透明罩圖案114下之阻劑表面上的區域118。咸信其將 導致光罩不透明圖案之邊緣下的雜散光(stray light)擴散。以有機顯影劑顯影時,移除頂塗層106及光阻劑層104之未經曝光(未轉換)區域以形成接觸孔圖案120,如第1C圖所示。所產生之圖案顯示阻劑層上表面之頸化,其中經極性-轉換之阻劑區域118未被移除。該"頸化"或"T型頂化"之發生通常導致較差的加工窗諸如焦深及曝光寬限。當形成窄溝槽或線圖案時,這些問題可能導致,舉例而言,隨機缺少接觸孔或微橋化之缺陷,因而不利地衝擊裝置產率。前述US2011/0020755A1文件並未了解所形成之阻劑圖案或其溶液之T型頂化或頸化之問題。
在本領域中仍有允許電子裝置製造中形成精細圖案及避免或明顯改善一或多個前述與該技術領域狀態有關的問題之改良之負調顯影光微影方法之需求。依據本發明之一個態樣,提供一種形成電子裝置之方法。該方法包括:(a)提供半導體基板,該半導體基板包括一或多層待圖案化層;(b)在該一或多層待圖案化層上形成光阻劑層;(c)在該光阻劑層上塗覆光阻劑上塗組成物,其中該上塗組成物包括鹼性淬滅劑、聚合物以及有機溶劑;(d)將該層曝光於光化輻射;及(e)以有機溶劑顯影劑顯影該經曝光之膜。
本文中亦提供藉由該方法形成之電子裝置。
100‧‧‧基板
102‧‧‧待圖案化層/待蝕刻層
104‧‧‧光阻劑層
104'‧‧‧阻劑圖案
106‧‧‧底部抗反射塗層/浸潤頂塗層
108‧‧‧活化輻射/光化輻射
110‧‧‧光罩
112‧‧‧透光區域
114‧‧‧不透光區域/不透明罩
116‧‧‧邊界
118‧‧‧區域
120‧‧‧接觸孔圖案
206‧‧‧光阻劑上塗層
207‧‧‧淬滅劑區域
209‧‧‧虛線
216‧‧‧潛像
220‧‧‧接觸孔圖案
第1A圖至第1C圖圖示本領域相關之接觸孔形成製程;以及第2A圖至第2C圖圖示依據本發明之形成光微影圖案的製程流程。
如在本說明書中所使用者:"g"意指克;wt%意指重量百分比;"L"意指公升;"mL"意指毫升;"nm"意指奈米;"mm"意指公釐;"min"意指分鐘;"h"意指小時;"Å"意指埃;"mol%"意指莫耳百分比;"Mw"意指重量平均分子量;以及"Mn"意指數目平均分子量;"PDI"意指多分散性指數=Mw/Mn;"共聚物"包含含有二或更多種不同類型之聚合單元之聚合物;"烷基"包含直鏈狀、支鏈狀及環狀烷基結構;"脂族"包含直鏈狀、支鏈狀及環狀脂族結構;以及冠詞"一(a)"以及"一(an)"係意指一或多者。
本發明將參照下列圖式進行說明,其中相同的元件符號代表相同之特徵。
光阻劑上塗組成物
當有用於本發明之組成物塗覆於光阻劑層上,其在負調顯影製程中時可提供多種好處,諸如一或多種幾何均勻(uniform)之阻劑圖案、阻劑曝光時減少之反射率、改善之聚焦寬限、改善之曝光寬限及減少之缺陷度。這些好處可在於乾式或浸潤微影製程中使用該組成物時達到。當使用於浸潤微影時,可使用上塗組成物以形成有效的阻擋層以避免光阻劑成分淋洗入浸潤流體,以及提供與浸潤流體之所欲之接觸角特性以允許增加之曝光掃描速度。
光阻劑上塗組成物包含鹼性淬滅劑、聚合物、有機溶劑,而且可包含額外的視需要的成分。上塗組成物包含聚合物,該聚合物將有益的阻擋性質賦予自該組成物所形成之層,以最小化或防止光阻劑成分遷移入該浸潤流體,及將有益的接觸角特性賦予自該組成物所形成之層,以在上塗/浸潤流體介面提供高浸潤流體後退接觸角,藉以允許更快速之曝光工具掃描速度。在乾燥狀態之 上塗組成物層典型上具有自70°至85°之水後退接觸角,較佳為自75至80°。術語"在乾燥狀態"意指以全部組成物為基準計,含有8wt%或更少之溶劑。
聚合物在光微影處理之前及之後應該具有非常良好之可顯影性。為了最小化源自上塗材料之殘質缺陷,於使用於圖案化製程之顯影劑中,該上塗組成物乾燥層的顯影速率應大於下方光阻劑層所具者。該聚合物典型上表現出100 Å/秒或更高之顯影劑溶解速率(較佳為1000 Å/秒或更高)。該聚合物可為無矽及無氟。該聚合物係可溶於本文中所述之該上塗組成物中之有機溶劑,及可溶於用於負調顯影製程之有機顯影劑。該聚合物較佳為具有相對於下述之鹼性淬滅劑之低表面能。
聚合物係形成自具有以下通式(I)之單體:
其中:R1 係選自氫及經取代或未經取代之C1 至C3 烷基、較佳為氫或甲基;R2 係選自經取代及未經取代之C1 至C15 烷基、較佳為C4 至C8 烷基、更佳為C4 至C6 烷基,該經取代之烷基舉例而言包含鹵烷基及鹵醇,諸如氟烷基及氟醇且該經取代之烷基較佳為支鏈狀以提供較高的後退接觸角;X為氧、硫或以式NR3 表示之,其中,R3 係選自氫及經取代及未經取代之C1 至C10 烷基,較佳為C1 至C5 烷基;及Z係單鍵或選自經取代及未經取代之脂族(諸如C1 至C6 伸烷基)烴類、經取代或未經取代之及芳族烴類、及其組合間隔單元,Z視需要具一個或多個選自-O-、-S-、-COO- 及-CONR4 -之連結部分(moiety),其中,R4 係選自氫及經取代及未經取代之C1 至C10 烷基,較佳為C2 至C6 烷基。
通式(I)之例示性合適的單體係如下述,但不限於此等結構。為了此等結構之目的,"R1 "及"X"係如上所定義。
通式(I)之單體較佳為以下通式(II)之單體: 其中,R1 及Z係如上所定義,及R5 、R6 、及R7 獨立表示氫或C1 至C3 烷基、氟烷基或氟醇基團。合適的通式(II)之單體係敘述於 上揭例示性結構中。
該聚合物之含量可取決於,舉例而言,微影係乾式或浸潤式製程。舉例而言,浸潤微影之聚合物低限值通常取決於防止阻劑成分淋洗之需求。較高的聚合物含量將典型上導致圖案分解。該聚合物以該上塗組成物之總固體為基準計,典型上以自80至99wt%之量存在於本發明之組成物中(更典型上自90至98wt%)。該聚合物之重量平均分子量典型上少於400,000,較佳為自5000至50,000,更佳為自5000至25,000。
使用於本發明之聚合物可為成自通式(I)之單體形或之均聚物或可為具有複數個不同的重覆單元之共聚物,舉例而言,二種,三種或四種不同的重覆單元。舉例而言,該不同的單元可包含不同的通式(I)之單體之聚合單元。例示性使用於本發明作為聚合物之共聚物包含下列共聚物:
上塗組成物典型上包含單一聚合物,但可視需要包含一或多種額外的通式(I)之聚合物或其他聚合物。合適於用於本發明之上 塗組成物之聚合物及單體係可市售取得及/或可由具有通常知識者使用習知方法製備。
上塗組成物復包含有機溶劑或有機溶劑之混合物。合適於配製及澆鑄上塗組成物之溶劑材料表現出相關於該上塗組成物之非溶劑成分之良好的溶解度特性,但不明顯地溶解下方光阻劑層。合適上塗組成物的有機溶劑包含,舉例而言:烷基酯類,諸如,丙酸烷酯類諸如丙酸正丁酯、丙酸正戊酯、丙酸正己酯及丙酸正庚酯;及丁酸烷酯類諸如丁酸正丁酯、丁酸異丁酯及異丁酸異丁酯;酮類諸如2,5-二甲基-4-己酮及2,6-二甲基-4-庚酮;脂族烴類諸如正庚烷、正壬烷、正辛烷、正癸烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷及2,3,4-三甲基戊烷、及氟化脂族烴類諸如全氟庚烷;及醇類諸如直鏈狀、支鏈狀或環狀之C4 -C9 一元醇諸如1-丁醇、2-丁醇、3-甲基-1-丁醇、異丁基醇、第三丁基醇、1-戊醇、2-戊醇、1-己醇、1-庚醇、1-辛醇、2-己醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇及4-辛醇;2,2,3,3,4,4-六氟-1-丁醇、2,2,3,3,4,4,5,5-八氟-1-戊醇及2,2,3,3,4,4,5,5,6,6-十氟-1-己醇;及C5 -C9 氟化二醇類諸如2,2,3,3,4,4-六氟-1,5-戊烷二醇、2,2,3,3,4,4,5,5-八氟-1,6-己烷二醇及2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛烷二醇;及含有一或多種此種溶劑之混合物。此等有機溶劑中,較佳為丙酸烷酯類、丁酸烷酯類及酮類,較佳為支鏈狀酮,且更佳為丙酸C8 -C9 烷酯類、丙酸C8 -C9 烷酯類、C8 -C9 酮類、及含有一或多種此種溶劑之混合物。合適的混合溶劑包含,舉例而言,烷基酮及丙酸烷酯(諸如,上述烷基酮類及丙酸烷酯類)的混合物。以上塗組成物為基準計,上塗組成物之溶劑成分典型上以自 90至99wt%之量存在。
光阻劑上塗組成物復包含鹼性淬滅劑。該鹼性淬滅劑存在的目的為中和因抵達意欲為光阻劑層之未曝光(暗)區域的雜散光所產生之於該下方光阻劑層之表面區域之酸。其藉由控制該未曝光之區域中不欲之去保護反應而允許改善散焦區域之焦深及曝光寬限。結果,可最小化或避免在形成之阻劑圖案中剖面之不規則處,舉例而言,頸化及T型頂化。
為使該鹼性淬滅劑及產生於該下方光阻劑層之暗區域之酸間有效的交互作用,該鹼性淬滅劑應為非界面活性劑類型。亦即,該鹼性淬滅劑不應為一種會因例如相對於上塗組成物之其他成分之低表面自由能而遷移至上塗層之上表面者。於此情況中,該鹼性淬滅劑不應存在於光阻劑層介面與產生之酸進行交互作用以避免酸去保護。因此,該鹼性淬滅劑應為存在於上塗層/光阻劑層介面之類型,無論為均勻分散於上塗層或在介面形成分級或分離之層。該等分離層可藉由選擇具有相對於該上塗組成物之其他成分高表面自由能之鹼性淬滅劑所達成。
合適的鹼性淬滅劑包含,舉例而言:直鏈狀醯胺類及環狀醯胺類及其衍生物,諸如,N,N-雙(2-羥基乙基)戊醯胺、N,N-二乙基乙醯胺、N1,N1,N3,N3-四丁基丙二醯胺、1-甲基氮雜庚-2-酮、1-烯丙基氮雜庚-2-酮及1,3-二羥基-2-(羥基甲基)丙烷-2-基胺甲酸第三丁酯;芳族胺類諸如吡啶、及二-第三丁基吡啶;脂族胺類諸如三異丙醇胺、正第三丁基二乙醇胺、參(2-乙醯氧基-乙基)胺、2,2',2",2'''-(乙烷-1,2-二基雙(氮烷三基))四乙醇、及2-(二丁基胺基)乙醇、2,2',2"-氮基三乙醇;環狀脂族胺類諸如1-(第三丁氧基羰 基)-4-羥基哌啶、1-吡咯啶羧酸第三丁酯、2-乙基-1H-咪唑-1-羧酸第三丁酯、哌-1,4-二羧基二第三丁酯及N-(2-乙醯氧基-乙基)嗎啉。此等鹼性淬滅劑中,較佳為1-(第三丁氧基羰基)-4-羥基哌啶及三異丙醇胺。而該鹼性淬滅劑之含量將取決於,舉例而言,下方光阻劑層中之光酸產生劑之含量,其以上塗組成物之總固體為基準計,典型上以自0.1至5wt%,較佳為自0.5至3wt%,更佳為自1至3wt%之量存在。
光阻劑上塗組成物可包含一或多種視需要之材料。舉例而言,該組成物可包含一或多種光化及對比染料、抗條紋劑等。其中,光化及對比染料較佳為增進形成自組成物之層之抗反射性質。若使用此視需要之添加劑,一般以較少含量存在於光阻劑組成物中,如,以上塗組成物之總固體為基準計之0.1至10重量%。該上塗組成物係較佳無酸產生劑化合物,舉例而言,熱酸產生劑化合物及光酸產生劑化合物,係因該等化合物可中和該上塗組成物中之鹼性淬滅劑之效果。
光阻劑上塗組成物可依循習知製程而製備。舉例而言,該組成物可藉由溶解該組成物之固態成分於溶劑成分而製備。該組成物之所欲總固體含量將取決於諸如該組成物中特定之一個或多種聚合物及所欲最終層厚度之因素。較佳為,以組成物總重量為基準計,該上塗組成物之固體含量係自1至10wt%,更佳為自1至5wt%。
形成自本發明之組成物之阻劑上塗層典型上在193nm具有1.4或更大之折射率,較佳為在193nm具有1.47或更大之折射率。該折射率可藉由改變該上塗組成物之一或多種聚合物或其他成分 之組成而調節。舉例而言,增加該上塗組成物中有機含量之相對量可提供該層增加之折射率。較佳之上塗組成物層在該目標曝光波長將具有界於該浸潤流體及該光阻劑間之折射率。
若該上塗層之折射率(n 1 )係任一側上之材料所具者之幾何平均值(n 1 =(n 0 n 2 ))(其中n 0 係於浸潤微影之水或用於乾式微影之空氣之折射率,且n 2 係光阻劑之折射率),該上塗層之反射率可減少。亦可增加形成自上塗組成物之層之抗反射性質,較佳地上塗層厚度(d 1 )係選擇致使該上塗層中之波長係入射波之波長(λ0 )之四分之一。至於有折射率n 1 之上塗組成物之四分之一波長抗反射塗層,給出最小反射之厚度d 1 係藉由d 10 /(4n 1 )計算。
光阻劑組成物
使用於本發明之光阻劑組成物包含化學放大光阻劑組成物,該光阻劑組成物包含酸敏感性之基質聚合物,意味著由於與軟烘烤(soft-bake)、曝光在活化輻射以及曝光後烘烤後自該光酸產生劑產生之酸產生之反應,作為光阻劑組成物層一部分之聚合物和組成物層在有機顯影劑中溶解度的改變。溶解度的改變由當該基質聚合物中的酸不穩定基團諸如光酸不穩定酯或縮醛基團曝光於活化輻射及加熱處理而進行光酸促進去保護反應所致。使用於本發明之合適的光阻劑組成物係可市售取得。
為了在次200nm波長諸如193nm成像,該基質聚合物係典型上實質上無(例如,少於15莫耳%)苯基、苯甲基或其他該等高度吸收輻射的芳香基。合適的實質上或完全無芳基之聚合物係揭露於歐洲申請案EP930542A1及美國專利第6,692,888及6,680,159號,其皆為Shipley Company所屬。較佳之酸不穩定基團包含,舉 例而言,含有共價鍵結至該基質聚合物之酯之羧基氧之四級非環狀烷基碳(諸如,第三丁基)或三級脂環碳(諸如,甲基金剛烷基)之縮醛基團或酯基團。
合適的基質聚合物復包含含有(烷基)丙烯酸酯單元之聚合物,較佳為包含酸不穩定(烷基)丙烯酸酯單元、諸如丙烯酸第三丁酯、甲基丙烯酸第三丁酯、丙烯酸甲基金剛烷酯、甲基丙烯酸甲基金剛烷酯、丙烯酸乙基葑酯、甲基丙烯酸乙基葑酯等,及其他非環狀烷基及脂環(烷基)丙烯酸酯類。舉例而言,該等聚合物已揭示於,美國專利第6,057,083號、歐洲申請案公開EP01008913A1及EP00930542A1、及美國專利第6,136,501號。
其他合適的基質聚合物,舉例而言,包含該等含有非芳族環烯烴(內環雙鍵)之聚合單元者,諸如,視需要經取代之降莰烯,舉例而言,揭示於美國專利第5,843,624及6,048,664號之聚合物。
再者,其他合適的基質聚合物包含含有經聚合之酸酐單元之聚合物,尤其是經聚合之馬來酸酐及/或伊康酸酐單元,諸如揭示於歐洲申請案EP01008913A1及美國專利第6,048,662號者。
亦合適的基質聚合物係具有含雜原子之重覆單元(尤其是氧及/或硫(但不同於酸酐,亦即,該單元不含有酮環原子))之樹脂。雜脂環單元可稠合至聚合物主鏈、及可包括經稠合之碳脂環單元,諸如藉由聚合降莰烯基團及/或酸酐單元而提供者,諸如藉由聚合馬來酸酐或伊康酸酐而提供者。該等聚合物係揭示於PCT/US01/14914及美國專利第6,306,554號。其他合適的包含有雜原子基團之基質聚合物係包含含有經含一個或多個雜原子(諸如,氧或硫)基團取代之碳環芳基聚合單元(舉例而言羥基萘基)之 聚合物,諸如揭示於美國專利第7,244,542號者。
可於光阻劑組成物中適當地使用二種或更多種上述基質聚合物之混摻物。
合適用於形成該基質聚合物的單體係可市售取得且實際上由本領域中具有通常知識者使用習知方法製備。該基質聚合物係在該阻劑組成物中以足以使經曝光之塗佈層可在合適的顯影劑溶液中顯影之量存在。典型上,該基質聚合物係以該阻劑組成物之總固體為基準計之50至95 wt%之量存在於組成物中。該聚合物之重量平均分子量Mw 係典型上少於100,000,舉例而言,自5000至100,000,更典型上自5000至15,000。
該光敏組成物復包括光活化組分,諸如光酸產生劑(PAG),其係使用足以在該組成物之塗層曝光在活化輻射時產生潛像(latent image)之量。舉例而言,該光酸產生劑將適合地以以該光阻劑組成物之總固體量為準基計之約1至20 wt%之量存在。典型上,相較於非化學放大材料,較少量的PAG將合適於化學放大阻劑。
合適的PAG係化學放大光阻劑之領域中已習知者,且包括,舉例而言:鎓鹽(onium salt)類,舉例而言,三氟甲烷磺酸三苯基鋶、三氟甲烷磺酸(對第三丁氧基苯基)二苯基鋶、三氟甲烷磺酸參(對第三丁氧基苯基)鋶、對甲苯磺酸三苯基鋶;硝基苯甲基衍生物,舉例而言,對甲苯磺酸2-硝基苯甲酯、對甲苯磺酸2,6-二硝基苯甲酯,以及對甲苯磺酸2,4-二硝基苯甲酯;磺酸酯類,舉例而言,1,2,3-參(甲烷磺醯氧基)苯、1,2,3-參(三氟甲烷磺醯氧基)苯、以及1,2,3-參(對甲苯磺醯氧基)苯;重氮甲烷衍生物,舉例而言,雙(苯磺醯基)重氮甲烷、雙(對甲苯磺醯基)重氮甲烷;乙二肟 衍生物,舉例而言,雙-O-(對甲苯磺醯基)-α-二甲基乙二肟,以及雙-O-(正丁烷磺醯基)-α-二甲基乙二肟;N-羥基醯亞胺之磺酸酯衍生物化合物,舉例而言,N-羥基琥珀醯亞胺甲烷磺酸酯、N-羥基琥珀醯亞胺三氟甲烷磺酸酯;以及含有鹵素之三化合物,舉例而言,2-(4-甲氧基苯基)-4,6-雙(三氯甲基)-1,3,5-三,以及2-(4-甲氧基萘基)-4,6-雙(三氯甲基)-1,3,5-三。可用一或多種該等PAG。
本發明之光阻劑組成物合適的溶劑包含,舉例而言:二醇醚類諸如2-甲氧基乙基醚(二甘二甲醚)、乙二醇單甲基醚、以及丙二醇單甲基醚;丙二醇單甲基醚乙酸酯;乳酸酯類諸如乳酸甲酯以及乳酸乙酯;丙酸酯類諸如丙酸甲酯、丙酸乙酯、乙氧基丙酸乙酯以及2-羥基異丁酸甲酯;賽路蘇酯(Cellosolve ester)類諸如賽路蘇乙酸甲酯;芳香烴類諸如甲苯以及二甲苯;以及酮類諸如丙酮、甲基乙基酮、環己酮以及2-庚酮。溶劑之混摻物諸如二、三或更多種該上述之溶劑之混摻物亦為合適。該溶劑係典型上以該光阻劑組成物之總重量為基準計之90至99 wt%,更典型上自95至98 wt%之量存在於組成物。
該光阻劑組成物可復包含其他視需要之材料,舉例而言,負作用阻劑組成物典型上亦包括交聯劑成分。適合的交聯劑成分包含,舉例而言,將在曝露於酸(該酸係因光酸產生劑曝光於活化輻射而產生)時固化、交聯或硬化之胺系材料(諸如三聚氰胺)。較佳的交聯劑包含,舉例而言,胺系材料包括三聚氰胺、乙炔脲、苯并胍胺系材料及脲系材料。通常最佳為三聚氰胺-甲醛樹脂。該等交聯劑係可市售取得者,例如,American Cyanamid以商標名 Cymel300、301及303販賣之三聚氰胺樹脂。American Cyanamid以商標名Cymel 1170、1171、1172販賣之乙炔脲樹脂,以商標名Beetle 60、65及80販賣之脲系樹脂,及以商標名Cymel 1123及1125販賣之苯并胍胺樹脂。為了在次-200nm波長諸如193nm成像,較佳負型活性光阻劑係揭露於Shipley Company之WO 03077029。
該光阻劑組成物亦可包含其他視需要之材料。舉例而言,該組成物可包含一或多種光化以及對比染料、抗條紋劑、塑化劑、加速劑、敏化劑等。若使用該視需要之添加劑,以該光阻劑組成物之總固體量為基準計,該視需要之添加劑典型上以微量諸如自0.1至10 wt%存在於組成物。
阻劑組成物之較佳視需要之添加劑為添加鹼(added base)。合適的鹼係如上揭有關上塗組成物之鹼性淬滅劑所述者。該添加之鹼適合以較少含量使用,如,以該光阻劑組成物之總固體為基準計,係自0.01至5 wt%,較佳為自0.1至2 wt%。
採用習知製程製備光阻劑。例如,阻劑可藉由用適合之溶劑溶解光阻劑之成分而製備成塗佈組成物。合適的溶劑,舉例而言,為一或多種下列者:二醇醚諸如2-甲氧乙基醚(二甘二甲醚)、乙二醇單甲基醚、丙二醇單甲基醚;丙二醇單甲基醚乙酸酯;乳酸酯類諸如乳酸乙酯或乳酸甲酯,較佳為乳酸乙酯;丙酸酯類,具體而言丙酸甲酯、丙酸乙酯及乙氧基丙酸乙酯;賽珞蘇酯諸如甲基賽珞蘇甲酯;芳族烴基諸如甲苯或二甲苯;或酮諸如甲基乙基酮、環己酮及2-庚酮。該光阻劑之所欲總固體含量將取決於諸如該組成物中特定之聚合物,最終層厚度及曝光波長之因素。典型 上,光阻劑之固體含量以該光阻劑組成物之總重量為基準計,係於自1至10wt%,更典型上自2至5wt%變化。
負調顯影方法
依據本發明的製程將現將以參考第2A至2C圖(其繪示例示性之藉由負調顯影形成光微影圖案之製程流程圖)進行說明。
第2A圖描繪基板100之剖面圖,其可包含各種層以及特徵。該基板可為諸如半導體、諸如矽或化合物半導體(例如,III-V或II-VI),玻璃、石英、陶瓷、銅等的材料。典型上,該基板係半導體晶圓,諸如單晶矽或化合物半導體晶圓,以及可具有一或多層以及在其表面上形成經圖案化之特徵。可提供一或多層待圖案化之層102在該基板100上。視需要地,該下方底基板材料自身可被圖案化,舉例而言,當欲在該基板材料中形成溝槽時。在該圖案化該底基板材料自身之例,該圖案應被視為形成於該基板之層中。
舉例而言,該層可包含一或多層導電層諸如鋁、銅、鉬、鉭、鈦、鎢,該等金屬合金、氮化物或矽化物的層,經摻雜非晶矽或經摻雜多晶矽的層;一或多層介電層諸氧化矽、氮化矽、氧氮化矽層、或金屬氧化物之層;半導體層、諸如單晶矽,以及其之組合。該待圖案化層可由各種技術形成,舉例而言,化學氣相沉積(CVD)諸如電漿-促進CVD、低壓CVD或磊晶成長、物理氣相沉積(PVD)諸如濺射或蒸度,或電鍍。該一或多層待蝕刻之層102的特殊厚度將取決於該材料以及形成之特殊的裝置而變化。
取決於該特殊的待蝕刻之層、膜厚度以及光微影材料以及使用的製程,其可欲將硬罩層及/或底部抗反射塗層(BARC)置放於該 等層102上,其中,於該硬罩層及/或底部抗反射塗層上待塗覆光阻劑層104。硬罩層之使用為所欲,舉例而言,具有非常薄的阻劑層,該待蝕刻之層要求明顯蝕刻深度,以及/或其中該特殊蝕刻劑具有較差的阻劑選擇性。當使用硬罩層時,該待形成之阻劑圖案可被轉移至該硬罩層,其隨後可用作為蝕刻該下方層102之遮罩。合適的硬罩材料以及形成方法係本領域中習知者。典型材料包含,舉例而言,鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氮氧化鋁、氧化鉿、非晶碳、氧氮化矽以及氮化矽。該硬罩層可包含單一層或不相同材料之複數層。舉例而言,該硬罩層可藉由化學或物理氣相沉積技術形成。
基板及/或下方層會於光阻劑曝光期間反射明顯量之入射輻射致使形成之圖案之品質受到負面影響處,可能想有底部抗反射塗層。該等塗層可改善聚焦深度、曝光寬限、線寬均勻性以及CD控制。抗反射塗層典型地被使用在阻劑曝光至深紫外光(300nm或更少)處,舉例而言,KrF準分子雷射光(248nm)或ArF準分子雷射光(193nm)。抗反射塗層106可包括單層或複數層不相同的層。合適的抗反射材料以及形成方法係本領域中已習知者。抗反射材料係可市售取得者,舉例而言,由羅門哈斯電子材料LLC(Marlborough,麻州,美國)以商標ARTM 賣售者,諸如ARTM 40A以及ARTM 124抗反射材料。
如本文所揭示之組成物係設置於位在基板上之抗反射層(若存在)上,以形成光阻劑層104。可將光阻劑組成物可藉由旋塗、浸塗、輥塗或其他傳統的塗覆技術施加至基板。其中,典型上為旋塗。對旋塗而言,可基於該所使用之具體的塗覆設備、溶液黏 度、塗覆工具之速度以及允許旋轉之時間量,而調整該塗覆溶液之固體含量以提供所欲之膜厚度。對光阻劑層104而言,典型厚度為自500至3000 Å。
該光阻劑層可接著被軟烘烤以最少化層中溶劑的含量,藉以形成不黏塗層以及改善該層至該基板間的黏著性。可在加熱板上或烘箱中進行該軟烘烤,典型上為使用加熱板。舉例而言,軟烘烤溫度以及時間將取決於該光阻劑之具體材料以及厚度。典型上軟烘烤於自90至150℃之溫度,以及自30至90秒之時間進行。
本文所述之形成自上塗組成物之光阻劑上塗層206係形成於該光阻劑層104之上。該上塗組成物係典型上藉由旋塗施用至該基材。基於利用特定之塗佈裝置、溶液之黏度、塗佈工具之速度及允許旋轉之時間,可調整塗佈溶液之固體含量以提供所欲之膜厚度。為減少上塗層之反射,該厚度較佳為選擇使該上塗中之波長為入射波之四分之一波長。光阻劑上塗層206之典型的厚度係自200至1000 Å。
該光阻劑上塗層可接著被軟烘烤以移除最小化層中之溶劑含量。可在加熱板上或烘箱中進行該軟烘烤,典型上為使用加熱板。典型上,軟烘烤於自80至120℃之溫度,以及自30至90秒之時間進行。鹼性淬滅劑可存在於該上塗層206且均相分散於該上塗層,或以分離或分級之淬滅劑區域207存在。
光阻劑層104接著通過第一光罩110曝光於活化輻射108以於經曝光以及未經曝光之區域間造成溶解度的差異。本文中,所謂曝光光阻劑組成物於活化該組成物之輻射意表示該輻射可在該光阻劑組成物中形成潛像。該光罩具有透光區域及不透光區域 112,114,其分別對應在接續的顯影步驟中該阻劑層之保留或移除該阻劑層之區域。典型上,曝光波長係次400 nm、次300nm或次200nm,而典型上為248nm和193nm。本發明係用於浸潤或乾式(非浸潤)微影技術中。曝光能量典型地自10至80mJ/cm2 ,係取決於曝光工具以及該光敏組成物成分。
光阻劑層104曝光後,實施曝光後烘烤(PEB)。舉例而言,可在加熱板上或烘箱中進行PEB。舉例而言,PEB之條件將取決於例如特殊的光阻劑組成物以及層厚度。PEB係典型上於自80至150℃之溫度,以及自30至90秒之時間進行。曝光後烘烤後,咸信該鹼性淬滅劑擴散入光阻劑層104之表面區域,如虛線209所示。如第2B圖所示,在光阻劑中形成潛像216(由極性-轉換及未轉換區域(各別對應於經曝光之及未經曝光之區域)間之界線(虛線)所定義)。該光阻劑中擴散之鹼性淬滅劑係咸信防止光阻劑層之不欲之暗區域中的極性轉換,進而產生具垂直牆之潛像。
接下來顯影上塗層206及經曝光之光阻劑層以移除光阻劑層104之未經曝光之區域,留下經曝光之區域形成如第2C圖所示之具有垂直邊牆之接觸孔圖案220之經開口之阻劑圖案104'。該顯影劑典型上係有機顯影劑,舉例而言,選自酮類、酯類、醚類、烴類,以及其混合物之溶劑。合適的酮類溶劑包含,舉例而言,丙酮、2-己酮、5-甲基-2-己酮、2-庚酮、4-庚酮、1-辛酮、2-辛酮、1-壬酮、2-壬酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、甲基乙基酮以及甲基異丁基酮。合適的酯類溶劑包含,舉例而言,乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、丙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、二乙二醇單丁基醚 乙酸酯、二乙二醇單乙基醚乙酸酯、3-乙氧基丙酸乙酯、乙酸3-甲氧基丁酯、乙酸3-甲基-3-甲氧基丁酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯以及乳酸丙酯。合適的醚類溶劑包含,舉例而言,二氧雜環己烷、四氫呋喃以及二醇醚溶劑,舉例而言,乙二醇單甲基醚、丙二醇單甲基醚、乙二醇單乙基醚、丙二醇單乙基醚、二乙二醇單甲基醚、三乙二醇單乙基醚以及甲氧基甲基丁醇。合適的醯胺類溶劑包含,舉例而言,N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺以及N,N-二甲基甲醯胺。合適的烴類溶劑包含,舉例而言,芳香烴溶劑諸如甲苯以及二甲苯。此外,亦可使用這些溶劑之混合物,或一或多種該表列之溶劑與上述者之外之其他溶劑的混合物或與水混合之混合物。其他合適的溶劑包含該等使用於該光阻劑組成物中者。該顯影劑係較佳為2-庚酮或乙酸丁酯諸如乙酸正丁酯。
有機溶劑之混合物可較佳地用作為顯影劑,舉例而言,第一以及第二有機溶劑之混合物。該第一有機溶劑可選自羥基烷酯類諸如2-羥基異丁酸甲酯以及乳酸乙酯;以及直鏈或支鏈之C5 至C6 烷氧基烷基乙酸酯類諸如丙二醇單甲基醚乙酸酯(PGMEA)。對該第一有機溶劑而言,2-庚酮以及5-甲基-2-己酮係為較佳。該第二有機溶劑可選自直鏈或支鏈之未經取代之C6 至C8 烷酯類諸如乙酸正丁酯、乙酸正戊酯、丙酸正丁酯、乙酸正己酯、丁酸正丁酯以及丁酸異丁酯;以及直鏈或支鏈之C8 至C9 酮類諸如4-辛酮、2,5-二甲基-4-己酮以及2,6-二甲基-4-庚酮。對該第二有機溶劑而言,乙酸正丁酯、丙酸正丁酯以及2,6-二甲基-4-庚酮係為較佳。較佳的該第一以及第二有機溶劑之組合包含2-庚酮/丙酸正丁 酯、環己酮/丙酸正丁酯、PGMEA/丙酸正丁酯、5-甲基-2-己酮/丙酸正丁酯、2-庚酮/2,6-二甲基-4-庚酮以及2-庚酮/乙酸正丁酯。有關於此,2-庚酮/乙酸正丁酯以及2-庚酮/丙酸正丁酯係為特佳。
該有機溶劑係典型上以組合量為該顯影劑總重量為基準計,以在該顯影劑中自90 wt%至100 wt%,更典型上,大於95 wt%、大於98 wt%、大於99 wt%或為100 wt%存在。
該顯影劑材料可包含視需要之添加劑,舉例而言,諸如上述有關於該光阻劑提及之界面活性劑。該等視需要之添加劑典型上將以微量濃度存在,舉例而言,以該顯影劑總重量為基準計之自約0.01至5 wt%之量。
顯影劑可藉由習知技術舉例而言,藉由旋塗或槳式塗佈(puddle-coaling)施加至該基板上。顯影時間係一段有效移除光阻劑之未經曝光之區域之時間,典型上為自5至30秒之時間。典型上,顯影係於室溫進行。顯影製程於顯影後可不使用清潔沖洗。在這方面,已經發現該顯影製程可造成無殘質(residue-free)之晶圓表面而不需要此額外之沖洗步驟。
如果BARC層存在的話,使用阻劑圖案104'作為蝕刻遮罩選擇性地蝕刻該層,而暴露出下方之硬罩層。接著選擇性蝕刻該硬罩層,再次使用阻劑圖案104'作為蝕刻遮罩,結果產生經圖案化之BARC層以及硬罩層。合適於蝕刻BARC層以及硬罩層的蝕刻技術以及化學品係本領域中已習知者以及將取決於,舉例而言,在該層特殊的材料。典型的乾式蝕刻製程諸如反應性離子蝕刻。阻劑圖案104'以及經圖案化之BARC層接著自該基板使用習知技術移除,舉例而言,氧電漿灰化。
使用該硬罩圖案作為蝕刻遮罩,選擇性地蝕刻一或多層102。合適於蝕刻下方層102的蝕刻技術以及化學品係本領域中已習知者,典型係乾式蝕刻製程諸如反應性離子蝕刻。該經圖案化之硬罩層可接著使用習知技術(舉例而言,乾式蝕刻製程諸如反應性離子蝕刻)自該基板表面移除。得到之結構係經蝕刻之特徵之圖案。於另一例示性方法,所欲者為該待圖案層102直接使用阻劑圖案104'而無使用硬罩層。是否採用直接圖案化將取決於諸如所包括之材料、阻劑之選擇性、阻劑圖案厚度以及圖案維度之要素。
本發明之負調顯影方法不限於該上述例示性方法。舉例而言,本發明之光阻劑組成物可用於負調顯影二重曝光方法中以製造接觸孔。例示性製程係參考第2圖所述技術,但使用不同於第一曝光圖案之光阻劑層之額外的曝光之變體。在此製程中,該光阻劑層在第一曝光步驟通過光罩曝光於光化輻射。該光罩包含一系列形成該遮罩之不透明區域之平行線。於該第一曝光後,該光阻劑層之第二曝光係通過包括一系列線的第二曝光而進行,該線的方向垂直於該第一光罩之線。所得光阻劑層包含未經曝光之區域、經一次曝光之區域以及經二次曝光區域。第二曝光後,進行曝光後烘烤該光阻劑層以及使用上述之顯影劑顯影。對應於兩個遮罩之線的交點處的未經曝光之區域被移除,留下該阻劑之經一次及二次曝光之區域。所得之結構可接下來參照上述第2圖之方法圖案化。
特徵(諸如接觸孔及溝槽圖案)之進一步改進之解析度可使用NTD過渡曝光製程達到在此製程中,該光罩具有相對於該等待印刷於晶圓上來得大之圖案。曝光條件係經選擇致使擴散至光罩圖 案之邊緣下之光造成阻劑中極性轉換延伸至該等邊緣區域之下。
實施例 光阻劑聚合物(PP)之合成
光阻劑組成物之實施例中所用之光阻劑聚合物(PP)之合成係使用下列單體;
聚(ECPMA/MCPMA/MNLMA/HADA)(PP-1)之合成
將單體ECPMA(5.092克),MCPMA(10.967克),MNLMA(15.661克)及HADA(8.280克)溶解在60克的丙二醇單甲基醚乙酸酯(PGMEA)中。該單體溶液以氮鼓氣除氣20分鐘。將PGMEA(27.335克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶及以氮氣鼓氣除氣20分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(二甲基-2,2-偶氮二異丁酸酯)(0.858克)溶解於8克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時的時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌一小時。在4小時聚合時間後(3小時饋料及1小時饋料後攪拌),使聚合混合物冷卻至室溫。在甲基第三丁基醚(MTBE)(1634克)中進行沉澱。藉由過濾收集經沉澱之粉末,將其空氣乾燥過夜,再溶解於120克的四氫呋喃(THF)、及再沉澱於MTBE(1634克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步 在60℃於真空下乾燥48小時以得到31.0克的聚(ECPMA/MCPMA/MNLMA/HADA)(15/35/30/20)共聚物(PP-1)(Mw=20,120及Mw/Mn=1.59)。
聚(MCPMA/NLM)(PP-2)之合成
將單體MCPMA(17.234克)及NLM(22.766克)溶解於60克的PGMEA中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(31.938克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶及以氮氣鼓氣除氣20分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(2.831克)溶解於8克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌一小時。在4小時聚合時間後(3小時饋料及1小時饋料後攪拌),使聚合混合物冷卻至室溫。在MTBE(1713克)中進行沉澱。藉由過濾收集經沈澱之粉末,將其空氣乾燥過夜,再溶解於120克的THF,及再沉澱於MTBE(1713克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在60℃於真空下乾燥48小時以得到32克的聚(MCPMA/NLM)(50/50)共聚物(PP-2)(Mw=8,060及Mw/Mn=1.46)。
上塗聚合物(OP)之合成
用於配製阻劑上塗組成物之上塗聚合物(OP)係使用下列單體;
聚(iBMA)(OP-1)之合成
將40克的甲基丙烯酸異丁酯(iBMA)單體溶解於60克的PGMEA中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(32.890克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶,及以氮氣鼓氣除氣20分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(3.239克)溶解於8克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌一小時。4小時聚合時間(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。於甲醇/水(8/2)混合物(1730克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於120克的THF,及再沉澱於甲醇/水(8/2)混合物(1730克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到34.2克的聚(iBMA)聚合物(OP-1)(Mw=8,641及Mw/Mn=1.61)。
聚(iBMA/nBMA)(75/25)(OP-2)之合成
將30克的iBMA及10克的nBMA單體溶解於60克的PGMEA中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(32.890克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶及以氮 氣鼓氣除氣20分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(3.239克)溶解於8克的PGMEA、及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶、及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌一小時。4小時聚合時間(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。於甲醇/水(8/2)混合物(1730克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於120克的THF、及再沉澱於甲醇/水(8/2)混合物(1730克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到33.1克的聚(iBMA/nBMA)(75/25)共聚物(OP-2)(Mw=9,203及Mw/Mn=1.60)。
聚(iBMA/nBMA)(50/50)(OP-3)之合成
將20克的iBMA及20克的nBMA單體溶解於60克的PGMEA中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(32.890克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶,及以氮氣鼓氣除氣20分鐘。接著提升反應瓶中溶液之溫度至80℃。V601(3.239克)溶解於8克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外的攪拌一小時。於總共4小時聚合時間(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。在甲醇/水(8/2)混合物(1730克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於120 克的THF,及再沉澱於甲醇/水(8/2)混合物(1730克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到32.5克的聚(iBMA/nBMA)(50/50)共聚物(OP-3)(Mw=8,812及Mw/Mn=1.60)。
聚(iBMA/nBMA)(25/75)(OP-4)之合成
將10克的iBMA及30克的nBMA單體溶解於60克的PGMEA中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(32.890克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶,及以氮氣鼓氣除氣20分鐘。接著提升反應瓶中溶液之溫度至80℃。V601(3.239克)溶解於8克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外的攪拌一小時。於總共4小時聚合時間後(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。在甲醇/水(8/2)混合物(1730克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於120克的THF,及再沉澱於甲醇/水(8/2)混合物(1730克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到30.2克的聚(iBMA/nBMA)(25/75)共聚物(OP-4)(Mw=9,654及Mw/Mn=1.60)。
聚(nBMA)(OP-5)之合成
將40克的nBMA單體溶解於60克的PGMEA中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(32.890克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶,及以氮氣鼓氣除氣20 分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(3.239克)溶解於8克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌的一小時。於4小時聚合時間(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。於甲醇/水(8/2)混合物(1730克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於120克的THF,及再沉澱於甲醇/水(8/2)混合物(1730克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到30.8克的聚(nBMA)聚合物(OP-5)(Mw=9,194及MW/Mn=1.60)。
聚(nBMA/TFEMA)(50/50)(OP-6)之合成
將13.747克的nBMA單體及16.253克的甲基丙烯酸三氟乙酯(TFEMA)單體溶解在45克的PGME中。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(23.675克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶,及以氮氣鼓氣除氣20分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(2.004克)溶解於6克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌一小時。4小時聚合時間(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。於甲醇/水(8/2)混合物(1280克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於90克的THF,及再沉澱於甲醇/水(8/2) 混合物(1280克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到25.5克的聚(nBMA/TFEMA)(50/50)共聚物(OP-6)(Mw=9,830及Mw/Mn=1.66)。
聚(TFEMA)(OP-7)之合成
將30克的TFEMA單體溶解於45克的PGMEA。將單體溶液以氮氣鼓氣除氣20分鐘。將PGMEA(23.314克)填料至500毫升之裝設有冷凝器及機械攪拌器的三頸燒瓶,及以氮氣鼓氣除氣20分鐘。接下來將反應瓶中之溶劑提高溫度至80℃。V601(1.849克)溶解於6克的PGMEA,及將起始劑溶液以氮氣鼓氣除氣20分鐘。將起始劑溶液添加至反應瓶,及之後逐滴饋料單體溶液至反應器中(歷時3小時時間,在劇烈攪拌及於氮氣氛圍下)。於單體饋料完畢後,使聚合混合物在80℃額外攪拌一小時。4小時聚合時間(3小時饋料及1小時饋料後攪拌)後,使聚合混合物冷卻至室溫。於甲醇/水(8/2)混合物(1274克)中進行沉澱。藉由過濾收集經沉澱之聚合物,將其空氣乾燥過夜,再溶解於90克的THF,及再沉澱於甲醇/水(8/2)混合物(1274克)。過濾最終聚合物,將其空氣乾燥過夜,及進一步在25℃真空下乾燥48小時以得到22.6克的聚(TFEMA)聚合物(OP-7)(Mw=9,895及Mw/Mn=1.59)。
上塗聚合物(OP-8至OP-19)之合成
使用表1所定之成分及量,使用相同於上塗聚合物OP-1至OP-7所述之製程合成額外的上塗聚合物。所測定之聚合物之重量平均分子量(Mw)及多分散度指數(Mw/Mn),且將其列於表1。
光阻劑組成物製備 光阻劑組成物1(PC-1)
將1.294克的PP-1及1.294克的PP-2溶解在29.633克的PGMEA、19.380克的環己酮、及48.450克的2-羥基異丁酸甲酯中。於此混合物中添加0.484克的下述之“PAGA”及0.029克的1-(第三丁氧基羰基)-4-羥基哌啶。所產生之混合物於機械輥上輥滾三小時,且之後過濾通過具0.2微米孔徑之鐵氟龍(Teflon)過濾器。
光阻劑組成物2(PC-2)
將1.263克的PP-1及1.263克的PP-2溶解在29.620克的PGMEA、19.385克的環己酮、及48.455克的2-羥基異丁酸甲酯中。於此混合物中添加0.484克的PAG A、0.029克的1-(第三丁氧基羰基)-4-羥基哌啶及0.062克的OP-10。將所產生之混合物於機械輥上輥滾三小時,且之後過濾通過具0.2微米孔徑之鐵氟龍過濾器。
光阻劑組成物3(PC-3)
將5.061克的PP-1溶解於28.140克的PGMEA、18.760克的環己酮、及46.900克的2-羥基異丁酸甲酯中。於此混合物中添加0.992克的PAG A、0.023克的1-(第三丁氧基羰基)-4-羥基哌啶及0.124克的OP-10。將所產生混合物於輥機械塗上輥滾三小時,且之後過濾通過具0.2微米孔徑之鐵氟龍過濾器。
上塗聚合物之特徵分析
每一個上塗聚合物OP-1至OP-19之固體溶液係藉由溶解該聚合物於PGMEA中以形成10wt%固體之溶液所製備。將溶液過濾通過具0.2微米孔徑之鐵氟龍過濾器。在200mm裸片矽晶圓上塗佈經過濾之溶液,及在120℃軟烘烤經塗覆之晶圓60秒以提供約4000 Å之膜厚。每個聚合物製備兩組晶圓,以測量溶解速率及接觸角。上塗聚合物之溶解速率係使用2-庚酮作為顯影劑,於溶解速率監視器(RDA-800EUV,得自Litho Tech Japan)上測量。上塗聚合物之最大溶解速率係以18個透過18種不同的通道所得之溶解 速率計算之平均值。
為了測量上塗聚合物之光學性質,將上塗聚合物溶液溶於(PGMEA中,10重量%固體)以1100rpm塗佈在200mm裸片矽晶圓上,及在TEL CleanTrack ACT 8塗覆器/顯影器以120℃軟烘烤60秒以提供約4000 Å之膜厚。在VUV-VASE VU-302橢圓儀(J.A.Woollam Co.)上測量經塗覆之膜之光學性質。極性數據係於廣大波長範圍之三個角度收集。所產生之數據經分析及配合(fit)模型以得到在193nm之n及k值。
上塗聚合物之特徵分析結果(包含在2-庚酮之溶解速率、水接觸角及在193nm之光學性質(n及k)),其係總結於表2。
阻劑上塗組成物之製備
阻劑上塗組成物係藉由下列方式製備:藉由使用表3所示之成分及量溶解上塗聚合物及鹼性淬滅劑(若存在)於溶劑。於機械 輥上輥滾所產生之混合物三小時,且之後過濾通過具0.2微米孔徑之鐵氟龍過濾器。該組成物基於對應於入射波之波長的四分之一波長之目標厚度(旋塗後約1500rpm)配製,以減少該上塗表面之反射。
浸潤微影製程
在TEL CLEAN TRACKTM LITHIUS i+塗覆器/顯影器上,將ARTM 40A抗反射劑(羅門哈斯電子材料有限公司)旋塗在300mm矽晶圓上以形成第一底部抗反射塗層(BARC)。該晶圓在215℃烘烤60秒,產出厚度840Å的第一BARC膜。接著使用ARTM 124抗反射劑(羅門哈斯電子材料有限公司)塗覆第二BARC層在該第一BARC層上,以及在205℃烘烤60秒產生200Å之頂BARC層。之後,在TEL CLEAN TRACK LITHIUS i+塗覆器/顯影器上,於經雙重BARC塗覆之晶圓上塗覆光阻劑組成物以及以90℃軟烘烤60 秒以提供約900 Å厚度的阻劑層。在TEL CLEAN TRACK LITHIUS i+塗覆器/顯影器上,於阻劑之頂部上塗覆上塗組成物,以及以90℃軟烘烤60秒以提供約290或880 Å厚度的上塗層。
負調顯影製程
晶圓係通過遮罩,使用ASML TWINSCAN XT:1900i浸潤掃描儀(使用1.35NA、0.97外σ、0.85內σ以及XY極性之跨界國級照明(C-Quad)而曝光。經曝光之晶圓在90℃曝光後烘烤60秒,以及之後使用1:1(重量比)之2-庚酮及丙酸正丁酯之混合物在TEL CLEAN TRACKTM LITHIUSTM i+塗覆器/顯影器上顯影25秒以提供負調圖案。對在單一曝光NTD製程印刷45nm孔而言之最佳能量(Eop )係藉由將CD值(係於Hitachi CG4000 CD SEM上測量之)繪製成使用於60nm的遮罩CD(遮罩上的不透明柱的直徑)及於90nm的節距CD(遮罩CD加上不透明柱之間的距離)之曝光能量的函數的圖而測定。測定上述45nm接觸孔之EL。測量45nm接觸孔之局部CD均勻性(CDU)為240CD值之3σ。對每一個晶圓,使用250K放大倍率對每一晶片取20個影像以及對每一影像取12個接觸孔測量。以50 nm之增加幅度改變聚焦偏移以檢測不同的實施例之焦深(DOF),及DOF係自通過聚焦改變攝取之SEM影像之孔保真度而測定。該結果係示於表4。
相較於不包含上塗層之實施例9,使用上塗層於微影製程之實施例10至13產生改善之曝光寬限。相較於無上塗層之比較例9,及包含無鹼性淬滅劑之上塗組成物之實施例10至11,使用含有鹼性淬滅劑之依據本發明之上塗組成物之實施例12及13有顯著改善的DOF。以在離焦區域開設更多接觸孔之形式於圖案中觀察到改善之DOF。
乾式微影製程
乾式微影係以利用聯結至ASML/1100掃描儀之TEL Clean Track ACT 8驗證不同鹼性淬滅劑對200mm矽晶圓之效果。矽晶圓係以ARTM 77底部抗反射塗層(BARC)材料(Rohm and Haas Electronic Materials)旋塗,且於205℃烘烤60秒以產生840Å之膜厚度。於TEL CleanTrack ACT 8塗佈機/顯影器上,光阻劑組成物PC-3係塗佈於經BARC塗佈之晶圓,且於90℃軟烘烤60秒,以提供阻劑層厚1800 Å。在TEL CleanTrack ACT 8塗覆器/顯影劑上,在阻劑之頂部上塗覆表5所示之上塗組成物及在90℃軟烘烤60秒,以提供厚度為880 Å之上塗層。晶圓係使用0.75NA,0.89 外σ及0.64內σ之環形照明條件曝光。經曝光之晶圓於85℃進行曝光後烘烤60秒,及於TEL CleanTrack ACT 8塗佈器/顯影器上使用50:50之2-庚酮及丙酸正丁酯之顯影劑顯影25秒。CD係使用100 nm之遮罩CD,而於1500nm節距,100 nm溝槽而得到。接觸角、Eop及EL結果係說明於表5。如此數據可見,不同的淬滅劑負載量和具有不同的極性之不同的淬滅劑得到相似的接觸角數據。使用上塗層觀察到改善之EL。
100‧‧‧基板
102‧‧‧待圖案化層/待蝕刻層
104‧‧‧光阻劑層
104'‧‧‧阻劑圖案
106‧‧‧底部抗反射塗層
108‧‧‧活化輻射
110‧‧‧第一光罩
112‧‧‧透光區域
114‧‧‧不透光區域
118‧‧‧區域
120、220‧‧‧接觸孔圖案
206‧‧‧光阻劑上塗層
207‧‧‧淬滅劑區域
209‧‧‧虛線
216‧‧‧潛像

Claims (12)

  1. 一種形成電子裝置之方法,包括:(a)提供半導體基板,該半導體基板包括一或多層待圖案化層;(b)在該一或多層待圖案化層上形成光阻劑層;(c)在該光阻劑層上塗覆光阻劑上塗組成物,其中該上塗組成物包括鹼性淬滅劑、聚合物以及有機溶劑;(d)將該層曝光於光化輻射;以及(e)以有機溶劑顯影劑顯影該經曝光膜。
  2. 如申請專利範圍第1項所述之方法,其中,該有機溶劑顯影劑包括2-庚酮。
  3. 如申請專利範圍第1項所述之方法,其中,該有機溶劑顯影劑包括乙酸正丁酯。
  4. 如申請專利範圍第1項所述之方法,其中,該有機溶劑顯影劑包括丙酸正丁酯。
  5. 如申請專利範圍第1至4項中任一項所述之方法,其中,該光阻劑上塗組成物之該有機溶劑包括丁酸烷酯。
  6. 如申請專利範圍第5項所述之方法,其中,該光阻劑上塗組成物之該有機溶劑包括丁酸正丁酯、丁酸異丁酯、異丁酸異丁酯或其組合。
  7. 如申請專利範圍第1至4項中任一項所述之方法,其中,該光阻劑上塗組成物之該有機溶劑包括丙酸烷酯。
  8. 如申請專利範圍第7項所述之方法,其中,該光阻劑上塗組成物之該有機溶劑包括丙酸正丁酯、丙酸正戊酯、丙酸正己酯、 丙酸正庚酯或其組合。
  9. 如申請專利範圍第1至4項中任一項所述之方法,其中,該光阻劑上塗組成物之該有機溶劑包括酮。
  10. 如申請專利範圍第9項所述之方法,其中,該有機溶劑包括分支狀酮。
  11. 如申請專利範圍第1項所述之方法,其中,該鹼性淬滅劑在該光阻劑層和該光阻劑上塗組成物之間之介面形成分級或分離之層。
  12. 如申請專利範圍第1項所述之方法,其中,該鹼性淬滅劑具有相對於該上塗組成物之其他成分之高表面自由能。
TW101132674A 2011-09-09 2012-09-07 光微影方法 TWI467325B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161533106P 2011-09-09 2011-09-09

Publications (2)

Publication Number Publication Date
TW201327035A TW201327035A (zh) 2013-07-01
TWI467325B true TWI467325B (zh) 2015-01-01

Family

ID=48179098

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101132674A TWI467325B (zh) 2011-09-09 2012-09-07 光微影方法

Country Status (5)

Country Link
US (3) US8697338B2 (zh)
JP (3) JP2013061647A (zh)
KR (2) KR102069186B1 (zh)
CN (1) CN103186050B (zh)
TW (1) TWI467325B (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013061648A (ja) 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトレジスト上塗り組成物および電子デバイスを形成する方法
JP2013061647A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法
JP2015180950A (ja) * 2012-01-31 2015-10-15 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、並びに、これを用いたレジスト膜、パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
US20140120469A1 (en) * 2012-10-31 2014-05-01 Rohm And Haas Electronic Materials Llc Thermal acid generators for use in photoresist
US8852967B2 (en) * 2012-12-20 2014-10-07 Intermolecular, Inc. Dissolution rate monitor
KR101756253B1 (ko) * 2013-01-31 2017-07-10 후지필름 가부시키가이샤 패턴형성방법, 이들을 사용한 전자 디바이스의 제조방법, 및 전자 디바이스
CN103576469B (zh) * 2013-11-19 2015-07-29 四川虹视显示技术有限公司 光刻胶曝光装置
US20150185607A1 (en) * 2013-12-31 2015-07-02 Rohm And Haas Electronic Materials Llc Photoresist overcoat compositions
US9703200B2 (en) * 2013-12-31 2017-07-11 Rohm And Haas Electronic Materials Llc Photolithographic methods
KR102245135B1 (ko) 2014-05-20 2021-04-28 삼성전자 주식회사 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
CN106716257B (zh) * 2014-09-30 2019-12-24 富士胶片株式会社 图案形成方法、抗蚀剂图案及电子元件的制造方法
WO2016052178A1 (ja) 2014-09-30 2016-04-07 富士フイルム株式会社 パターン形成方法、保護膜形成用組成物、電子デバイスの製造方法及び電子デバイス
WO2016052273A1 (ja) 2014-09-30 2016-04-07 富士フイルム株式会社 パターン形成方法、レジストパターン、及び、電子デバイスの製造方法
WO2016052384A1 (ja) 2014-09-30 2016-04-07 富士フイルム株式会社 パターン形成方法、上層膜形成用組成物、レジストパターン、及び、電子デバイスの製造方法
WO2016052365A1 (ja) 2014-09-30 2016-04-07 富士フイルム株式会社 パターン形成方法、レジストパターン、及び、電子デバイスの製造方法
TWI582536B (zh) * 2014-10-31 2017-05-11 羅門哈斯電子材料有限公司 圖案形成方法
JP6134367B2 (ja) 2014-10-31 2017-05-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト保護膜組成物
WO2016098809A1 (ja) 2014-12-17 2016-06-23 富士フイルム株式会社 パターン形成方法、保護膜形成用組成物及び電子デバイスの製造方法
US11092894B2 (en) 2014-12-31 2021-08-17 Rohm And Haas Electronic Materials Korea Ltd. Method for forming pattern using anti-reflective coating composition comprising photoacid generator
WO2016136354A1 (ja) * 2015-02-26 2016-09-01 富士フイルム株式会社 パターン形成方法、レジストパターン、電子デバイスの製造方法、及び、電子デバイス
JP6594409B2 (ja) * 2015-03-27 2019-10-23 富士フイルム株式会社 パターン形成方法、レジストパターン、電子デバイスの製造方法、及び、上層膜形成用組成物
KR102018518B1 (ko) 2015-03-31 2019-09-05 후지필름 가부시키가이샤 상층막 형성용 조성물, 패턴 형성 방법, 레지스트 패턴, 및 전자 디바이스의 제조 방법
JP6473502B2 (ja) 2015-05-29 2019-02-20 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び上層膜形成用組成物
KR102082173B1 (ko) 2015-12-02 2020-02-27 후지필름 가부시키가이샤 패턴 형성 방법, 전자 디바이스의 제조 방법, 적층막 및 상층막 형성용 조성물
JP6919172B2 (ja) * 2016-10-14 2021-08-18 信越化学工業株式会社 積層体及びパターン形成方法
KR102355757B1 (ko) 2017-03-31 2022-01-26 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 수지 조성물, 레지스트막, 패턴 형성 방법, 전자 디바이스의 제조 방법
US20180364576A1 (en) * 2017-06-15 2018-12-20 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
WO2019167725A1 (ja) 2018-02-28 2019-09-06 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法、樹脂
JPWO2019188595A1 (ja) 2018-03-26 2020-12-03 富士フイルム株式会社 感光性樹脂組成物及びその製造方法、レジスト膜、パターン形成方法、並びに、電子デバイスの製造方法
EP3848756B1 (en) 2018-09-07 2022-07-06 FUJIFILM Corporation Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and method for manufacturing electronic device
KR20220034157A (ko) 2019-08-29 2022-03-17 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 수지 조성물, 감활성광선성 또는 감방사선성막, 패턴 형성 방법, 및, 전자 디바이스의 제조 방법
TW202128970A (zh) 2019-08-29 2021-08-01 日商富士軟片股份有限公司 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性膜、圖案形成方法及電子裝置之製造方法
EP4039669A4 (en) 2019-09-30 2022-12-28 FUJIFILM Corporation ACTIVE PHOTOSENSITIVE OR RADIATION SENSITIVE RESIN COMPOSITION, ACTIVE PHOTOSENSITIVE OR RADIATION SENSITIVE FILM, PATTERN FORMING METHOD AND METHOD OF MAKING AN ELECTRONIC DEVICE
CN114787717A (zh) 2019-12-09 2022-07-22 富士胶片株式会社 处理液、图案形成方法
EP4130878A4 (en) 2020-03-30 2023-09-27 FUJIFILM Corporation ACTIVE LIGHT-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTIVE LIGHT-SENSITIVE OR RADIATION-SENSITIVE FILM, PATTERN FORMING METHOD, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, ACTIVE LIGHT-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION FOR MANUFACTURING A PHOTO-MASK, AND METHOD FOR MANUFACTURING A PHOTO-MASK
WO2021200179A1 (ja) 2020-03-31 2021-10-07 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び電子デバイスの製造方法
US11874603B2 (en) 2021-09-15 2024-01-16 Rohm And Haas Electronic Materials Korea Ltd. Photoresist composition comprising amide compound and pattern formation methods using the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200813636A (en) * 2006-05-26 2008-03-16 Shinetsu Chemical Co Resist protective film composition and patterning process
TW200910023A (en) * 2007-06-12 2009-03-01 Fujifilm Corp Method of forming patterns
TW201317715A (zh) * 2011-09-09 2013-05-01 羅門哈斯電子材料有限公司 光阻上塗組成物及形成電子裝置之方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400331B1 (ko) 1999-12-02 2003-10-01 주식회사 하이닉스반도체 포토레지스트 오버코팅용 조성물 및 이를 이용한포토레지스트 패턴 형성방법
JP2002035684A (ja) * 2000-07-28 2002-02-05 Clariant (Japan) Kk 保護膜の形成方法
US20050260522A1 (en) * 2004-02-13 2005-11-24 William Weber Permanent resist composition, cured product thereof, and use thereof
JP4484603B2 (ja) * 2004-03-31 2010-06-16 セントラル硝子株式会社 トップコート組成物
JP4697406B2 (ja) * 2004-08-05 2011-06-08 信越化学工業株式会社 高分子化合物,レジスト保護膜材料及びパターン形成方法
JP2006113171A (ja) * 2004-10-13 2006-04-27 Fuji Photo Film Co Ltd 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
JP2006113246A (ja) * 2004-10-14 2006-04-27 Fuji Photo Film Co Ltd 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
JP2007078744A (ja) * 2005-09-09 2007-03-29 Tokyo Ohka Kogyo Co Ltd 保護膜形成用材料およびこれを用いたホトレジストパターン形成方法
EP2172455B1 (en) * 2005-12-01 2011-01-19 Basf Se Oxime ester photoinitiators
JP3989940B2 (ja) 2006-02-20 2007-10-10 株式会社フローム 圧力容器耐圧試験装置
JP4861237B2 (ja) * 2006-05-26 2012-01-25 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP4803377B2 (ja) 2006-10-25 2011-10-26 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP4818882B2 (ja) * 2006-10-31 2011-11-16 東京応化工業株式会社 ポジ型レジスト組成物およびレジストパターン形成方法
JP5186255B2 (ja) * 2007-03-20 2013-04-17 富士フイルム株式会社 レジスト表面疎水化用樹脂、その製造方法及び該樹脂を含有するポジ型レジスト組成物
JP2008286924A (ja) * 2007-05-16 2008-11-27 Panasonic Corp 化学増幅型レジスト材料、トップコート膜形成用材料及びそれらを用いたパターン形成方法
JP2010107793A (ja) * 2008-10-31 2010-05-13 Fujifilm Corp レジスト膜用トップコート組成物及びそれを用いたパターン形成方法
JP5639755B2 (ja) * 2008-11-27 2014-12-10 富士フイルム株式会社 有機溶剤を含有する現像液を用いたパターン形成方法及びこれに用いるリンス液
JP4822028B2 (ja) * 2008-12-02 2011-11-24 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
EP2204694A1 (en) 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
EP2204392A1 (en) * 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
JP5440468B2 (ja) 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法
IL211532A0 (en) * 2010-03-05 2011-06-30 Rohm & Haas Elect Mat Methods of forming photolithographic patterns
JP5708082B2 (ja) * 2010-03-24 2015-04-30 信越化学工業株式会社 パターン形成方法及びネガ型レジスト組成物
TWI506370B (zh) 2011-01-14 2015-11-01 Shinetsu Chemical Co 圖案形成方法及使用於該方法之光阻組成物
JP2012181523A (ja) * 2011-02-28 2012-09-20 Rohm & Haas Electronic Materials Llc 現像剤組成物、およびフォトリソグラフィパターンを形成する方法
JP5771570B2 (ja) 2011-06-30 2015-09-02 富士フイルム株式会社 パターン形成方法、積層レジストパターン、及び、電子デバイスの製造方法
WO2013002417A1 (en) * 2011-06-30 2013-01-03 Fujifilm Corporation Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device
JP2013061647A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200813636A (en) * 2006-05-26 2008-03-16 Shinetsu Chemical Co Resist protective film composition and patterning process
TW200910023A (en) * 2007-06-12 2009-03-01 Fujifilm Corp Method of forming patterns
TW201317715A (zh) * 2011-09-09 2013-05-01 羅門哈斯電子材料有限公司 光阻上塗組成物及形成電子裝置之方法

Also Published As

Publication number Publication date
KR102069186B1 (ko) 2020-01-22
JP6967563B2 (ja) 2021-11-17
CN103186050A (zh) 2013-07-03
KR20130028695A (ko) 2013-03-19
US8697338B2 (en) 2014-04-15
US9128379B2 (en) 2015-09-08
JP2013061647A (ja) 2013-04-04
JP2017223961A (ja) 2017-12-21
KR20190143441A (ko) 2019-12-30
US20130244438A1 (en) 2013-09-19
US20160041467A1 (en) 2016-02-11
KR102161015B1 (ko) 2020-09-29
US20140212816A1 (en) 2014-07-31
US9459534B2 (en) 2016-10-04
JP2020021082A (ja) 2020-02-06
CN103186050B (zh) 2017-07-28
TW201327035A (zh) 2013-07-01

Similar Documents

Publication Publication Date Title
TWI467325B (zh) 光微影方法
JP6525383B2 (ja) フォトレジスト上塗り組成物および電子デバイスを形成する方法
KR102064809B1 (ko) 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법
JP6118538B2 (ja) ネガティブトーン現像によってフォトリソグラフィパターンを形成する方法
TWI443114B (zh) 形成光微影圖案之光阻劑組成物及方法
TWI609243B (zh) 光微影方法
TWI578109B (zh) 光阻之上塗組成物
TWI461447B (zh) 形成光微影圖案的光阻劑組成物及方法
TWI584073B (zh) 光阻外塗層組合物
JP2017125200A (ja) モノマー、ポリマーおよびフォトレジスト組成物