KR102161015B1 - 포토리소그래픽 방법 - Google Patents

포토리소그래픽 방법 Download PDF

Info

Publication number
KR102161015B1
KR102161015B1 KR1020190172783A KR20190172783A KR102161015B1 KR 102161015 B1 KR102161015 B1 KR 102161015B1 KR 1020190172783 A KR1020190172783 A KR 1020190172783A KR 20190172783 A KR20190172783 A KR 20190172783A KR 102161015 B1 KR102161015 B1 KR 102161015B1
Authority
KR
South Korea
Prior art keywords
layer
photoresist
composition
polymer
overcoat
Prior art date
Application number
KR1020190172783A
Other languages
English (en)
Other versions
KR20190143441A (ko
Inventor
배영철
로즈마리 벨
박종근
이승현
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20190143441A publication Critical patent/KR20190143441A/ko
Application granted granted Critical
Publication of KR102161015B1 publication Critical patent/KR102161015B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

포토레지스트 오버코팅 조성물, 오버코팅 조성물로 코팅된 기판 및 네거티브 톤 현상 공정으로 전자 디바이스를 형성하는 방법이 제공된다. 상기 조성물, 코팅된 기판 및 방법은 특히 반도체 디바이스의 제조에 응용된다.

Description

포토리소그래픽 방법{PHOTOLITHOGRAPHIC METHODS}
본 원은 2011년 9월 9일자로 출원된 미국 가특허출원 제61/533,106호에 대하여 35 U.S.C. §119(e) 하에서 우선권을 주장하며, 그 전체 내용은 참조를 위해 여기에 포함되었다.
본 발명은 일반적으로 전자 장비의 제조에 관한 것이다. 더욱 구체적으로, 본 발명은 네거티브 톤(negative tone) 현상 공정을 사용하여 미세 패턴을 형성하는 포토리소그래픽 공정에 관한 것이다.
반도체 제조 산업분야에서, 포토레지스트 물질은 이미지를 반도체 기판 자체 뿐 아니라 상기 기판 상에 증착된 하나 이상의 하부층, 예를 들면 금속, 반도체 및 유전체층에 전달하는데 사용된다. 반도체 장비의 통합 밀도(integration density)를 증가시키고, 나노미터(nm) 범위의 치수를 갖는 구조의 형성을 위하여, 포토레지스트및 포토리소그래피 공정 툴은 고해상능을 가지도록 개발되어 왔다.
포지티브 톤 화학적 증폭 포토레지스트는 일반적으로 고해상 공정에 사용된다. 이러한 레지스트는 전형적으로 산 불안정성 이탈그룹을 가지는 수지와 포토애시드(photoacid) 발생제를 사용한다. 화학 조사선(actinic radiation)에 노광하면 산 발생제가 산을 발생하고 이 산은 노광-후 베이킹 동안 수지내 산 불안정성 그룹의 분해를 유발한다. 이것이 알칼리성 현상제 수용액 중에서 레지스트의 노광 영역과 비노광 영역 간의 용해도 특성의 차이를 만든다. 레지스트의 노광 영역은 수성 알칼리 현상제 중에 용해되어 기질 표면에서 제거되는 반면, 비노광 영역은 현상제에 불용성이기 때문에, 현상 후에도 남아 포지티브 이미지를 형성한다.
반도체 디바이스에서 나노미터-스케일 피처 크기를 달성하기 위한 방법 중 하나는 화학적으로 증폭된 포토레지스트의 노광중에 단파장, 예를 들어 193 nm 이하의 광을 사용하는 것이다. 리소그래피 성능을 더욱 향상시키기 위하여, 침지(immersion) 리소그래피 도구는, 예를 들어 KrF 또는 ArF 광원을 갖는 스캐너와 같은 이미지화 디바이스의 렌즈 개구수(numerical aperture, NA)를 효과적으로 증가시키도록 개발되었다. 이는 이미지화 디바이스의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고 굴절율 유체(즉, 침지 유체)를 사용함으로써 달성된다. 침지 유체는 공기 또는 비활성 가스 매질을 사용한 것보다 훨씬 많은 양의 광을 레지스트 층에 집중되도록 할 수 있다. 침지 유체로 물을 사용할 경우, 최대 개구수는, 예를 들어 1.2에서 1.35로 증가할 수 있다. 이같은 개구수 증가로 단일 노광 공정에서 40 nm 절반(half)-피치 해상도를 이룰 수 있고, 이에 따라 디자인 수축의 개선이 가능하다. 그러나, 이러한 표준 침지 리소그래피 공정은 일반적으로, 예를 들면 32 nm 및 22 nm 절반-피치 노드와 같이, 보다 높은 해상도를 요구하는 디바이스의 제조에는 적합하지 않다.
포지티브 톤 현상으로 얻어지는 것 이상으로 실제 해상능을 확장하기 위해 물질 및 처리 관점 모두에서 상당한 노력이 있어 왔다. 이러한 일 예는 전통적 포지티브형 화학적 증폭 포토레지스트의 네가티브 톤 현상(negative tone development, NTD)을 포함한다. NTD 공정은 임계 다크 필드 층의 프린팅을 위해 브라이트 필드 마스크(bright field mask)로 얻어지는 월등한 이미지화 품질을 사용하는 표준 포지티브 톤 이미징과 비교하여 해상도와 공정 윈도우(process window)를 개선할 수 있다. NTD 레지스트는 전형적으로 포토애시드 발생제 및 산-불안정성 (또는 산-절단성) 그룹을 갖는 수지를 이용한다. 화학 조사선(actinic radiation)에 노광시키면 포토애시드 발생제가 산을 형성하게 되고, 이 산이 노광후 베이킹(postexposure baking) 동안 산-불안정성 그룹을 절단시켜 노광 영역에 극성 스위치(polarity switch)가 생기게 한다. 그 결과, 레지스트의 노광 영역과 비노광 영역 간에 용해도 특성차가 생기게 되고 이에 따라서 레지스트의 비노광 영역은 케톤, 에스테르 또는 에테르와 같은 유기 현상제에 의해 제거되어 불용성 노광 영역에 의해 생성되는 패턴이 남게 된다.
무반사 특성의 제공뿐만 아니라 포토레지스트 성분의 누출 및 노광 기구 옵틱스의 파울링(fouling)을 피하기 위해서 포토레지스트와 침지액 사이에서 보호 배리어(barrier) 물질의 침지 리소그래피에서의 사용이 알려져 있다. 배리어층은 스핀코팅 공정 동안 레지스트층 상부 표면으로 자가분리하는 포토레지스트 성분에 첨가된 성분으로부터 형성될 수 있다. 선택적으로, 포토레지스트에서 분리된 성분을 사용하여 포토레지스트층 위에 오버코팅층 또는 탑코팅층을 형성할 수 있다. 미국 특허출원 공개 제2011/0020755A1호는 레지스트 필름을 노광하고 침지 매질을 통해 레지스트 필름을 노광하고 네가티브 현상제로 현상하기 전에 레지스트 필름 상에 보호 필름을 형성하는 것과 연관된 NTD 방법을 기술하고 있다. 보호필름 조성물은 레지스트 필름을 용해하지 않고 레지스트 필름의 상부에 보호 필름을 적용하는 용매, 193 nm의 빛에 대해 투명성(transparency)을 가지는 방향족 그룹을 가지지 않는 수지 및 임의로 계면활성제를 포함한다.
본 발명자들은 컨택트 홀의 "네킹(necking)" 또는 라인과 트렌치(trench) 패턴 내의 "T-토핑(topping)"이 NTD 공정에서 생성되는 현상된 레지스트 패턴에서 발생할 수 있는 것을 발견하였다. 이러한 효과는 컨택트 홀 패턴 형성의 경우로 도 1에 나타내었다. 기판(100)은 패턴화될 하나 이상의 층(102), 포토레지스트층(104) 및 침지 탑코팅층(106)으로 코팅된다. 도 1A에 나타낸 바와 같이, 포토레지스트층은 포토마스크(110)를 통해 활성 조사선(108)에 노광되어 노광 영역과 노광되지 않은 영역 간의 용해도 차이를 생성한다. 포토마스크는 이어지는 현상단계에서 각각 남겨지고 제거되는 레지스트층의 영역에 상응하는 광학적으로 투명하고 광학적으로 불투명한 영역(112, 114)을 가진다. 노광후 베이킹(PEB) 이후에, 극성 스위치된 영역과 스위치되지 않은 영역 간 경계면(점선 116)으로 정의된 잠상이 도 1B에서 보이는 바와 같이 포토레지스트에 형성된다. 극성-스위치는 노광 단계에서 불투명 마스크 패턴(114) 아래에 놓이는 레지스트 표면에서 영역(118)으로 바람직하지 않게 연장되어 있다. 이것은 포토마스크 불투명 패턴 가장자리 하부에서의 미광 확산의 결과인 것으로 생각된다. 도 1C에서 보이는 바와 같이, 유기 현상제로 현상하는 동안 탑코팅층(106)과 포토레지스트층(104)의 (스위치되지 않은)노광 영역을 제거하여 컨택트 홀 패턴(120)을 형성한다. 얻어진 패턴은 극성 스위칭 레지스트 영역(118)이 제거되지 않은 레지스트층 상부 표면에서 네킹을 나타낸다. "네킹" 또는 "T-토핑"의 발생은 일반적으로 포커스 깊이 및 노출 허용도 같은 불량한 공정 윈도우를 유발한다. 이러한 문제들은, 예를 들면 컨택트 홀의 랜덤 결실 또는 좁은 트렌치 또는 라인 패턴 형성의 경우에 마이크로-브릿지 결점을 유발하여 디바이스 수율에 부정적으로 영향을 미칠 수 있다. 상기한 미국 특허출원 공개 제2011/0020755A1호는 형성된 레지스트 패턴에서 T-토핑 또는 네킹, 또는 그에 대한 용액의 문제점을 인식하지 못하고 있다.
당 분야에서는 전자 디바이스 제조에서 미세 패턴의 형성이 가능하고 최근의 기술과 연관된 하나 이상의 상기한 문제점을 제거하거나 현저하게 개선하는 네가티브 톤 현상을 위한 개선된 포토리소그래픽 방법이 지속적으로 필요하다.
본 발명의 일 측면에 따르면, 전자 디바이스의 형성방법이 제공된다. 본 발명의 방법은, (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하고; (b) 포토레지스트층을 패턴화될 하나 이상의 층 위에 형성하고; (c) 염기성 퀀처(quencher), 폴리머 및 유기용매를 포함하는 포토레지스트 오버코팅 조성물을 포토레지스트층 위에 코팅하고; (d) 상기 층을 화학조사선에 노광하고; (e) 노광된 필름을 유기용매 현상제로 현상하는 것을 포함한다.
또한, 본 발명은 여기에 기술된 방법으로 형성된 전자 디바이스를 제공한다.
여기에서 사용된: "g"는 그람을 의미하고; wt%는 중량 퍼센트를 의미하며; "L"은 리터; "mL"은 밀리리터; "nm"은 나노미터; "mm"은 밀리미터를 의미하고; "min"은 분; "h"는 시간; "Å"은 옹스트롬(Angstrom); "mol%"는 몰 퍼센트를 의미하고; "Mw"는 중량 평균분자량; "Mn"은 수 평균분자량을 의미하며; "PDI"는 다분산 지수(polydispersity index) = Mw/Mn을 의미하고; "코폴리머"는 2개 이상의 상이한 종류의 폴리머화 단위체를 가지는 폴리머를 포함하며; "알킬"은 선형, 분지형 및 환형 알킬 구조를 포함하며; "지방족"은 선형, 분지형 및 환형 지방족 구조를 포함하고; "단수"는 하나 이상을 의미한다.
네가티브 톤 현상 공정에서 포토레지스트층 위에 코팅 시에 본 발명에서 유용한 조성물은, 예를 들면 하나 이상의 기하학적으로 균일한 레지스트 패턴, 레지스트 노광 동안의 감소된 반사율, 개선된 포커스 허용범위, 개선된 노광 허용범위 및 감소된 결함 같은 다양한 이점을 제공할 수 있다. 이러한 이점은 건식 리소그래피 또는 침지 리소그래피 공정에서 조성물을 사용할 때 얻어질 수 있다. 침지 리소그래피에서 사용할 경우, 오버코팅 조성물을 사용하여 포토레지스트 성분의 침지액으로의 누출을 방지하기 위한 효과적인 배리어층을 형성하고 침지액으로 바람직한 접촉각 특성을 제공하여 노광 스캔 속도를 증가시킬 수 있다.
본 발명을 다음 도면을 참조하여 설명하였으며, 여기에서 동일한 참조번호는 동일한 특징을 나타낸다:
도 1A - C는 관련 기술에 따른 컨택트 홀 형성 공정을 나타내며;
도 2A - C는 본 발명에 따른 포토리소그래픽 패턴을 형성하는 공정 흐름을 나타낸다.
포토레지스트 오버코팅 조성물
네가티브 톤 현상 공정에서 포토레지스트층 위에 코팅 시에 본 발명에서 유용한 조성물은, 예를 들면 하나 이상의 기하학적으로 균일한 레지스트 패턴, 레지스트 노광 동안의 감소된 반사율, 개선된 포커스 허용범위, 개선된 노광 허용범위 및 감소된 결함 같은 다양한 이점을 제공할 수 있다. 이러한 이점은 건식 리소그래피 또는 침지 리소그래피 공정에서 조성물을 사용할 때 얻어질 수 있다. 침지 리소그래피에서 사용할 경우, 오버코팅 조성물을 사용하여 포토레지스트 성분의 침지액으로의 누출을 방지하기 위한 효과적인 배리어층을 형성하고 침지액으로 바람직한 접촉각 특성을 제공하여 노광 스캔 속도를 증가시킬 수 있다.
본 포토레지스트 오버코팅 조성물은 염기성 퀀처, 폴리머, 유기용매를 포함하고 추가로 임의의 성분을 포함할 수 있다. 오버코팅 조성물은 포토레지스트 성분의 침지액으로의 이동을 최소화하거나 방지하는 유리한 배리어 특성 및, 오버코팅/침지액 경계에서 높은 침지액 이동 접촉각을 제공하는 유리한 접촉각 특성을 조성물로부터 형성된 층에 제공하는 폴리머를 포함하여 노광 기구 스캐닝 속도가 더 빠르다. 건조 상태에서 오버코팅 조성물의 층은 전형적으로 70°내지 85°, 바람직하게 75 내지 80°의 물 이동 접촉각을 가진다. "건조 상태에서"란 전체 조성물에 대하여 8 wt% 이하의 용매를 포함하는 것을 의미한다.
폴리머는 포토리소그래피 처리 전후에 매우 양호한 현상성(developability)을 가져야 한다. 오버코팅 물질에서 유래한 잔류 결점을 최소화하기 위해 오버코팅 조성물의 건조된 층의 분해율은 패턴화 공정에서 사용된 현상제 중에서 하부 포토레지스트층 보다 더 커야한다. 폴리머는 전형적으로 100 Å/초 이상, 바람직하게 1000 Å/초 이상의 현상제 분해율을 나타낸다. 폴리머는 실리콘 또는 불소를 포함하지 않을 수 있다. 폴리머는 여기에 기술된 오버코팅 조성물의 유기용매에 용해될 수 있고, 네거티브 톤 현상 공정에 사용된 유기 현상제에 용해될 수 있다. 폴리머는 바람직하게 이하에 기술된 염기성 퀀처 보다 낮은 표면 에너지를 가진다.
폴리머는 바람직하게 다음 화학식 (I)을 가지는 모노머로부터 형성된다:
Figure 112019132703842-pat00001
상기 식에서, R1은 수소 및 치환 또는 비치환된 C1 내지 C3 알킬, 바람직하게 수소 또는 메틸에서 선택되고; R2는 치환 및 비치환된 C1 내지 C15 알킬, 바람직하게 C4 내지 C8 알킬, 더욱 바람직하게 C4 내지 C6 알킬에서 선택되고, 치환된 알킬은, 예를 들면 플루오로알킬 및 플루오로알코올 같은 할로알킬 및 할로알코올을 포함하고, 바람직하게 분지되어 높은 이동 접촉각을 제공하며; X는 산소, 황이거나 NR3로 표시되며, 여기에서 R2는 수소 및, 치환 및 비치환된 C1 내지 C10 알킬, 바람직하게 C1 내지 C5 알킬에서 선택되고; Z는 단일 결합 또는 치환 및 비치환된 지방족(예를 들면, C1 내지 C6 알킬렌) 및 방향족 탄화수소, 및 이들의 조합에서 선택된 스페이서 단위이고, 임의로 -O-, -S-, -COO- 및 -CONR4-에서 선택된 하나 이상의 결합 잔기를 가지며, 여기에서 R4는 수소 및, 치환 및 비치환된 C1 내지 C10 알킬, 바람직하게 C2 내지 C6 알킬에서 선택된다.
화학식 (I)의 적합한 모노머를 하기에 예시하였으나, 이에 한정되지는 않는다. 하기 화학식에 있어서, "R1"과 "X"는 위에서 정의된 바와 같다.
Figure 112019132703842-pat00002
Figure 112019132703842-pat00003
Figure 112019132703842-pat00004
Figure 112019132703842-pat00005
Figure 112019132703842-pat00006
화학식 (I)의 모노머는 바람직하게 다음 화학식 (II)이며;
Figure 112019132703842-pat00007
여기에서, R1과 Z는 위에서 정의된 바와 같고, R5, R6 및 R7은 각각 수소 또는 C1 내지 C3 알킬, 플루오로알킬 또는 플루오로알코올 그룹을 나타낸다. 화학식 (II)의 적합한 모노머는 위에 예시된 화학식 중에 기술되었다.
폴리머의 함량은, 예를 들면 리소그래피가 건조형 또는 침지형 공정인지에 따라 다를 수 있다. 예를 들면, 침지 리소그래피를 위한 폴리머 하한값은 일반적으로 레지스트 성분의 누출을 방지하는데 필요한 양으로 표시된다. 폴리머 함량이 높으면 전형적으로 패턴 분해가 발생하게 된다. 폴리머는 조성물 중에 오버코팅 조성물의 전체 고체에 대하여 전형적으로 80 내지 99 wt%, 보다 전형적으로 90 내지 98 wt%의 양으로 존재한다. 폴리머의 중량 평균분자량은 전형적으로 400,000 미만, 바람직하게 5000 내지 50,000, 더욱 바람직하게 5000 내지 25,000이다.
오버코팅 조성물에 유용한 폴리머는 화학식 (I)의 모노머로부터 형성된 호모폴리머이거나, 다수의 개별 반복단위, 예를 들면, 2개, 3개 또는 4개의 개별 반복단위를 가지는 코폴리머일 수 있다. 개별 단위는, 예를 들면 화학식 (I)의 상이한 모노머의 폴리머화된 단위를 포함할 수 있다. 폴리머로서 오버코팅 조성물에 유용한 예시적인 코폴리머는 다음 코폴리머들이다:
Figure 112019132703842-pat00008
오버코팅 조성물은 전형적으로 단일 폴리머를 포함하지만, 임의로 화학식 (I)의 추가 폴리머 또는 다른 폴리머 하나 이상을 포함할 수 있다. 오버코팅 조성물에 사용하는데 적합한 폴리머와 모노머는 상업적으로 입수하거나/하고 당업자에 의해 용이하게 제조될 수 있다.
오버코팅 조성물은 또한 유기용매 또는 유기용매의 혼합물을 포함한다. 오버코팅 조성물을 배합하고 제조하는데 적합한 용매 물질은 오버코팅 조성물의 비용매 성분에 대하여 우수한 용해도 특성을 나타내지만, 하부 포토레지스트층을 눈에 띠게 용해하지 않는다. 오버코팅 조성물을 위한 적합한 유기용매는, 예를 들면; 알킬 에스테르, 예를 들면 n-부틸 프로피오네이트, n-펜틸 프로피오네이트, n-헥실 프로피오네이트 및 n-헵틸 프로피오네이트 같은 알킬 프로피오네이트, 및 n-부틸 부티레이트, 이소부틸 부티레이트 및 이소부틸 이소부티레이트 같은 알킬 부티레이트; 케톤, 예를 들면 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논; 지방족 탄화수소, 예를 들면 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산 및 2,3,4-트리메틸펜탄, 및 불소화된 지방족 탄화수소, 예를 들면 퍼플루오로헵탄; 및 알코올, 예를 들면 선형, 분지형 또는 환형의 C4-C9 1가(monohydric) 알코올, 예를 들면 1-부탄올, 2-부탄올, 3-메틸-1-부탄올, 이소부틸 알코올, tert-부틸 알코올, 1-펜탄올, 2-펜탄올, 1-헥산올, 1-헵탄올, 1-옥탄올, 2-헥산올, 2-헵탄올, 2-옥탄올, 3-헥산올, 3-헵탄올, 3-옥탄올 및 4-옥탄올; 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜탄올 및 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥산올, 및 C5-C9 불소화 디올, 예를 들면 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올 및 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-옥탄디올; 및 하나 이상의 이들 용매를 포함하는 혼합물이다. 이러한 유기용매 중에서, 알킬 프로피오네이트, 알킬 부티레이트 및 케톤, 바람직하게 분지된 케톤이 바람직하고, 더욱 바람직하게는 C8-C9 알킬 프로피오네이트, C8-C9 알킬 프로피오네이트, C8-C9 케톤, 및 하나 이상의 이들 용매를 포함하는 혼합물이다. 적합한 혼합 용매는, 예를 들면 상기한 알킬 케톤과 알킬 프로피오네이트 같은 알킬 케톤 및 알킬 프로피오네이트의 혼합물이다. 오버코팅 조성물의 용매 성분은 전형적으로 오버코팅 조성물에 대하여 90 내지 99 wt%의 양으로 존재한다.
포토레지스트 오버코팅 조성물은 또한 염기성 퀀처를 포함한다. 염기성 퀀처는 포토레지스트층의 (암(dark))영역을 노광되지 않도록 하는 미광에 의해 하부 포토레지스트층의 표면 영역에서 생성된 산을 중화하기 위한 것이다. 이것은 비노광 부분에서 원하지 않는 탈보호반응을 제어하여 디포커스 부분과 노광 허용범위에서 포커스의 깊이를 개선한다. 그 결과, 프로필의 변칙성, 예를 들면 형성된 레지스트 패턴에서의 네킹 및 T-토핑을 최소화하거나 방지할 수 있다.
염기성 퀀처와 하부 포토레지스트층의 암영역에서 생성된 산 사이의 효과적인 상호작용을 위해 염기성 퀀처는 비계면활성제 형태인 것이어야 한다. 즉, 염기성 퀀처는, 예를 들면 오버코팅 조성물의 다른 성분들에 대해 낮은 자유에너지로 인하여 오버코팅층의 상부 표면으로 이동하는 형태가 아니어야 한다. 이러한 경우에, 염기성 퀀처는 산의 탈보호화를 방지하기 위해 생성된 산과 상호작용하는 포토레지스트층 경계에서 눈에 띄게 존재하지는 않는다. 그러므로, 염기성 퀀처는 오버코팅층 전체에 균일하게 분산되거나 경계에서 그레이디드되거나 분리된 층을 형성하든지 간에 오버코팅층/포토레지스트층 경계에 존재하는 형태여야 한다. 이처럼 분리된 층은 오버코팅 조성물의 다른 성분에 대하여 높은 표면 자유에너지를 가지는 염기성 퀀처를 선택하여 얻어질 수 있다.
적합한 염기성 퀀처는, 예를 들면 선형 및 고리형 아미드와 그의 유도체, 예를 들면 N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민, 예를 들면 피리딘, 및 디-tert-부틸 피리딘; 지방족 아민, 예를 들면 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2'',2'''-(에탄-1,2-디일비스(아잔트리일)테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2''-니트릴로트리에탄올; 사이클릭 지방족 아민, 예를 들면 1-(tert-부톡시카보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카복실레이트, 디-tert-부틸 피페라진-1,4-디카복실레이트 및 N (2-아세톡시-에틸) 모폴린이다. 이러한 염기성 퀀처 중에서, 1-(tert-부톡시카보닐)-4-하이드록시피페리딘과 트리이소프로판올아민이 바람직하다. 염기성 퀀처의 함량은, 예를 들면 하부 포토레지스트층에서 포토애시드 발생제의 양에 따라 다르지만, 전형적으로 오버코팅 조성물의 전체 고체에 대하여 0.1 내지 5 wt%, 바람직하게 0.5 내지 3 wt%, 더욱 바람직하게 1 내지 3 wt%이다.
포토레지스트 오버코팅 조성물은 하나 이상의 임의의 물질을 포함할 수 있다. 예를 들면, 조성물은 하나 이상의 화학선 및 콘트라스트 염료, 항찰흔제 등을 포함할 수 있다. 이들 중에서 화학선 및 콘트라스트 염료가 조성물로부터 형성된 층의 무반사 특성을 강화하기 위해 바람직하다. 이러한 임의의 첨가제가 사용되는 경우, 전형적으로 오버코팅 조성물의 전체 고체에 대하여 0.1 내지 10 wt% 정도의 미량으로 조성물 내에 존재한다. 오버코팅 조성물은 산 발생제 화합물, 예를 들면 열적 산 생성제 화합물 및 포토애시드 발생제 화합물이 없는 것이 바람직하며, 왜냐하면 이러한 화합물들이 오버코팅 조성물에서 염기성 퀀처의 효과를 중화할 수 있기 때문이다.
포토레지스트 오버코팅 조성물은 공지된 방법에 따라 제조할 수 있다. 예를 들면, 이 조성물은 조성물의 고체 성분을 용매 성분에 용해하여 제조할 수 있다. 조성물의 목적하는 전체 고체 함량은 조성물 중의 특정 폴리머와 목적하는 최종 층 두께와 같은 인자들에 따라 달라진다. 바람직하게, 오버코팅 조성물의 고체 함량은 조성물의 전체 중량에 대하여 1 내지 10 wt%, 더욱 바람직하게 1 내지 5 wt%이다.
조성물로부터 형성된 레지스트 오버코팅층은 전형적으로 193 nm에서 1.4 이상, 바람직하게 193 nm에서 1.47 이상의 굴절율을 가진다. 굴절율은 폴리머의 조성 또는 오버코팅 조성물의 다른 성분들을 변경하여 조절할 수 있다. 예를 들면 오버코팅 조성물에서 유기 성분들의 상대적 양을 증가시키면 층의 굴절율을 증가시킬 수 있다. 바람직한 오버코팅 조성물 층은 목표 노광 파장에서 침지액과 포토레지스트 굴절율 사이의 굴절율을 가지게 된다.
오버코팅층의 굴절율(n 1)이 양쪽 면상의 물질의 굴절율의 기하평균(n 1 = √(n 0 n 2))(여기에서 n 0는 침지 리소그래피 경우의 물 또는 건식 리소그래피의 공기의 굴절율이고 n 2는 포토레지스트의 굴절율이다)이라면 오버코팅층의 반사율이 감소될 수 있다. 또한, 오버코팅 조성물로부터 형성된 층의 무반사 특성을 강화하기 위해 오버코팅의 두께(d 1)를 오버코팅에서 파장이 도래파(incoming wave, λ0) 파장의 4분의 1이 되도록 선택하는 것이 바람직하다. 굴절율 n 1을 가지는 오버코팅 조성물의 4분의 1 파장 무반사 코팅에 있어서 최소 반사를 제공하는 두께, d 1d 1 = λ0√(4 n 1)에 의해 계산된다.
포토레지스트 조성물
본 발명에서 유용한 포토레지스트 조성물은 산 민감성 매트릭스 폴리머를 포함하는 화학적으로 증폭된 포토레지스트 조성물이며, 이는 포토레지스트 조성물의 층 일부로서 폴리머와 조성물층이 소프트베이킹, 활성 조사선에 대한 노광 및 노광후 베이킹 이후 포토애시드 발생제에 의해 생성된 산과의 반응 결과로서 유기 현상제에서 용해도의 변화가 발생하는 것을 의미한다. 용해도의 변화는 매트릭스 폴리머 내의 포토애시드 불안정성 에스테르 또는 아세탈 그룹 같은 산 불안정성 그룹이 활성 조사선에 대한 노광과 열 처리에서 포토애시드 촉진 탈보호화 반응을 일으킬 때 발생한다. 본 발명에 적합한 포토레지스트 조성물은 상업적으로 입수할 수 있다.
200 nm 이하의 파장, 예를 들면 193 nm에서의 이미지화에서 매트릭스 폴리머는 전형적으로 방사선을 잘 흡수하는, 페닐, 벤질 또는 다른 방향족 그룹이 실질적으로 없다(예를 들면 15 mole% 미만). 방향족 그룹이 실질적으로 또는 거의 없는 적합한 폴리머는 유럽 특허출원 EP930542A1과 미국 특허 제6,692,888호 및 제6,680,159호(모두 Shipley Company 소유)에 기술되어 있다. 바람직한 산 불안정성 그룹은, 예를 들면 매트릭스 폴리머의 에스테르의 카복실 산소에 공유결합된 삼차 비환형 알킬 탄소 (예: t-부틸) 또는 삼차 알리사이클릭 탄소 (예: 메틸아다만틸)를 포함하는 아세탈 그룹 또는 에스테르 그룹이다.
적합한 매트릭스 폴리머는 또한 (알킬)아크릴레이트 단위체, 바람직하게 산 불안정성 (알킬)아크릴레이트 단위체, 예를 들면 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 에틸펜킬(ethylfenchyl) 아크릴레이트, 에틸펜킬 메타크릴레이트 등, 및 다른 비환형 알킬 및 알리사이클릭 (알킬)아크릴레이트를 포함하는 폴리머이다. 이러한 폴리머는, 예를 들면 미국 특허 제6,057,083호, 유럽 특허출원 공개 EP01008913A1 및 EP00930542A1, 미국 특허 제6,136,501호에 기술되어 있다.
다른 적합한 매트릭스 폴리머는, 임의로 치환된 노보넨 같은 비방향족 환형 올레핀(고리내 이중결합)의 폴리머화 단위를 포함하는 것들이며, 예를 들면 미국 특허 제5,843,624호와 제6,048,664호에 기술된 폴리머이다.
또다른 적합한 매트릭스 폴리머는 폴리머화된 무수 단위, 특히 폴리머화된 말레산 무수물 및/또는 이타콘산 무수물 단위체를 포함하는 폴리머이며, 예를 들면 유럽 공개특허 출원 제EP01008913A1과 미국 특허 제6,048,662호에 기술된 것들이다.
또한, 매트릭스 폴리머로서는 헤테로원자, 특히 산소 및/또는 황(무수물 이외의 것이나, 즉 단위체는 케토 고리 원자를 포함하지 않는다)을 함유하는 반복 단위체를 포함하는 수지가 적합하다. 헤테로알리사이클릭 단위체는 폴리머 백본에 융합될 수 있고, 노보넨 그룹의 폴리머화로 제공된 것과 같은 융합된 탄소 알리사이클릭 단위체 및/또는 말레산 무수물 또는 이타콘산 무수물의 폴리머화로 제공된 것과 같은 무수물 단위체를 포함할 수 있다. 이러한 폴리머는 국제출원 PCT/US01/14914 및 미국 특허 제6,306,554호에 기술되어 있다. 다른 적합한 헤테로원자 그룹을 함유하는 매트릭스 폴리머는 하나 이상의 헤테로원자(예: 산소 또는 황)를 함유하는 그룹, 예를 들면 미국 특허 제7,244,542호에 기술된 것과 같은 하이드록시 나프틸 그룹으로 치환된 폴리머화 카보사이클릭 아릴 단위체를 함유하는 폴리머이다.
2 이상의 상기한 매트릭스 폴리머의 블렌드를 포토레지스트 조성물에서 적합하게 사용할 수 있다.
포토레지스트 조성물에서 사용하기 위한 적합한 매트릭스 폴리머는 상업적으로 입수하거나/하고 당업자에 의해 용이하게 제조될 수 있다. 매트릭스 폴리머는 레지스트의 노광된 코팅층이 적합한 현상제 용액에서 현상될 수 있는 충분한 양으로 레지스트 조성물 중에 존재한다. 전형적으로 매트릭스 폴리머는 레지스트 조성물의 전체 고체에 대하여 50 내지 95 wt%의 양으로 조성물 중에 존재한다. 매트릭스 폴리머의 중량 평균분자량, Mw는 전형적으로 100,000 미만, 예를 들면 5000 내지 100,000, 보다 전형적으로 5000 내지 15,000이다.
감광성 조성물은 또한 활성 조사선에 노광되었을 때 조성물의 코팅층에서 잠상을 생성하는데 충분한 양으로 사용된 포토애시드 생성제(PAG) 같은 광활성 성분을 포함한다. 예를 들면 포토애시드 발생제는 적합하게 포토레지스트 조성물의 전체 고체에 대해 약 1 내지 20 wt%의 양으로 존재하게 된다. 전형적으로 더 작은 양의 PAG는 비화학적으로 증폭된 물질과 비교하여 화학적으로 증폭된 레지스트에 적합하다.
적합한 PAG는 화학적으로 증폭된 포토레지스트의 분야에서 공지되어 있으며, 예를 들면 오늄염, 예를 들면 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들면 2-니트로벤질-p-톨루엔설포네이트, 2,6-디니트로벤질-p-톨루엔설포네이트, 및 2,4-디니트로벤질-p-톨루엔설포네이트; 설폰산 에스테르, 예를 들면 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들면 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글리옥심 유도체, 예를 들면 비스-O-(p-톨루엔설포닐)-α-디메틸글리옥심, 및 비스-O-(n-부탄설포닐)-α-디메틸글리옥심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들면 N-하이드록시숙신이미드 메탄설폰산 에스테르, N-하이드록시숙신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐 함유 트리아진 화합물, 예를 들면 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진을 포함한다. 이러한 PAG 하나 이상을 사용할 수 있다.
포토레지스트 조성물을 위한 적합한 용매는, 예를 들면 글리콜 에테르, 예를 들면 2-메톡시에틸 에테르 (디글라임), 에틸렌 글리콜 모노메틸 에테르, 및 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트, 예를 들면 메틸 락테이트 및 에틸 락테이트; 프로피오네이트, 예를 들면 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 이소부티레이트; 셀로솔브 에스테르, 예를 들면 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예를 들면 톨루엔 및 자일렌; 및 케톤, 예를 들면 아세톤, 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논이다. 또한, 용매 블렌드, 예를 들면 상기한 용매의 2 또는 3 이상의 블렌드가 적합하다. 용매는 포토레지스트 조성물의 전체 중량에 대해 전형적으로 90 내지 99 wt%, 보다 전형적으로 95 내지 98 wt%의 양으로 조성물 중에 존재한다.
포토레지스트 조성물은 또한 다른 임의의 물질을 포함할 수 있다. 예를 들면 네거티브 활성 레지스트 조성물은 전형적으로 가교 성분을 포함한다. 적합한 가교제 성분은, 예를 들면 아민계 물질, 예를 들면 멜라민 수지이고, 포토애시드 발생제가 활성 조사선에 노광될 때 산에 노출되면 양생하거나 가교하거나 경화된다. 바람직한 가교제는 아민계 물질, 예를 들면 멜라민, 글리콜우릴 (glycoluril), 벤조구아나민(benzoguanamine)계 물질 및 우레아계 물질이다. 멜라민-포름알데히드 수지가 일반적으로 가장 바람직하다. 이러한 가교제는 상업적으로 입수할 수 있으며, 예를 들면 American Cyanamid가 상표명 Cymel 300, 301 및 303으로 판매하는 멜라민 수지가 있다. 글리콜우릴 수지는 American Cyanamid가 상표명 Cymel 1170, 1171, 1172로 판매하고 있으며, 우레아계 수지는 상표명 Beetle 60, 65 및 80으로, 벤조구아나민 수지는 상표명 Cymel 1123 및 1125로 판매된다. 193 nm 같은 200 nm 이하 파장에서의 이미지화에 있어서, 바람직한 네거티브 활성 포토레지스트는 국제 특허출원 WO 03077029 (Shipley Company)에 기술되어 있다.
포토레지스트 조성물은 또한 다른 임의의 물질을 포함할 수 있다. 예를 들면, 조성물은 하나 이상의 화학선 및 콘트라스트 염료, 항찰흔제, 가소제, 속도 증강제, 증감제 등을 포함할 수 있다. 이러한 임의의 첨가제가 사용되는 경우, 예를 들면 포토레지스트 조성물의 전체 고체에 대해 0.1 내지 10 wt%의 소량으로 조성물 중에 존재한다.
레지스트 조성물의 바람직한 임의의 첨가제는 첨가된 염기이다. 적합한 염기는 오버코팅 조성물 중의 염기성 퀀처에 대해 상기하였다. 첨가된 염기는 적합하게 상대적으로 소량, 예를 들면 포토레지스트 조성물의 전체 고체에 대해 0.01 내지 5 wt%, 바람직하게 0.1 내지 2 wt%가 사용된다.
포토레지스트는 공지된 방법에 따라 제조할 수 있다. 예를 들면, 레지스트는 포토레지스트 성분을 적합한 용매, 예를 들면 2-메톡시에틸 에테르 (디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르 같은 글리콜 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트, 예를 들면 에틸 락테이트 또는 메틸 락테이트, 바람직하게 에틸 락테이트이며; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스테르, 예를 들면 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예를 들면 톨루엔 또는 자일렌; 또는 케톤, 예를 들면 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논 중 하나 이상에 용해하여 코팅 조성물로서 제조할 수 있다. 포토레지스트의 바람직한 전체 고체 함량은 조성물 중의 특정 폴리머, 최종 층 두께 및 노광 파장 같은 인자들에 따라 달라진다. 포토레지스트의 고체 함량은 포토레지스트 조성물의 전체 중량에 대하여 전형적으로 1 내지 10 wt%, 보다 전형적으로 2 내지 5 wt%이다.
네거티브 톤 현상법
본 발명은 또한 본 발명의 포토레지스트 오버코팅 조성물을 사용한 포토레지스트 릴리프 이미지의 형성방법 및 전자 디바이스의 제조방법을 제공한다. 본 발명은 또한 본 발명의 포토레지스트 오버코팅 조성물로 코팅된 기판을 포함하는 신규 제품을 제공한다. 이하 본 발명에 따른 방법이 네거티브 톤 현상으로 포토리소그래피 패턴을 형성하기 위한 예시 공정 흐름도를 나타내는 도 2A-C를 참조하여 설명된다.
도 2A는 다양한 층 및 피처를 포함할 수 있는 기판(100)의 횡단면을 도시한 것이다. 기판은 예컨대 실리콘 또는 화합물 반도체(예를 들어, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 단결정 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이며, 그의 표면상에 형성된 하나 이상의 층 및 패턴화된 피처를 가질 수 있다. 패턴화 될 하나 이상의 층(102)이 기판(100) 위에 제공될 수 있다. 임의로는, 예를 들어 기판 물질 내에 트렌치(trench)를 형성하고자 할 경우, 하부 베이스 기판 물질 자체가 패턴화될 수 있다. 베이스 기판 물질 자체를 패터닝할 경우, 패턴은 기판의 층 내에 형성될 것으로 판단된다.
상기 층은, 예를 들어 하나 이상의 전도층, 예컨대 알루미늄층, 구리층, 몰리브덴층, 탄탈륨층, 티타늄층, 텅스텐층, 이들 금속의 합금층, 니트라이드층 또는 실리사이드층(silicides), 도핑된 무정형 실리콘층 또는 도핑된 폴리실리콘층, 하나 이상의 유전층, 예컨대 실리콘 옥사이드층, 실리콘 니트라이드층, 실리콘 옥시니트라이드층, 또는 금속 옥사이드층, 단결정 실리콘과 같은 반도체층 및 이들의 조합을 포함할 수 있다. 패턴화 될 층은 플라즈마-증강 CVD, 저압 CVD 또는 에피택셜 성장과 같은 화학 증착법(CVD); 스퍼터링 또는 증발과 같은 물리적 증착법(PVD); 또는 전기도금법 등과 같은 다양한 기술로 형성될 수 있다. 에칭될 하나 이상의 층의 특정 두께는 재료 및 형성될 특정 디바이스에 따라 달라질 것이다.
에칭될 특정 층, 필름 두께 및 사용될 포토리소그래피 물질 및 방법에 따라, 층(102) 위에 하드 마스크층 및/또는 바닥 반사방지 코팅(BARC)을 배치하고 그 위에 포토레지스트층(104)이 코팅되는 것이 필요할 수 있다. 하드마스크층은, 예를 들면, 매우 얇은 레지스트층과 함께 에칭될 층이 상당한 에칭 깊이를 필요로 하고/하거나 특정 부식제가 레지스트 선택성이 낮은 경우, 그 사용이 필요할 수 있다. 하드마스크층이 사용되는 경우, 형성되는 레지스트 패턴은 하드마스크층으로 이송될 수 있으며, 이는 차례로 하부층(102)을 에칭하기 위한 마스크로 사용될 수 있다. 적합한 하드마스크 물질 및 형성 방법은 당분야에 알려져 있다. 대표적인 물질은, 예를 들면, 텅스텐, 티타늄, 티타늄 니트라이드, 티타늄 옥사이드, 지르코늄 옥사이드, 알루미늄 옥사이드, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 무정형 카본, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드마스크층은 단일층 또는 복수의 상이한 물질층을 포함할 수 있다. 하드마스크층은 예를 들면, 화학적 또는 물리적 증착기술에 의해 형성될 수 있다.
기판 및/또는 하부층이 포토레지스트 노광 동안 입사광선의 상당량을 반사하여 형성되는 패턴의 품질에 좋지 않은 영향을 줄 수 있는 경우, 바닥 반사방지 코팅이 필요할 수 있다. 이러한 코팅은 초점 심도, 노출 허용범위, 라인폭의 균일성 및 CD 조절을 개선할 수 있다. 반사방지 코팅은 일반적으로 레지스트가 심자외선(300 nm 이하), 예를 들면 KrF 엑시머 레이저광(248 nm), ArF 엑시머 레이저광(193 nm)에 노광될 경우 사용된다. 반사방지 코팅은 단일층 또는 복수의 상이한 층을 포함할 수 있다. 적합한 반사방지 물질과 제조방법은 당분야에 알려져 있다. 반사방지 물질은, 예를 들면 Rohm and Haas Electronic Materials LLC (Marlborough, MA USA)가 ARTM 상표로 판매하는 제품, 예를 들면 ARTM40A 및 ARTM124 반사방지 물질 등을 구입하여 사용할 수 있다.
본 원에 기술된 바와 같은 조성물로부터 형성된 포토레지스트층(104)은 반사방지층(존재한다면) 위의 기판상에 배치된다. 포토레지스트 조성물을 스핀 코팅, 딥핑, 롤러 코팅 또는 다른 일반적인 코팅방법에 의해 기판에 적용할 수 있다. 이들 중에서 스핀 코팅이 전형적이다. 스핀 코팅에 있어서, 코팅 용액 중의 고체 함량을 조절하여 사용되는 특정한 코팅 장치, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간에 따라 원하는 필름 두께를 제공할 수 있다. 포토레지스트층(104)의 전형적인 두께는 약 500 내지 3000Å이다.
다음으로, 포토레지스트층을 소프트베이킹하여 층 내의 용매 함량을 최소화하고, 비점착(tack-free) 코팅을 형성하여 기판에 대한 층의 점착을 개선한다. 소프트베이킹은 핫플레이트 상에서 또는 오븐에서 수행할 수 있으며, 핫플레이트가 전형적이다. 소프트베이킹 시간과 온도는, 예를 들면 포토레지스트의 특정 물질 및 두께에 따라 달라질 수 있다. 전형적인 소프트베이킹은 약 90 내지 150 ℃의 온도에서 약 30 내지 90 초동안 수행한다.
본 원에 기술된 바와 같은 조성물로부터 형성된 포토레지스트 오버코팅층(206)이 포토레지스트(104) 위에 형성된다. 오버코팅 조성물은 전형적으로 스핀 코팅에 의해 기판에 적용된다. 코팅 용액 중의 고체 함량을 조절하여 사용되는 특정한 코팅 장치, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간에 따라 원하는 필름 두께를 제공할 수 있다. 오버코팅층의 반사율을 감소시키기 위하여, 두께는 바람직하게는 오버코팅내 파장이 도래파의 파장에 1/4이 되도록 선택된다. 포토레지스트 오버코팅층(206)의 전형적인 두께는 200 내지 1000Å이다.
다음으로, 포토레지스트 오버코팅층을 베이킹하여 층 내의 용매 함량을 최소화할 수 있다. 베이킹은 핫플레이트 상에서 또는 오븐에서 수행할 수 있으며, 핫플레이트가 전형적이다. 전형적인 베이킹은 약 80 내지 120 ℃의 온도에서 약 30 내지 90 초동안 수행된다. 염기성 퀀처가 오버코팅층을 통해 균일하게 배치된 오버코팅층(206) 내에 존재할 수 있거나, 또는 분리 또는 그레이드된 퀀처 영역(207)으로서 존재할 수 있다.
이어, 포토레지스트층(104)을 제1 포토마스크(110)를 통해 활성 조사선 (108)에 노광하여 노광된 영역과 노광되지 않은 영역 사이에서 용해도 차를 생성한다. 여기에서 조성물을 활성화하는 조사선에 포토레지스트 조성물을 노광한다는 것은 조사선이 포토레지스트 조성물에서 잠상을 형성할 수 있음을 나타낸다. 포토마스크는 예시된 바와 같은 포지티브 활성 물질의 후속 현상 단계에서 각각 남는 것과 제거되는 레지스트층의 영역에 해당하는 광학적으로 투명한 영역과 광학적으로 불투명한 영역(112, 114)을 가진다. 노광 파장은 전형적으로 서브-400 nm, 서브-300 nm 또는 서브-200 nm이며, 248 nm 및 193 nm가 전형적이다. 이 방법은 침지 또는 건식(비침지) 리소그래피 기법에 사용될 수 있다. 노광 에너지는 노광 장비 및 감광성 조성물의 성분에 따라 약 10 내지 80 mJ/cm2이다.
포토레지스트층(104)을 노광한 후, 노광후 베이킹(PEB)을 수행한다. PEB는, 예를 들면 핫플레이트 또는 오븐에서 수행할 수 있다. PEB의 조건은, 예를 들면 특정 포토레지스트 조성물 및 층 두께에 따라 달라질 수 있다. PEB는 전형적으로 약 80 내지 150 ℃의 온도에서 30 내지 90 초동안 수행한다. 노광 후 베이킹에 이어, 염기성 퀀처는 점선(209)로 표시되는 바와 같이, 포토레지스트층(104)의 표면 영역으로 확산될 것으로 판단된다. 도 2B에 도시된 바와 같이, 극성-스위칭 및 비스위칭 영역(각각 노광 및 비노광 영역에 상응) 사이에 경계(점선)로 한정되는 잠상(216)이 포토레지스트에 형성된다. 포토레지스트내에 확산된 염기성 퀀처는 포토레지스트층의 바람직하지 않은 암영역에서 극성 스위칭에 따라 수직벽을 갖는 잠상으로 이어지는 것을 방지할 것으로 여겨진다.
다음으로, 오버코팅층(206) 및 노광된 포토레지스트층을 현상하여 포토레지스트층(104)의 노광되지 않은 영역(104)을 제거하고, 도 2C에 도시된 바와 같이, 수직 측벽을 가지는 접촉홀 패턴(220)을 가지는 오픈 레지스트 패턴(104')을 형성하는 노광 영역을 형성한다. 현상제는 전형적으로 유기 현상제, 예를 들면 케톤, 에스테르, 에테르, 탄화수소 및 이들의 혼합물에서 선택되는 용매이다. 적합한 케톤 용매는, 예를 들면 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 사이클로헥사논, 메틸사이클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤 등이다. 적합한 에스테르 용매는, 예를 들면 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트 등이다. 적합한 에테르 용매는, 예를 들면 디옥산, 테트라하이드로푸란 및 글리콜 에테르 용매, 예를 들면 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올 등이다. 적합한 아미드 용매는, 예를 들면 N-메틸-2-피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드 등이다. 적합한 탄화수소 용매는, 예를 들면 방향족 탄화수소 용매, 예를 들면 톨루엔 및 크실렌이다. 또한 이 용매들의 혼합물, 또는 1 이상의 열거된 용매와 상기한 용매 이외의 용매 또는 물과의 혼합물을 사용할 수 있다. 다른 적합한 용매로는 포토레지스트 조성물에 사용되는 용매가 포함된다. 이들 중에서 2-헵타논 또는 부틸 아세테이트, 예컨대 n-부틸 아세테이트가 바람직하다.
유기 용매의 혼합물, 예를 들어 제1 및 제2 유기 용매의 혼합물이 바람직하게는 현상제로서 사용될 수 있다. 제1 유기 용매는 하이드록시 알킬 에스테르, 예컨대 메틸-2-하이드록시이소부티레이트 및 에틸 락테이트; 및 선형 또는 분지형 C5 ~ C6 알콕시 알킬 아세테이트, 예컨대 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA)로부터 선택된다. 제1 유기 용매중에서 2-헵타논 및 5-메틸-2-헥사논이 바람직하다. 제2 유기 용매는 선형 또는 분지형 비치환 C6 ~ C8 알킬 에스테르, 예컨대 n-부틸 아세테이트, n-펜틸 아세테이트, n-부틸 프로피오네이트, n-헥실 아세테이트, n-부틸 부티레이트 및 이소부틸 부티레이트; 및 선형 또는 분지형 C8 ~ C9 케톤, 예컨대 4-옥타논, 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논으로부터 선택된다. 제2 유기 용매중에서, n-부틸 아세테이트, n-부틸 프로피오네이트 및2,6-디메틸-4-헵타논이 바람직하다. 제1 및 제2 유기 용매의 바람직한 조합은 2-헵타논/n-부틸 프로피오네이트, 사이클로헥사논/n-부틸 프로피오네이트, PGMEA/n-부틸 프로피오네이트, 5-메틸-2-헥사논/n-부틸 프로피오네이트, 2-헵타논/2,6-디메틸-4-헵타논 및 2-헵타논/n-부틸 아세테이트이다. 이중에서 2-헵타논/n-부틸 아세테이트 및 2-헵타논/n-부틸 프로피오네이트가 특히 바람직하다.
유기 용매는 전형적으로 현상제의 총 중량에 대하여 90 wt% 내지 100 wt%, 더욱 전형적으로 95 wt% 초과, 98wt% 초과, 99wt% 초과 또는 100 wt%의 양으로 현상제중에 존재한다.
현상제 물질은 임의의 첨가제, 예를 들면 포토레지스트와 관련하여 상기한 바와 같은 계면활성제 등을 포함할 수 있다. 이러한 임의의 첨가제는 전형적으로 소량 농도로 존재할 수 있으며, 예를 들면 현상제의 총 중량에 대하여 약 0.01 내지 5 wt%의 양으로 존재한다.
현상제는 공지된 방법, 예를 들면 스핀 코팅 또는 퍼들(puddle) 코팅 등에 의해 기판에 적용될 수 있다. 현상 시간은 포토레지스트의 노광되지 않은 영역을 제거하는데 효과적인 시간 동안이며, 5 내지 30 초가 전형적이다. 현상은 전형적으로 실온에서 수행된다. 현상 과정은 현상 후에 세정 린스의 사용없이 수행할 수 있다. 이와 관련하여, 현상 과정은 잔사가 없는 웨이퍼 표면을 생성하여 추가의 린스 단계를 필요로 하지 않는 것이 확실하다.
BARC층이 존재하는 경우에는, 에칭 마스크로서 레지스트 패턴(104')을 사용하여 선택적으로 에칭하여 하부 하드마스크층을 노출한다. 이어서, 하드마스크층을 다시 에칭 마스크로서 레지스트 패턴(104')을 사용하여 선택적으로 에칭하여, 패턴화된 BARC와 하드마스크층을 생성한다. BARC층과 하드마스크층을 에칭하는 적합한 에칭 기술과 화학적 방법은 당업계에 알려져 있으며, 예를 들면 이러한 층들의 특정 물질에 따라 달라질 것이다. 반응성 이온 에칭과 같은 건식 에칭 방법이 전형적이다. 다음으로, 레지스트 패턴(104')과 패턴화된 BARC층을 공지 기술, 예를 들면 산소 플라즈마 애싱을 사용하여 기판에서 제거한다.
에칭 마스크로서 하드마스크 패턴을 사용하여, 1 이상의 층(102)을 선택적으로 에칭한다. 하부층(102)을 에칭하기에 적합한 에칭 기술과 화학적 방법은 당업계에 알려져 있으며, 반응성 이온 에칭과 같은 건식 에칭 공정이 전형적이다. 패턴화된 하드마스크층은 종래의 방법, 예를 들면 반응성 이온 에칭과 같은 건식 에칭 방법을 사용하여 기판 표면에서 제거될 수 있다. 생성된 구조는 에칭된 피처의 패턴이다. 예시적인 대안 방법에 있어서, 층(102)을 레지스트 패턴(104')을 사용하여 하드마스크층없이 직접 패턴화하는 것이 바람직하다. 직접 패턴화를 사용할 것인지는 관련 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수 등의 인자에 따라 결정된다.
본 발명의 네거티브 톤 현상방법은 상기한 예시적인 방법에 제한되지 않는다. 예를 들면, 본 발명의 포토레지스트 오버코팅 조성물은 접촉홀을 제조하기 위한 네거티브 톤 현상 이중 노출법에서 사용될 수도 있다. 이러한 예시적인 방법은 도 2를 참조로 하여 기술된 방법의 한 변형이지만, 제1 노광과는 상이한 패턴으로 포토레지스트층의 추가 노광을 사용한다. 이 공정에서는, 포토레지스트층을 제1 노광 단계에서 화학 조사선에 포토마스크를 통해 노광시킨다. 포토마스크는 마스크의 불투명 영역을 형성하는 일련의 평행선을 포함한다. 제1 노광 후에, 포토레지스트층의 제2 노광을 제1 포토마스크의 선들과 수직한 방향의 일련의 선들을 포함하는 제2 포토마스크를 통해 수행한다. 얻어진 포토레지스트층은 비노광 영역, 1회 노광된 영역 및 2회 노광된 영역을 포함한다. 2차 노광 후에, 포토레지스트층을 노광후 베이킹하고 상기한 바와 같은 현상제를 사용하여 현상한다. 두 마스크 선의 교차점에 해당하는 비노광 영역을 제거하고 레지스트의 1회 및 2회 노광 영역을 남긴다. 생성된 구조를 상기한 바와 같이 패턴화할 수 있으며, 도 2를 참조한다.
접촉홀 및 트렌치 패턴과 같은 피처의 또 다른 섬세한 분할은 NTD 과노출 공정을 사용하여 이룰 수 있다. 이 공정에서, 포토마스크는 웨이퍼상에 프린트되는 것과 비교해서 더 큰 패턴을 가진다. 노광 조건은 광이 포토마스크 패턴의 가장자리 아래로 확산되어 레지스트에서 극성 스위칭이 일어나 이들 가장자리 영역 아래로 연장되도록 선택된다.
실시예
포토레지스트 폴리머 (PP)의 합성
하기 모노머들이 포토레지스트 조성물의 실시예에 사용된 포토레지스트 폴리머 (PP)의 합성에 사용되었다;
Figure 112019132703842-pat00009
폴리(ECPMA/MCPMA/MNLMA/HADA) (PP-1)의 합성
ECPMA (5.092 g), MCPMA (10.967 g), MNLMA (15.661 g) 및 HADA (8.280 g)의 모노머를 60 g의 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA)에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (27.335 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (디메틸-2,2-아조디이소부티레이트) (0.858 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르 (MTBE) (1634 g) 중에서 침전을 수행하였다. 침전된 분말을 여과에 의해 모아서 밤새 공기 건조시키고, 120 g의 테트라하이드로푸란 (THF)에 재용해시킨 후, MTBE (1634 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 60 ℃에서 48 시간 동안 진공하에 더 건조시켜서 31.0 g의 폴리(ECPMA/MCPMA/MNLMA/HADA) (15/35/30/20) 코폴리머 (PP-1)를 수득하였다 (Mw = 20,120 및 Mw/Mn = 1.59).
폴리(MCPMA/NLM) (PP-2)의 합성
MCPMA (17.234 g) 및 NLM (22.766 g)의 모노머를 60 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (31.938 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (2.831 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. MTBE (1713 g) 중에서 침전을 수행하였다. 침전된 분말을 여과에 의해 모아서 밤새 공기 건조시키고, 20 g의 THF에 재용해시킨 후, MTBE (1713 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 60 ℃에서 48 시간 동안 진공하에 더 건조시켜서 32 g의 폴리(MCPMA/NLM) (50/50) 코폴리머 (PP-2)를 수득하였다 (Mw = 8,060 및 Mw/Mn = 1.46).
오버코팅 폴리머 (OP)의 합성
다음 모노머들을 레지스트 오버코팅 조성물을 제제화하는데 사용되는 오버코팅 폴리머 (OP)의 합성에 사용하였다 ;
Figure 112019132703842-pat00010
폴리 ( iBMA ) (OP- 1)의 합성
40 g의 이소-부틸 메타크릴레이트 (iBMA) 모노머를 60 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (32.890 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (3.239 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1730 g) 중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 20 g의 THF에 재용해시키고, 메탄올/물 (8/2) 혼합물 (1730 g)로 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 34.2 g의 폴리(iBMA) 폴리머 (OP-1)를 수득하였다 (Mw = 8,641 및 Mw/Mn = 1.61).
폴리(iBMA/nBMA) (75/25) (OP-2)의 합성
30 g의 iBMA 및 10 g의 nBMA 모노머를 60 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (32.890 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (3.239 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1730 g) 중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 20 g의 THF에 재용해시킨 후, 메탄올/물 (8/2) 혼합물 (1730 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 33.1 g의 폴리(iBMA/nBMA) (75/25) 코폴리머 (OP-2)를 수득하였다 (Mw = 9,203 및 Mw/Mn =1.60).
폴리(iBMA/nBMA) (50/50) (OP-3)의 합성
20 g의 iBMA 및 20 g의 nBMA 모노머를 60 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (32.890 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 이어, 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (3.239 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1730 g) 중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 20 g의 THF에 재용해시킨 후, 메탄올/물 (8/2) 혼합물 (1730 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 32.5 g의 폴리(iBMA/nBMA) (50/50) 코폴리머 (OP-3)를 수득하였다 (Mw = 8,812 및 Mw/Mn = 1.60).
폴리(iBMA/nBMA) (25/75) (OP-4)의 합성
10 g의 iBMA 및 30 g의 nBMA 모노머를 60 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (32.890 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 이어, 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (3.239 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1730 g) 중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 20 g의 THF에 재용해시킨 후, 메탄올/물 (8/2) 혼합물 (1730 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 30.2 g의 폴리(iBMA/nBMA) (25/75) 코폴리머 (OP-4)를 수득하였다 (Mw = 9,654 및 Mw/Mn = 1.60).
폴리(nBMA) (OP-5)의 합성
40 g의 nBMA 모노머를 60 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (32.890 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (3.239 g)를 8 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1730 g)중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 20 g의 THF에 재용해시킨 후, 메탄올/물 (8/2) 혼합물 (1730 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 30.8 g의 폴리(nBMA) 폴리머 (OP-5)를 수득하였다 (Mw = 9,194 및 Mw/Mn = 1.60).
폴리(nBMA/TFEMA) (50/50) (OP-6)의 합성
13.747 g의 nBMA 모노머 및 16.253 g의 트리플루오로에틸 메타크릴레이트 (TFEMA) 모노머를 45 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (23.675 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (2.004 g)를 6 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1280 g) 중에서 침전을 수행하였. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 90 g의 THF에 재용해시킨 후, 메탄올/물 (8/2) 혼합물 (1280 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 25.5 g의 폴리(nBMA/TFEMA) (50/50) 코폴리머 (OP-6)를 수득하였다 (Mw = 9,830 및 Mw/Mn = 1.66).
폴리(TFEMA) (OP-7)의 합성
30 g의 TFEMA 모노머를 45 g의 PGMEA에 용해시켰다. 모노머 용액에 질소를 20 분 버블링하여 탈기시켰다. PGMEA (23.314 g)를 컨덴서 및 기계 교반기가 장착된 500 mL 삼구 플라스크에 충전하고, 질소를 20 분 버블링하여 탈기시켰다. 반응 플라스크내 용매의 온도를 80 ℃로 하였다. V601 (1.849 g)를 6 g의 PGMEA에 용해시키고, 개시제 용액에 질소를 20 분 버블링하여 탈기시켰다. 개시제 용액을 반응 플라스크에 가한 후, 모노머 용액을 질소 환경하에서 격렬히 교반하면서 3 시간에 걸쳐 반응기에 적가 공급하였다. 모노머 공급을 마친 후, 중합 혼합물을 80 ℃에서 1 시간 방치하였다. 총 4 시간의 중합 시간(3 시간의 공급 및 1 시간의 공급후 교반) 이후에, 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1274 g) 중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 모아서 밤새 공기 건조시키고, 90 g의 THF에 재용해시킨 후, 다메탄올/물 (8/2) 혼합물 (1274 g)에 재침전시켰다. 최종 폴리머를 여과하고 밤새 공기 건조한 다음, 25 ℃에서 48 시간 동안 진공하에 더 건조시켜서 22.6 g의 폴리(TFEMA) 폴리머 (OP-7)를 수득하였다 (Mw = 9,895 및 Mw/Mn = 1.59).
오버코팅 폴리머 (OP-8 - OP-19)의 합성
표 1에 명시된 성분 및 양을 사용해 오버코팅 폴리머 OP-1 내지 OP-7에 대해 상술된 것과 유사한 절차로 추가 오버코팅 폴리머를 합성하였다. 폴리머의 중량평균분자량 (Mw) 및 다분산 지수 (Mw/Mn)를 측정하고, 표 1에 나타내었다.
폴리머 모노머(들) 조성물* 개시제** Mw Mw/Mn
OP-1 iBMA 100 5.0% 8,641 1.61
OP-2 iBMA/nBMA 75/25 5.0% 9,203 1.60
OP-3 iBMA/nBMA 50/50 5.0% 8,812 1.60
OP-4 iBMA/nBMA 25/75 5.0% 9,654 1.60
OP-5 nBMA 100 5.0% 9,194 1.60
OP-6 nBMA/TFEMA 50/50 4.5% 9,830 1.66
OP-7 TFEMA 100 4.5% 9,895 1.59
OP-8 nBMA 100 10.0% 5,625 1.38
OP-9 nBMA 100 2.0% 17,647 1.88
OP-10 nBMA 100 1.5% 23,997 1.98
OP-11 iBMA 100 10.0% 5,499 1.37
OP-12 iBMA 100 7.0% 6,867 1.55
OP-13 iBMA 100 2.0% 18,913 1.85
OP-14 iBMA 100 1.5% 24,087 2.02
OP-15 iBMA/nBMA 50/50 10.0% 5,430 1.42
OP-16 iBMA/nBMA 50/50 2.0% 18,455 1.90
OP-17 iBMA/nBMA 50/50 1.5% 22,702 2.04
OP-18 iBMA/nBMA/TFEMA 60/20/20 4.5% 9,542 1.67
OP-19 nBMA/TFEMA 75/25 4.5% 9,073 1.60
* 중합시 몰 공급비
** 모노머에 대한 몰 퍼센트
포토레지스트 조성물 제조
포토레지스트 조성물 1 (PC-1)
1.294 g의 PP-1 및 1.294g의 PP-2를 29.633 g의 PGMEA, 19.380 g의 사이클로헥사논 및 48.450 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 이 혼합물에 0.484 g의 하기 "PAG A" 및 0.029 g의 1-(tert-부톡시카보닐)-4-하이드록시피페리딘을 첨가하였다. 생성된 혼합물을 기계 롤러상에서 3 시간동안 롤링한 후, 0.2 미크론 기공 크기의 테프론 필터를 통해 여과하였다.
Figure 112019132703842-pat00011
포토레지스트 조성물 2 (PC-2)
1.263 g의 PP-1 및 1.263g의 PP-2를 29.620 g의 PGMEA, 19.385 g의 사이클로헥사논 및 48.455 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 이 혼합물에 0.484 g의 PAG A, 0.029 g의 1-(tert-부톡시카보닐)-4-하이드록시피페리딘 및 0.062 g의 OP-10을 첨가하였다. 생성된 혼합물을 기계 롤러상에서 3 시간동안 롤링한 후, 0.2 미크론 기공 크기의 테프론 필터를 통해 여과하였다.
포토레지스트 조성물 3 (PC-3)
5.061 g의 PP-1를 28.140 g의 PGMEA, 18.760g의 사이클로헥사논 및 46.900 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 이 혼합물에 0.992 g의 PAGA, 0.023 g의 1-(tert-부톡시카보닐)-4-하이드록시피페리딘 및 0.124 g의 OP-10을 첨가하였다. 생성된 혼합물을 기계 롤러상에서 3 시간동안 롤링한 후, 0.2 미크론 기공 크기의 테프론 필터를 통해 여과하였다.
오버코팅 폴리머 특성화
오버코팅 폴리머 OP-1 내지 OP-19를 PGMEA에 용해시켜 10 wt% 고용액을 형성함으로써 이들 폴리머의 각 고용액을 제조하였다. 용액을 0.2 미크론 기공 크기의 테프론 필터를 통해 여과하였다. 여과된 용액을 200 mm 맨 실리콘 웨이퍼상에 코팅하고, 코팅된 웨이퍼를 120 ℃에서 60 초간 소프트베이킹하여 두께 약 4000Å의 필름을 만들었다. 분해 속도 및 접촉각을 측정하기 위한 각 폴리머의 웨이퍼 두 세트를 준비하였다. 오버코팅 폴리머의 분해 속도를 2-헵타논을 현상제로 사용하여 분해 속도 모니터(Litho Tech Japan사의 RDA-800EUV)에서 측정하였다. 오버코팅 폴리머의 최대 분해 속도를 18 개의 상이한 채널을 통해 얻은 18 개의 분해 속도 평균으로 계산하였다.
오버코팅 폴리머의 광학 특성을 측정하기 위하여, 오버코팅 폴리머 용액 (PGMEA 중 10 고체 중량%)을 200 mm 맨 실리콘 웨이퍼상에 1100 rpm으로 코팅하고, TEL CleanTrack ACT 8 코터/디벨로퍼 상에서 60 초간 120 ℃로 소프트베이킹하여 두께 약 4000Å의 필름을 만들었다. 코팅 필름의 광학 특성을 VUV-VASE VU-302 엘립소미터 (J. A. Woollam Co.)에서 측정하였다. 편광 데이터를 3개의 각도에서 광범위 파장에 걸쳐 수집하였다. 생성된 데이터를 분석하고, 모델에 피팅하여 193 nm에서의 n 및 k 값을 구하였다.
2-헵타논에서의 분해 속도, 수 접촉각 및 193 nm에서의 광학 특성 (n 및 k)을 비롯한 오버코팅 폴리머의 특성화 결과를 하기 표 2에 나타내었다.
폴리머 분해 속도, nm/sec 접촉각 n k
정적 후진 전진 경사
OP-1 1380 89.2 77.4 88.9 13.5 1.637 0.002034
OP-2 2630 89.0 76.3 88.7 14.8 1.649 0.001652
OP-3 5080 88.5 75.7 89.0 14.8 1.642 0.001958
OP-4 5750 87.9 73.4 90.5 18.2 1.640 0.002218
OP-5 6070 87.2 70.5 97.0 29.0 1.646 0.001488
OP-6 2700 95.4 79.6 96.7 17.7 N/A N/A
OP-7 N/A 96.0 84.6 963 13.3 N/A N/A
OP-11 2180 87.5 76.2 90.7 14.9 N/A N/A
OP-12 1840 87.6 76.8 92.6 14.8 N/A N/A
OP-13 600 88.4 76.9 92.6 13.6 N/A N/A
OP-14 630 89.0 78.6 90.0 13.0 1.641 0.001049
OP-18 1700 93.1 79.3 95.4 16.6 1.620 0.001428
OP-19 5500 93.2 76.5 92.8 19.3 N/A N/A
N/A = 측정되지 않음
레지스트 오버코팅 조성물 제조
표 3에 명시된 성분 및 양을 사용해서 오버코팅 폴리머 및 염기성 퀀처 (존재할 경우)를 용매에 용해시켜 레지스트 오버코팅 조성물을 제조하였다. 생성된 혼합물을 기계 롤러상에서 3 시간동안 롤링한 후, 0.2 미크론 기공 크기의 테프론 필터를 통해 여과하였다. 조성물을, 오버코트 표면에서의 반사율을 감소시키기 위하여 도래파 파장의 1/4에 상당하는 목표 두께 (스핀 코팅 후 ~1500 rpm)에 준해 제제화하였다.
오버코팅
조성물
폴리머 퀀처 용매 목표 두께,Å
OC-1 (비교) OP-2 (1.560 g) - NBB (98.440 g) 290
OC-2 (비교) OP-2 (4.450 g) - NBB (95.550 g) 880
OC-3 (비교) OP-2 (1.560 g) - IBIB (98.440 g) 290
OC-4 (비교) OP-2 (4.450 g) - IBIB (95.550 g) 880
OC-5 OP-2 (1.552 g) TBOC-4HP (0.008 g) IBIB (98.440 g) 290
OC-6 OP-2 (4.428 g) TBOC-4HP (0.022 g) IBIB (95.550 g) 880
OC-7 OP-2 (3.413 g) TBOC-4HP (0.017 g) IBIB (96.570 g) 880
OC-8 OP-2 (3.396 g) TBOC-4HP (0.034 g) IBIB (96.570 g) 880
OC-9 OP-2 (3.361 g) TBOC-4HP (0.069 g) IBIB (96.570 g) 880
OC-10 OP-2 (3.396 g) TBPC (0.034 g) IBIB (96.570 g) 880
OC-11 OP-2 (3.396 g) TIPA (0.034 g) IBIB (96.570 g) 880
TBOC-4HP = 1-(tert-부톡시카보닐)-4-하이드록시피페리딘,
TBPC = tert-부틸 1-피롤리딘카복실레이트,
TIPA = 트리이소프로판올아민
침지 리소그래피 공정
300 mm 실리콘 웨이퍼에 ARTM40A 반사방지제(Rohm and Haas Electronic Materials사 제품)를 스핀-코팅하여 TEL CLEAN TRACK LITHIUS i+ 코터/디벨로퍼에서 제1 BARC 층을 형성하였다. 이 웨이퍼를 215 ℃에서 60 초동안 베이킹하여 840Å 두께의 제1 BARC 필름을 얻었다. 다음으로, 제2 BARC 층을 제1 BARC 상에 ARTM124 반사방지제(Rohm and Haas Electronic Materials사 제품)를 사용하여 코팅하고, 205 ℃에서 60 초동안 베이킹하여 200Å BARC 상부층을 생성하였다. 포토레지스트 조성물을 이중 BARC-코팅된 웨이퍼상에 코팅한 다음, 90 ℃에서 60 초동안 TEL CLEAN TRACK LITHIUS i+ 코터/디벨로퍼에서 소프트 베이킹하여 약 900Å 두께의 레지스트층을 제공하였다. 오버코팅 조성물을 레지스트 상부에 코팅하고, 90 ℃에서 60 초동안 TEL CLEAN TRACK LITHIUS i+ 코터/디벨로퍼에서 소프트 베이킹하여 290 또는 880Å 두께의 오버코팅 두께를 제공하였다.
네거티브 톤 현상 공정
웨이퍼를 ASML TWINSCAN XT:1900i 이머젼 스캐너에서 1.35 NA, 0.9 아우터 시그마, 0.7 이너 시그마 및 XY 편광을 가지는 교차 부채꼴 쿼드로폴(C-Quad) 조명을 사용하여 마스크를 통해 노광시켰다. 노광된 웨이퍼를 90 ℃에서 60 초동안 노광후 베이킹한 다음, 2-헵타논 및 n-부틸 프로피오네이트의 1:1 (중량 기준) 혼합물을 사용하여 25 초동안 TEL CLEAN TRACKTM LITHIUSTM i+ 코터/디벨로퍼에서 현상하여 네거티브 톤 패턴을 얻었다. CD 값을 플롯팅하여 단일 노광 NTD 공정에 대해 45 nm 홀을 프린트하기 위한 최적의 에너지(E op)를 결정하였으며, 이는 60 nm에서 마스크 CD (마스크상에 불투명 위치의 직경) 및 90 nm에서 피치 CD(마스크 CD + 불투명 위치간 거리)를 사용하여 노광 에너지의 함수로서 Hitachi CG4000 CD SEM에서 측정되었다. EL을 45 nm 접촉홀에 대해 상술한 바와 같이 측정하였다. 45 nm 홀의 국소 CD 균일성을 240 CD 값의 3σ로 측정하였다. 각 웨이퍼에 대해, 다이당 20 이미지를 취하고, 이미지당 12 접촉홀 측정을 250K 배율로 행하였다. 포커스 오프셋을 50 nm 증분으로 변화시켜 상이한 실시예 대한 포커스 깊이(DOF)를 조사하고, 포커스 변화를 통해 찍은 SEM 이미지로부터의 홀 충실도로 DOF를 결정하였다. 결과를 표 4에 나타내었다.
실시예 포토레지스트
조성물
오버코팅
조성물
오버코팅
두께,Å
Eop, mJ/cm2 EL, nm/(mJ/cm2) 3s CDU, nm DOF, nm
9 (비교) PC-2 N/A N/A 44.1 1.41 3.89 100
10 (비교) PC-1 OC-3 290 44.9 1.16 3.54 100
11 (비교) PC-1 OC-4 880 46.1 1.16 3.84 100
12 PC-1 OC-5 290 42.5 1.28 3.56 150
13 PC-1 OC-6 880 45.9 1.06 3.82 150
오버코팅층이 리소그래피 공정에 사용된 실시예 10 내지 13의 노출 허용범위는 오버코팅층을 포함하지 않는 실시예 9에 비해 개선된 것으로 나타났다. 본 발명에 따른 염기성 퀀처를 함유하는 오버코팅 조성물을 사용한 실시예 12 및 13의 DOF는 오버코팅층을 포함하지 않는 실시예 9 및 염기성 퀀처를 함유하지 않는 오버코팅 조성물을 포함하는 실시예 10 내지 11에 비해 상당히 개선되었다. 더 많은 접촉홀이 디포커스 영역에서 열려 있는 형태로 있는 패턴에서 DOF 개선이 관찰되었다.
건식 리소그래피 공정
200 mm 실리콘 웨이퍼에서 상이한 염기성 퀀처의 효과를 조사하기 위해 ASML/1100 스캐너에 연결된 TEL CleanTrack ACT 8을 사용하여 건식 리소그래피를 행하였다. 실리콘 웨이퍼에 ARTM77 바닥 반사방지제 코팅(BARC) 물질(Rohm and Haas Electronic Materials사 제품)을 스핀-코팅하고, 205 ℃에서 60 초동안 베이킹하여 840Å의 필름 두께를 얻었다. 포토레지스트 조성물 PC-3을 BARC-코팅 웨이퍼에 코팅하고, 90 ℃에서 60 초동안 TEL CleanTrack ACT 8 코터/디벨로퍼에서 소프트 베이킹하여 1800Å 두께의 레지스트층을 제공하였다. 표 5에 명시된 오버코팅 조성물을 레지스트 상부에 코팅하고, 90 ℃에서 60 초동안 TEL CleanTrack ACT 8 코터/디벨로퍼에서 소프트 베이킹하여 880Å 두께의 오버코팅 두께를 제공하였다. 웨이퍼를 0.75 NA, 0.89 아우터 시그마 및 0.64 이너 시그마의 동심원 조명 조건을 사용하여 노광하였다. 노광된 웨이퍼를 85 ℃에서 60 초동안 노광후 베이킹한 다음, 50:50 2-헵타논 및 n-부틸 프로피오네이트를 사용하여 25 초동안 TEL CleanTrack ACT 8 코터/디벨로퍼에서 현상하였다. CD를 100 nm의 마스크 CD를 사용하여 100 nm 트렌치에서 1500 nm 피치로 표적화하였다. 접촉홀, Eop 및 EL 결과를 표 5에 나타내었다. 이 데이터로부터 알 수 있는 바와 같이, 상이한 퀀처 로딩 및 상이한 극성을 갖는 상이한 퀀처에 대해 유사한 접촉홀 각 데이터가 얻어졌다. 오버코트의 사용으로 EL이 개선된 것으로 나타났다.
실시예 포토레지스트 조성물 오버코팅 조성물 접촉각 Eop, mJ/cm2 EL, nm/(mJ/cm2)
정적 후진 전진 경사
14 (비교) PC-3 - 86.1 71.8 90.3 17.7 18.1 9.0
15 PC-3 OC-7 87.2 75.3 91.2 15.7 19.1 7.4
16 PC-3 OC-8 87.3 75.7 92.2 15.8 19.7 7.3
17 PC-3 OC-9 87.3 75.5 92.6 16.1 19.3 7.6
18 PC-3 OC-10 87.1 75.2 91.7 16 19.6 8.2
19 PC-3 OC-11 87.0 76.4 90.7 15.9 19.2 8.1

Claims (7)

  1. (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계;
    (b) 상기 패턴화될 하나 이상의 층 위에 포토레지스트층을 형성하는 단계;
    (c) 상기 포토레지스트층 위에 포토레지스트 오버코팅 조성물을 코팅하는 단계;
    (d) 상기 층을 화학조사선에 노광하는 단계; 및
    (e) 상기 노광된 층을 유기용매 현상제로 현상하는 단계;를 포함하며,
    여기서, 상기 포토레지스트 오버코팅 조성물이 염기성 퀀처(quencher), 불소를 포함하지 않는 폴리머, 및 유기용매를 포함하고,
    단, 상기 불소를 포함하지 않는 폴리머가 하기 반복 단위들 중 적어도 하나를 포함하지 않으며:
    Figure 112020019065478-pat00016
    ;
    상기 염기성 퀀처가 하기 화합물이 아닌:
    Figure 112020019065478-pat00017
    ;
    전자 디바이스의 형성방법.
  2. (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계;
    (b) 상기 패턴화될 하나 이상의 층 위에 포토레지스트층을 형성하는 단계;
    (c) 상기 포토레지스트층 위에 포토레지스트 오버코팅 조성물을 코팅하는 단계;
    (d) 상기 층을 화학조사선에 노광하는 단계; 및
    (e) 상기 노광된 층을 유기용매 현상제로 현상하는 단계;를 포함하며,
    여기서, 상기 포토레지스트 오버코팅 조성물이 염기성 퀀처(quencher), 불소를 포함하지 않는 폴리머, 및 유기용매를 포함하고,
    상기 불소를 포함하지 않는 폴리머가 하기 화학식 (II)를 가지는 모노머로부터 유도된 반복 단위를 포함하는,
    전자 디바이스의 형성방법:
    Figure 112019132703842-pat00013

    여기에서, R1은 수소 및 C1 내지 C3 알킬로부터 선택되고; R5, R6 및 R7은 독립적으로 수소 또는 C1 내지 C3 알킬기를 나타내며; Z는 단일 결합 또는 스페이서 단위이다.
  3. 제1항 또는 제2항에 있어서, 포토레지스트 오버코팅 조성물이, 상기 불소를 포함하지 않는 폴리머와는 다른 추가 폴리머를 더 포함하는, 전자 디바이스의 형성방법.
  4. 제1항 또는 제2항에 있어서, 염기성 퀀처가 포토레지스트층과 포토레지스트 오버코팅층 사이의 경계에서 그레이디드되거나 분리된 층을 형성하는, 전자 디바이스의 형성방법.
  5. 제1항 또는 제2항에 있어서, 염기성 퀀처가 포토레지스트 오버코팅 조성물의 다른 성분들에 대하여 상대적으로 높은 표면 자유에너지를 가지는, 전자 디바이스의 형성방법.
  6. 제1항 또는 제2항에 있어서, 포토레지스트 오버코팅 조성물을 베이킹하여 70°내지 85°의 물 후진 접촉각을 가지는 층을 형성하는 단계를 더 포함하는, 전자 디바이스의 형성방법.
  7. 제1항 또는 제2항에 있어서, 유기용매 현상제가 n-부틸 프로피오네이트, 2-헵타논, n-부틸 아세테이트, 또는 이들의 조합을 포함하는, 전자 디바이스의 형성방법.
KR1020190172783A 2011-09-09 2019-12-23 포토리소그래픽 방법 KR102161015B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161533106P 2011-09-09 2011-09-09
US61/533,106 2011-09-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020120099915A Division KR102069186B1 (ko) 2011-09-09 2012-09-10 포토리소그래픽 방법

Publications (2)

Publication Number Publication Date
KR20190143441A KR20190143441A (ko) 2019-12-30
KR102161015B1 true KR102161015B1 (ko) 2020-09-29

Family

ID=48179098

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020120099915A KR102069186B1 (ko) 2011-09-09 2012-09-10 포토리소그래픽 방법
KR1020190172783A KR102161015B1 (ko) 2011-09-09 2019-12-23 포토리소그래픽 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020120099915A KR102069186B1 (ko) 2011-09-09 2012-09-10 포토리소그래픽 방법

Country Status (5)

Country Link
US (3) US8697338B2 (ko)
JP (3) JP2013061647A (ko)
KR (2) KR102069186B1 (ko)
CN (1) CN103186050B (ko)
TW (1) TWI467325B (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013061648A (ja) 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトレジスト上塗り組成物および電子デバイスを形成する方法
JP2013061647A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法
JP2015180950A (ja) * 2012-01-31 2015-10-15 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、並びに、これを用いたレジスト膜、パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
US20140120469A1 (en) * 2012-10-31 2014-05-01 Rohm And Haas Electronic Materials Llc Thermal acid generators for use in photoresist
US8852967B2 (en) * 2012-12-20 2014-10-07 Intermolecular, Inc. Dissolution rate monitor
JP6007199B2 (ja) * 2013-01-31 2016-10-12 富士フイルム株式会社 パターン形成方法、及び、これを用いた電子デバイスの製造方法
CN103576469B (zh) * 2013-11-19 2015-07-29 四川虹视显示技术有限公司 光刻胶曝光装置
KR20150080443A (ko) * 2013-12-31 2015-07-09 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토레지스트 오버코트 조성물
US9703200B2 (en) * 2013-12-31 2017-07-11 Rohm And Haas Electronic Materials Llc Photolithographic methods
KR102245135B1 (ko) 2014-05-20 2021-04-28 삼성전자 주식회사 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
KR101951669B1 (ko) 2014-09-30 2019-02-25 후지필름 가부시키가이샤 패턴 형성 방법, 레지스트 패턴, 및 전자 디바이스의 제조 방법
JP6349408B2 (ja) 2014-09-30 2018-06-27 富士フイルム株式会社 パターン形成方法、レジストパターン、及び、電子デバイスの製造方法
CN106605174B (zh) 2014-09-30 2020-05-19 富士胶片株式会社 负型图案形成方法、保护膜形成用组合物及电子元件制法
KR101982556B1 (ko) 2014-09-30 2019-05-27 후지필름 가부시키가이샤 패턴 형성 방법, 레지스트 패턴, 및 전자 디바이스의 제조 방법
JPWO2016052384A1 (ja) 2014-09-30 2017-05-25 富士フイルム株式会社 パターン形成方法、上層膜形成用組成物、レジストパターン、及び、電子デバイスの製造方法
JP6134367B2 (ja) 2014-10-31 2017-05-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト保護膜組成物
TWI582536B (zh) * 2014-10-31 2017-05-11 羅門哈斯電子材料有限公司 圖案形成方法
WO2016098809A1 (ja) 2014-12-17 2016-06-23 富士フイルム株式会社 パターン形成方法、保護膜形成用組成物及び電子デバイスの製造方法
US11092894B2 (en) 2014-12-31 2021-08-17 Rohm And Haas Electronic Materials Korea Ltd. Method for forming pattern using anti-reflective coating composition comprising photoacid generator
WO2016136354A1 (ja) 2015-02-26 2016-09-01 富士フイルム株式会社 パターン形成方法、レジストパターン、電子デバイスの製造方法、及び、電子デバイス
CN107407886A (zh) * 2015-03-27 2017-11-28 富士胶片株式会社 图案形成方法、抗蚀剂图案、电子器件的制造方法及上层膜形成用组合物
KR102018518B1 (ko) 2015-03-31 2019-09-05 후지필름 가부시키가이샤 상층막 형성용 조성물, 패턴 형성 방법, 레지스트 패턴, 및 전자 디바이스의 제조 방법
KR101982559B1 (ko) * 2015-05-29 2019-05-27 후지필름 가부시키가이샤 패턴 형성 방법, 레지스트 패턴, 전자 디바이스의 제조 방법, 및 상층막 형성용 조성물
JP6655631B2 (ja) 2015-12-02 2020-02-26 富士フイルム株式会社 ネガ型パターン形成方法、電子デバイスの製造方法、積層膜及び上層膜形成用組成物
JP6919172B2 (ja) * 2016-10-14 2021-08-18 信越化学工業株式会社 積層体及びパターン形成方法
JP6780092B2 (ja) 2017-03-31 2020-11-04 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
US20180364576A1 (en) * 2017-06-15 2018-12-20 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
JP7053789B2 (ja) 2018-02-28 2022-04-12 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法、樹脂
EP3757676A4 (en) 2018-03-26 2021-04-07 FUJIFILM Corporation COMPOSITION OF PHOTOSENSITIVE RESIN, ASSOCIATED PRODUCTION PROCESS, RESERVE FILM, PATTERN FORMATION PROCESS AND PRODUCTION PROCESS OF AN ELECTRONIC DEVICE
WO2020049963A1 (ja) 2018-09-07 2020-03-12 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、電子デバイスの製造方法
TW202128970A (zh) 2019-08-29 2021-08-01 日商富士軟片股份有限公司 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性膜、圖案形成方法及電子裝置之製造方法
JPWO2021039429A1 (ko) 2019-08-29 2021-03-04
WO2021065450A1 (ja) 2019-09-30 2021-04-08 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び電子デバイスの製造方法
IL293565A (en) 2019-12-09 2022-08-01 Fujifilm Corp Treatment fluid and method for forming molds
KR20220139938A (ko) 2020-03-30 2022-10-17 후지필름 가부시키가이샤 감활성광선성 또는 감방사선성 수지 조성물, 감활성광선성 또는 감방사선성막, 패턴 형성 방법, 전자 디바이스의 제조 방법, 포토마스크 제조용 감활성광선성 또는 감방사선성 수지 조성물, 및 포토마스크의 제조 방법
JP7389892B2 (ja) 2020-03-31 2023-11-30 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、感活性光線性又は感放射線性膜、パターン形成方法、及び電子デバイスの製造方法
US11874603B2 (en) 2021-09-15 2024-01-16 Rohm And Haas Electronic Materials Korea Ltd. Photoresist composition comprising amide compound and pattern formation methods using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006113246A (ja) * 2004-10-14 2006-04-27 Fuji Photo Film Co Ltd 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
JP2010107793A (ja) * 2008-10-31 2010-05-13 Fujifilm Corp レジスト膜用トップコート組成物及びそれを用いたパターン形成方法
US20110159253A1 (en) * 2010-03-05 2011-06-30 Rohm And Haas Electronic Materials Llc Methods of forming photolithographic patterns
JP2011170316A (ja) * 2010-01-20 2011-09-01 Shin-Etsu Chemical Co Ltd パターン形成方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400331B1 (ko) * 1999-12-02 2003-10-01 주식회사 하이닉스반도체 포토레지스트 오버코팅용 조성물 및 이를 이용한포토레지스트 패턴 형성방법
JP2002035684A (ja) * 2000-07-28 2002-02-05 Clariant (Japan) Kk 保護膜の形成方法
US20050260522A1 (en) * 2004-02-13 2005-11-24 William Weber Permanent resist composition, cured product thereof, and use thereof
JP4484603B2 (ja) * 2004-03-31 2010-06-16 セントラル硝子株式会社 トップコート組成物
JP4697406B2 (ja) * 2004-08-05 2011-06-08 信越化学工業株式会社 高分子化合物,レジスト保護膜材料及びパターン形成方法
JP2006113171A (ja) * 2004-10-13 2006-04-27 Fuji Photo Film Co Ltd 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
JP2007078744A (ja) * 2005-09-09 2007-03-29 Tokyo Ohka Kogyo Co Ltd 保護膜形成用材料およびこれを用いたホトレジストパターン形成方法
JP5680274B2 (ja) * 2005-12-01 2015-03-04 チバ ホールディング インコーポレーテッドCiba Holding Inc. オキシムエステル光開始剤
JP3989940B2 (ja) 2006-02-20 2007-10-10 株式会社フローム 圧力容器耐圧試験装置
US7759047B2 (en) 2006-05-26 2010-07-20 Shin-Etsu Chemical Co., Ltd. Resist protective film composition and patterning process
JP4861237B2 (ja) * 2006-05-26 2012-01-25 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP4803377B2 (ja) 2006-10-25 2011-10-26 信越化学工業株式会社 レジスト材料及びパターン形成方法
JP4818882B2 (ja) * 2006-10-31 2011-11-16 東京応化工業株式会社 ポジ型レジスト組成物およびレジストパターン形成方法
JP5186255B2 (ja) * 2007-03-20 2013-04-17 富士フイルム株式会社 レジスト表面疎水化用樹脂、その製造方法及び該樹脂を含有するポジ型レジスト組成物
JP2008286924A (ja) * 2007-05-16 2008-11-27 Panasonic Corp 化学増幅型レジスト材料、トップコート膜形成用材料及びそれらを用いたパターン形成方法
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
JP5639755B2 (ja) * 2008-11-27 2014-12-10 富士フイルム株式会社 有機溶剤を含有する現像液を用いたパターン形成方法及びこれに用いるリンス液
JP4822028B2 (ja) * 2008-12-02 2011-11-24 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
EP2204694A1 (en) 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
EP2204392A1 (en) * 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
JP5708082B2 (ja) * 2010-03-24 2015-04-30 信越化学工業株式会社 パターン形成方法及びネガ型レジスト組成物
TWI506370B (zh) 2011-01-14 2015-11-01 Shinetsu Chemical Co 圖案形成方法及使用於該方法之光阻組成物
JP2012181523A (ja) * 2011-02-28 2012-09-20 Rohm & Haas Electronic Materials Llc 現像剤組成物、およびフォトリソグラフィパターンを形成する方法
JP5771570B2 (ja) * 2011-06-30 2015-09-02 富士フイルム株式会社 パターン形成方法、積層レジストパターン、及び、電子デバイスの製造方法
WO2013002417A1 (en) * 2011-06-30 2013-01-03 Fujifilm Corporation Pattern forming method, multi-layered resist pattern, multi-layered film for organic solvent development, resist composition, method for manufacturing electronic device, and electronic device
JP2013061648A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトレジスト上塗り組成物および電子デバイスを形成する方法
JP2013061647A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトリソグラフィ方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006113246A (ja) * 2004-10-14 2006-04-27 Fuji Photo Film Co Ltd 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
JP2010107793A (ja) * 2008-10-31 2010-05-13 Fujifilm Corp レジスト膜用トップコート組成物及びそれを用いたパターン形成方法
JP2011170316A (ja) * 2010-01-20 2011-09-01 Shin-Etsu Chemical Co Ltd パターン形成方法
US20110159253A1 (en) * 2010-03-05 2011-06-30 Rohm And Haas Electronic Materials Llc Methods of forming photolithographic patterns

Also Published As

Publication number Publication date
US20130244438A1 (en) 2013-09-19
TW201327035A (zh) 2013-07-01
JP2017223961A (ja) 2017-12-21
CN103186050B (zh) 2017-07-28
US9128379B2 (en) 2015-09-08
US9459534B2 (en) 2016-10-04
KR20190143441A (ko) 2019-12-30
KR20130028695A (ko) 2013-03-19
JP2013061647A (ja) 2013-04-04
JP2020021082A (ja) 2020-02-06
TWI467325B (zh) 2015-01-01
CN103186050A (zh) 2013-07-03
US20160041467A1 (en) 2016-02-11
US8697338B2 (en) 2014-04-15
US20140212816A1 (en) 2014-07-31
JP6967563B2 (ja) 2021-11-17
KR102069186B1 (ko) 2020-01-22

Similar Documents

Publication Publication Date Title
KR102161015B1 (ko) 포토리소그래픽 방법
KR102167293B1 (ko) 포토레지스트 오버코팅 조성물 및 전자 디바이스의 형성방법
KR102269796B1 (ko) 패턴 형성 방법들
US9703200B2 (en) Photolithographic methods
TWI578109B (zh) 光阻之上塗組成物
KR20120098540A (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
CN105573058B (zh) 光致抗蚀剂外涂层组合物

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant