KR102269796B1 - 패턴 형성 방법들 - Google Patents

패턴 형성 방법들 Download PDF

Info

Publication number
KR102269796B1
KR102269796B1 KR1020190093695A KR20190093695A KR102269796B1 KR 102269796 B1 KR102269796 B1 KR 102269796B1 KR 1020190093695 A KR1020190093695 A KR 1020190093695A KR 20190093695 A KR20190093695 A KR 20190093695A KR 102269796 B1 KR102269796 B1 KR 102269796B1
Authority
KR
South Korea
Prior art keywords
alkyl
polymer
optionally substituted
photoresist
composition
Prior art date
Application number
KR1020190093695A
Other languages
English (en)
Other versions
KR20190093540A (ko
Inventor
이 중-봉
제이. 카포라레 스테판
에이. 데시스토 제이슨
근 박 종
리우 콩
시 쳉-바이
안데스 세실리
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20190093540A publication Critical patent/KR20190093540A/ko
Application granted granted Critical
Publication of KR102269796B1 publication Critical patent/KR102269796B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1804C4-(meth)acrylate, e.g. butyl (meth)acrylate, isobutyl (meth)acrylate or tert-butyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/08Homopolymers or copolymers of acrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/281Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing only one oxygen, e.g. furfuryl (meth)acrylate or 2-methoxyethyl (meth)acrylate

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

전자 디바이스를 형성하는 방법은 하기를 포함한다: (a) 패턴화될 1개 이상의 층을 포함하는 반도체 기판을 제공하는 단계; (b) 상기 패턴화될 1개 이상의 층 상에 포토레지스트 층을 형성하는 단계(상기 포토레지스트 층은 산 불안정한 기를 갖는 단위를 포함하는 매트릭스 폴리머; 광산 발생제; 및 유기 용매를 포함하는 조성물로부터 형성됨); (c) 포토레지스트 층 상에 포토레지스트 오버코트 조성물을 코팅하는 단계(상기 오버코트 조성물은 매트릭스 폴리머; 첨가제 폴리머; 염기성 켄쳐; 및 유기 용매를 포함하고; 상기 첨가제 폴리머는 매트릭스 폴리머의 표면 에너지보다 더 낮은 표면 에너지를 가지며, 그리고 상기 첨가제 폴리머는 상기 오버코트 조성물의 총 고형물을 기준으로 1 내지 20 wt%의 양으로 상기 오버코트 조성물 내에 존재함); (d) 상기 포토레지스트 층을 활성화 방사선에 노출시키는 단계; (e) 상기 기판을 노출후 소성 공정에서 가열하는 단계; 및 (f) 상기 노출된 필름을 유기 용매 현상액으로 현상하는 단계. 본 방법은 반도체 제조 산업에서 특별한 적용가능성을 갖는다.

Description

패턴 형성 방법들{PATTERN FORMATION METHODS}
본 발명은 일반적으로 전자 디바이스의 제조에 관한 것이다. 더 구체적으로, 본 발명은 포토레지스트 오버코트를 갖는 네거티브 톤 현상 공정을 이용하여 미세 패턴을 형성할 수 있는 포토리소그래픽 방법에 관한 것이다.
반도체 제조 산업에서, 포토레지스트 물질은 이미지를 기판 자체에 뿐만 아니라 반도체 기판 상에 배치된 하나 이상의 기저층, 예컨대 금속, 반도체 및 유전체 층에 전달하는데 사용된다. 반도체 디바이스의 통합 밀도를 증가시키고 나노미터 범위의 치수를 갖는 구조를 형성하기 위해, 고해상능을 갖는 포토레지스트 및 포토리소그래피 공정 툴이 과거부터 현재까지 계속 개발되고 있다.
포지티브-톤 화학 증폭형 포토레지스트는 통상적으로 포지티브 톤 현상 (PTD) 공정을 이용한 고해상도 공정에 사용된다. 상기 PTD 공정에서, 포토레지스트 층의 노광 영역은 현상액, 전형적으로 수성 알칼리성 현상제에 용해되어 기판 표면으로부터 제거되는 반면, 현상제에 불용성인 비노광 영역은 현상 후에도 남아 포지티브 이미지를 형성한다. 리소그래픽 성능을 개선하기 위해, 액침(immersion) 리소그래피 툴이 이미지화 디바이스, 예를 들면, KrF 또는 ArF 광원을 갖는 스캐너의 렌즈 개구수 (NA)를 효과적으로 증가시키도록 개발되어 왔다. 이것은 이미지화 디바이스의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고 굴절률 유체 (즉, 침액)를 사용함으로써 달성된다.
물질 및 공정 관점 모두에서 포지티브 톤 현상으로 달성되는 것 이상으로 실제 해상도를 확장하기 위해 상당한 노력이 있어 왔다. 한 가지 예는 네거티브 톤 현상 (NTD) 공정이다. NTD 공정은 임계 다크 필드 층의 프린팅을 위해 브라이트 필드 마스크(bright field mask)로 얻어지는 우수한 이미지화 품질을 이용함으로써 표준 포지티브 톤 이미징과 비교하여 해상도와 공정 윈도우(process window)를 개선한다. NTD 레지스트는 전형적으로 산-불안정성 (본원에서 산-절단가능성으로도 지칭됨) 그룹을 갖는 수지 및 광산(photoacid) 발생제를 이용한다. 화학 방사선에 노광되면, 광산 발생제가 산을 형성하게 되고, 이는 노광후 베이킹 동안, 상기 산-불안정성 그룹을 절단시켜 노광 영역에 극성 전환(switch)을 일으킨다. 그 결과, 레지스트의 노광 영역과 비노광 영역 간에 용해도 특성 차가 생기고, 이에 따라 레지스트의 비노광 영역이 유기 용매 현상제에 제거되어 불용성 노광 영역에 의해 생성되는 패턴이 남게될 수 있다.
현상된 레지스트 패턴에서 라인과 트렌치(trench) 패턴의 컨택 홀 및 T-토핑의 네킹(necking) 형태의 NTD 공정의 문제점이 알려져 있으며, 미국 출원 공보 제US2013/0244438Al호에 기술되어 있다. 그러한 문제점은 포토마스크 불투명 패턴의 가장자리 아래에서 미광이 확산되어, 레지스트 표면에 있는 상기 "다크" 영역에서 바람직하지 않게 극성-전환을 일으킴으로써 야기되는 것으로 여겨진다. 이 문제를 해결하기 위한 노력으로, '438 공보는 염기성 켄쳐, 폴리머 및 유기 용매를 포함하는 포토레지스트 오버코트의 사용을 개시하고 있다. 액침 리소그래피를 위해, '438 공보는 상기 오버코트 조성물이 포토레지스트 성분이 침액으로 침출되는 것을 피하기 위한 배리어 층을 형성하는데 사용될 수 있고, 증가된 노광 스캔 속도를 위해 침액을 이용하여 바람직한 접촉각 특성을 제공하는데 사용될 수 있음을 추가 개시하고 있다.
기판 표면과 침액 사이에 개선된 접촉각 특성을 갖는 미세 패턴을 형성하여 더 큰 액침 스캐너 속도를 가능하게 할 개선된 포토리소그래픽 방법 및 포토레지스트 오버코트 조성물이 본 기술분야에 여전히 요구된다.
발명의 요약
본 발명의 측면에 따르면, 전자 디바이스를 형성하는 방법이 제공된다. 본 방법은 하기를 포함한다: (a) 패턴화될 1 이상의 층을 포함하는 반도체 기판을 제공하는 단계; (b) 포토레지스트 층을 상기 패턴화될 1 이상의 층 상에 형성하는 단계로서, 상기 포토레지스트 층은 하기를 포함하는 조성물로부터 형성되는 단계: 산 불안정한 그룹을 갖는 단위를 포함하는 매트릭스 폴리머; 광산 발생제; 및 유기 용매; (c) 포토레지스트 오버코트 조성물을 포토레지스트 층 상에 코팅하는 단계로서, 상기 오버코트 조성물은 하기: 매트릭스 폴리머; 첨가제 폴리머; 염기성 켄쳐; 및 유기 용매를 포함하고; 상기 첨가제 폴리머는 매트릭스 폴리머의 표면 에너지보다 더 낮은 표면 에너지를 가지며, 그리고 상기 첨가제 폴리머는 상기 오버코트 조성물의 총 고형물을 기준으로 1 내지 20 wt%의 양으로 상기 오버코트 조성물 내에 존재하는 단계; (d) 상기 포토레지스트 층을 활성화 방사선에 노출시키는 단계; (e) 상기 기판을 후-노출 베이킹 공정에서 가열하는 단계; 및 (f) 상기 노출된 필름을 유기 용매 현상액으로 현상하는 단계. 본 방법은 반도체 제조 산업에서 특정한 적용가능성을 갖는다.
본원에서 사용된 바와 같이: "몰%"는 폴리머를 기준으로 한 몰 퍼센트를의미하고, 다르게 구체화되지 않으면, 폴리머 단위와 함께 보여준 숫자는 다르게 구체화되지 않으면 몰%에 있고; "Mw"는 중량 평균 분자량을 의미하고; "Mn"는 수 평균 분자량을 의미하고; "PDI"는 다분산성 지수 = Mw/Mn를 의미하고; "공중합체"는 2 이상의 상이한 유형의 중합된 단위를 함유하는 폴리머를 포괄하고; "알킬" 및 "알킬렌"은 다르게 구체화되거나 맥락에 의해 명시되지 않으면, 선형, 분지형 및 사이클릭 알킬 및 알킬렌 구조, 각각을 포괄하고; 항목 "a" 및 "an"는 맥락에 의해 다르게 지적되지 않으면 1 이상을 포괄하고; 그리고 "치환된"는, 예를 들면, 하이드록시, 불소 및 알콕시 예컨대 C1-C5 알콕시로부터 선택된 1 이상의 치환체로 치환된 1 이상의 수소 원자를 갖는 것을 의미한다.
본 발명은, 특징과 같이 나타내는 하기 도면을 참조하여 기재될 것이고, 및 여기서:
도 1A-C는 본 발명에 따른 네거티브 톤 현상에 의해 포토리소그래픽 패턴을 형성하는 공정 흐름을 설명한다.
상세한 설명
포토레지스트 오버코트 조성물
포토레지스트 오버코트 조성물은 매트릭스 폴리머, 첨가 폴리머, 염기성 켄쳐 및 유기 용매를 포함한다. 첨가 폴리머는 상기 매트릭스 폴리머의 표면 에너지보다 더 낮은 표면 에너지를 갖는다. 본 발명에서 유용한 조성물은, 네거티브 톤 현상 공정에서 포토레지스트 층 상에서 코팅될 때, 다양한 이점, 예컨대 침액에 의한 접촉각 특성의 개선, 초점 관용도의 개선, 노광 관용도의 개선, 결함 감소, 침액 내로 포토레지스트 및 오버코트 성분을 침출시키지 않는 효과적인 배리어 층 특성, 기하학적으로 균일한 레지스트 패턴 및 레지스트 노광 동안 반사율 감소 중 하나 이상을 제공할 수 있다. 조성물은 건식 리소그래피 또는 액침 리소그래피 공정들에 사용될 수 있다. 노광 파장은 포토레지스트 조성물에 의한 것을 제외하고는 특별히 제한되지 않으며, 248 nm 또는 하위(sub)-200 nm 예컨대 193 nm (액침 또는 건식 리소그래피) 또는 EUV 파장 (예를 들면, 13.4 nm)이 전형적이다.
매트릭스 폴리머는 첨가 폴리머와 함께 침액 내로 포토레지스트 성분의 이동을 최소화하거나 방지하는 유익한 배리어 특성을 오버코트 조성물로부터 형성된 층에 제공할 수 있다. 첨가 폴리머는 바람직하게는 또한 침액 내로 오버코트 조성물 내의 성분 예컨대 염기성 켄쳐의 이동을 방지할 수 있다. 침액에 관하여 유익한 접촉각 특성 예컨대 오버코트/침액 계면에서 고 침액 후진 접촉각 (RCA)이 제공될 수 있으며, 그렇게 함으로써 노광 툴 스캐닝 속도를 더 빠르게 할 수 있다. 건조된 상태에서 오버코트 조성물의 층은 전형적으로 70° 내지 89°, 바람직하게는 75 내지 85°의 물 후진 접촉각을 갖는다. 어구 "건조된 상태에서"는 오버코트 조성물을 기준으로 8 wt% 이하의 용매를 함유함을 의미한다.
매트릭스 폴리머는 본원에 기재된 오버코트 조성물 중의 유기 용매에 가용성이다. 또한, 매트릭스 폴리머는 네거티브 톤 현상 공정에 사용된 유기 용매 현상액과의 우수한 현상능력을 위해 가용성이어야 한다. 오버코트 물질로부터 유래된 잔류물 결함을 최소화하기 위해, 건조된 오버코트 조성물 층의 용해 속도는 바람직하게는 패턴화 공정에서 사용된 현상액 중에서 기저를 이루는 포토레지스트 층의 용해 속도보다 더 크다. 매트릭스 폴리머는 전형적으로 100 Å/초 이상, 바람직하게는 1000 Å/초 이상의 현상액 용해 속도를 나타낸다.
오버코트 조성물에 유용한 매트릭스 폴리머는 단독중합체일 수 있거나 복수의 뚜렷이 다른 반복 단위, 예를 들면, 2, 3, 4 또는 그 초과의 뚜렷이 다른 반복 단위를 갖는 공중합체일 수 있다. 매트릭스 폴리머는, 예를 들면, (알킬)아크릴레이트, (알킬)아크릴아미드, 알릴, 말레이미드 스티렌, 비닐, 폴리사이클릭 (예를 들면, 노르보르넨) 또는 다른 그룹 중 하나 이상으로부터 선택된 중합성 그룹을 갖는 단위를 포함할 수 있으며, (알킬)아크릴레이트 예컨대 (메트)아크릴레이트가 바람직하다. 매트릭스 폴리머는 랜덤 폴리머, 블록 폴리머, 또는 폴리머 사슬의 길이를 따라 하나의 모노머 단위-형에서 또 하나의 모노머 단위-형으로 조성물에서 단계적인 변화를 갖는 구배 폴리머일 수 있다.
매트릭스 폴리머는, 첨가 폴리머의 표면 에너지보다 더 높은 표면 에너지를 가지며, 바람직하게는 첨가 폴리머와 불혼화성이어서 첨가 폴리머가 매트릭스 폴리머로부터 상 분리되어 오버코트 층의 상면으로 이동할 수 있게 한다. 매트릭스 폴리머의 표면 에너지는 전형적으로 30 내지 60 mN/m이다. 매트릭스 폴리머는 바람직하게는 규소 및 불소가 없기 때문에 이것은 표면 에너지를 감소시키는 경향이 있으며 당해 매트릭스 폴리머로부터 첨가 폴리머의 상 분리를 억제할 수 있다.
매트릭스 폴리머는 바람직하게는 하기 일반식 (I)을 갖는 모노머로부터 형성된다:
Figure 112019079029236-pat00001
여기서: R1은 수소 및 임의로 치환된 C1 내지 C3 알킬, 바람직하게는 수소 또는 메틸로부터 선택되고; R2는 임의로 치환된 C1 내지 C15 알킬, 바람직하게는 C4 내지 C8 알킬, 더 바람직하게는 C4 내지 C6 알킬로부터 선택되고; X1은 산소, 황이거나, 또는 식 NR3으로 나타내고, 여기서 R3은 수소 및 임의로 치환된 C1 내지 C10 알킬, 바람직하게는 C1 내지 C5 알킬로부터 선택되고; Z1은 단일 결합 또는 임의로 치환된 지방족 (예컨대 C1 내지 C6 알킬렌) 및 방향족 탄화수소, 및 이들의 조합으로부터 선택된 스페이서 단위이고, 이 스페이서 단위는 -O-, -S-, -COO- 및 -CONR4-로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며 여기서 R4는 수소 및 임의로 치환된 C1 내지 C10 알킬로, 바람직하게는 C2 내지 C6, 알킬부터 선택된다. 일반식 (I)의 모노머는 바람직하게는 규소 및 불소가 없다. 일반식 (I)의 단위들은 함께 취해져서 전형적으로 매트릭스 폴리머를 기준으로 50 내지 100 몰%, 예를 들면, 70 내지 100 몰%, 80 내지 100 몰%, 90 내지 100 몰% 또는 100 몰%의 양으로 매트릭스 폴리머에 존재한다.
일반식 (I)의 모노머는 바람직하게는 하기 일반식 (I-1)의 모노머이다:
Figure 112019079029236-pat00002
여기서 R5는 수소 및 임의로 치환된 C1 내지 C3 알킬, 바람직하게는 수소 또는 메틸로부터 선택되고; R6, R7 및 R8 독립적으로 수소 또는 C1 내지 C3 알킬 그룹을 나타내고; Z2는 단일 결합 또는 임의로 치환된 지방족 (예컨대 C1 내지 C6 알킬렌) 및 방향족 탄화수소, 및 이들의 조합으로부터 선택된 스페이서 단위이고, 이 스페이서 단위는 -O-, -S-, -COO- 및 -CONR9-로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며, 여기서 R9는 수소 및 임의로 치환된 C1 내지 C10 알킬로, 바람직하게는 C2 내지 C6 알킬부터 선택된다. 일반식 (I-1)의 모노머는 바람직하게는 규소 및 불소가 없다.
1 이상의 매트릭스 폴리머 단위는 염기성 모이어티를 포함할 수 있다. 염기성 모이어티는 노광되지 않은 것으로 의도된 기저를 이루는 포토레지스트 층의 영역 (어두운 영역)에서 산을 중화시킬 수 있으며, 상기 산은 포토레지스트 층의 표면 영역에서 미광에 의해 산출된다. 그와 같은 염기성 모이어티-함유 매트릭스 폴리머는 염기성 켄쳐 첨가제에 더하여 또는 염기성 켄쳐 첨가제에 대한 대안으로서 오버코트 조성물에서 염기성 켄쳐로서 기능할 수 있다. 적합한 모이어티는, 예를 들면, 하기로부터 선택된 질소-함유 그룹을 포함한다: 아민 예컨대 아미노 에테르, 피리딘, 아닐린, 인다졸, 피롤, 피라졸, 피라진, 구아니디늄 및 이민; 아미드 예컨대 카바메이트, 피롤리디논, 말레이미드, 이미다졸 및 이미드; 및 그것의 유도체. 매트릭스 폴리머에 존재한다면, 매트릭스 폴리머에서 염기성 모이어티-함유 단위(들)의 함량은 전형적으로 기저를 이루는 포토레지스트 층의 어두운 영역에서 산-유도된 탈보호 반응을 실질적으로 또는 완전히 제거하기에 충분하며, 동시에 이러한 반응이 상기 층의 밝은 영역 (노광된 것으로 의도된 영역)에서 일어나게 할 수 있다. 매트릭스 폴리머에서 염기성 모이어티-함유 단위(들)의 원하는 함량은, 예를 들면, 건식 리소그래피 공정이든 액침 리소그래피 공정이든 포토레지스트 층에서의 광산 발생제의 함량, 및 오버코트의 의도한 용도에 의존적일 것이다. 존재한다면, 건식 리소그래피 공정을 위한 매트릭스 폴리머에서 염기성 모이어티-함유 단위(들)의 함량은 전형적으로 매트릭스 폴리머를 기준으로 0.1 내지 100 몰%, 0.1 내지 50 몰% 또는 0.5 내지 20 몰%일 것이다. 염기성 모이어티를 함유하는 모노머의 pKa (수중)는 바람직하게는 5 내지 50, 더 바람직하게는 8 내지 40 및 가장 바람직하게는 10 내지 35이다. 염기성 모이어티-함유 모노머 및 전체로서 매트릭스 폴리머의 pKa 값은 전형적으로 동일하거나 실질적으로 동일한 값을 가질 것이다.
매트릭스 폴리머를 형성하는데 사용되는 예시적인 적합한 모노머는 하기 기재되지만, 이들 구조에 제한되지 않으며, "R1" 및 "X1"는 상기에서 정의된 바와 같다):
Figure 112019079029236-pat00003
Figure 112019079029236-pat00004
Figure 112019079029236-pat00005
Figure 112019079029236-pat00006
Figure 112019079029236-pat00007
오버코트 조성물에 적합한 매트릭스 폴리머는, 예를 들면, 상기 기재된 모노머로부터 형성된 단독중합체 및 공중합체를 포함한다. 예시적인 적합한 매트릭스 폴리머는 하기를 포함하며, 여기서 단위 함량은 몰% 단위로 제공된다:
Figure 112019079029236-pat00008
Figure 112019079029236-pat00009
매트릭스 폴리머는 전형적으로 오버코트 조성물의 총 고형물을 기준으로 70 내지 99 wt%, 더욱 전형적으로 85 내지 95 wt%의 양으로 상기 조성물에 존재한다. 매트릭스 폴리머의 중량 평균 분자량은 전형적으로 400,000 미만, 바람직하게는 5000 내지 50,000, 더 바람직하게는 5000 내지 25,000이다.
매트릭스 폴리머와 마찬가지로, 첨가 폴리머는 포토리소그래픽 처리 전후 매우 우수한 현상능력을 가져야 하며, 전형적으로 100 Å/초 이상, 바람직하게는 1000 Å/초 이상의 현상액 용해 속도를 나타내고, 본원에 기재된 오버코트 조성물 중 유기 용매에 가용성이고, 네거티브 톤 현상 공정에서 사용되는 유기 현상액에 가용성이다. 첨가 폴리머는 매트릭스 폴리머보다 더 낮은 표면 에너지를 갖는다. 바람직하게는, 첨가 폴리머는, 매트릭스 폴리머, 뿐만 아니라 오버코트 조성물에 존재하는 다른 폴리머보다 유의미하게 더 낮은 표면 에너지를 갖고, 상기 폴리머와 실질적으로 불혼화성이다. 이런 식으로, 오버코트 조성물은 자가-분리할 수 있으며, 상기 첨가 폴리머는 코팅 동안 다른 폴리머로부터 떨어져 오버코트 층의 상면으로 이동한다. 그렇게 함으로써 수득한 오버코트 층은 액침 리소그래피 공정의 경우에 오버코트//침액 계면에서의 오버코트 층 상면에서 첨가 폴리머가 풍부하다. 첨가 폴리머 표면 에너지는 전형적으로 15 내지 35 mN/m, 바람직하게는 18 내지 30 mN/m이다. 첨가 폴리머는 전형적으로 매트릭스 폴리머의 표면 에너지보다 5 내지 25 mN/m 더 낮고, 바람직하게는 매트릭스 폴리머의 표면 에너지보다 5 내지 15 mN/m 더 낮다.
오버코트 조성물에 유용한 첨가 폴리머는 단독중합체일 수 있거나 복수의 뚜렷이 다른 반복 단위, 예를 들면, 2, 3, 4 또는 그 초과의 뚜렷이 다른 반복 단위를 갖는 공중합체일 수 있다. 첨가 폴리머는, 예를 들면, (알킬)아크릴레이트, (알킬)아크릴아미드, 알릴, 말레이미드 스티렌, 비닐, 폴리사이클릭 (예를 들면, 노르보르넨) 또는 다른 그룹 중 하나 이상으로부터 선택된 중합성 그룹을 갖는 단위를 포함할 수 있으며, (알킬)아크릴레이트 예컨대 (메트)아크릴레이트가 바람직하다. 첨가 폴리머는 랜덤 폴리머, 블록 폴리머, 또는 폴리머 사슬의 길이를 따라 하나의 모노머 단위-형에서 또 하나의 모노머 단위-형으로 조성물에서 단계적인 변화를 갖는 구배 폴리머일 수 있다.
적합한 첨가 폴리머는, 첨가 폴리머의 표면 에너지가 매트릭스 폴리머의 표면 에너지보다 더 낮아서 코팅 과정 동안 매트릭스 폴리머로부터 첨가 폴리머의 자가-분리를 가능하게 하고 첨가 폴리머가 풍부한 표면 층의 형성을 가능하게 한다면, 예를 들면, 매트릭스 폴리머에 대하여 상기 기재된 단위 및 폴리머를 포함할 수 있다. 첨가 폴리머는 바람직하게는 분지형 구조를 갖고/갖거나 1 이상의 플루오르화된 및/또는 규소-함유 그룹을 포함하기 때문에, 그것의 봉입체는 표면 에너지가 감소된 폴리머를 생성할 수 있다. 첨가 폴리머가 1 이상의 플루오르화된 및/또는 규소-함유 그룹을 포함하고, 매트릭스 폴리머는 플루오르화된 및 규소-함유 그룹이 없는 것이 특히 바람직하다.
첨가 폴리머는 바람직하게는, 하기 일반식 (II) 또는 (III)를 갖는 모노머로부터 형성된다:
Figure 112019079029236-pat00010
여기서: R10은 수소 및 임의로 치환된 C1 내지 C3 알킬, 바람직하게는 수소 또는 메틸로부터 선택되고; R11은 임의로 치환된 C1 내지 C15 알킬, 바람직하게는 C4 내지 C8 알킬 또는 플루오로알킬, 더 바람직하게는 C4 내지 C6 알킬 또는 플루오로알킬로부터 선택되고 유익하게는 플루오로알코올 그룹 예컨대 헥사플루오로알코올 그룹, 또는 부분적으로 플루오르화된 또는 퍼플루오르화된 사이클로알킬 구조를 포함할 수 있고; X2는 산소, 황이거나, 또는 식 NR12로 나타내고, 여기서 R12은 수소 및 임의로 치환된 C1 내지 C10 알킬, 바람직하게는 C1 내지 C5 알킬로부터 선택되고; 그리고 Z3은 단일 결합 또는 임의로 치환된 지방족 (예컨대 C1 내지 C6 알킬렌) 및 방향족 탄화수소, 및 이들의 조합으로부터 선택된 스페이서 단위이고, 이 스페이서 단위는 -O-, -S-, -NHSO2-, -COO- 및 -CONR13- 로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며 여기서 R13은 수소 및 임의로 치환된 C1 내지 C10 알킬로, 바람직하게는 C2 내지 C6, 알킬부터 선택되고. 일반식 (I)의 모노머 바람직하게는 표면 에너지를 감소시키기 위해 불소를 함유한다.
Figure 112019079029236-pat00011
여기서: R14는 수소 및 임의로 치환된 C1 내지 C3 알킬, 바람직하게는 수소 또는 메틸로부터 선택되고; R15는 임의로 치환된 C1 내지 C15 알킬로, 바람직하게는 C4 내지 C8 알킬, 더 바람직하게는 C4 내지 C6 알킬부터 독립적으로 선택되고, 및 유익하게는 불소 원자 또는 플루오로알코올 그룹 예컨대 헥사플루오로알코올 그룹, 또는 부분적으로 플루오르화된 또는 퍼플루오르화된 사이클로알킬 구조를 포함할 수 있고; X3은 산소, 황이거나, 또는 식 NR16로 나타내고, 여기서 R16은 수소 및 임의로 치환된 C1 내지 C10 알킬, 바람직하게는 C1 내지 C5 알킬로부터 선택되고; Z4는 단일 결합 또는 임의로 치환된 지방족 (예컨대 C1 내지 C6 알킬렌) 및 방향족 탄화수소, 및 이들의 조합으로부터 선택된 스페이서 단위이고, 이 스페이서 단위는 -O-, -S-, -COO- 및 -CONR17- 로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며 여기서 R17은 수소 및 임의로 치환된 C1 내지 C10 알킬, 바람직하게는 C2 내지 C6, 알킬로부터 선택되고; 그리고 n은 정수 0 내지 2이다.
일반식 (II) 또는 (III)의 단위는 전형적으로, 첨가 폴리머를 기준으로 50 내지 100 몰%, 예를 들면, 70 내지 100 몰%, 80 내지 100 몰%, 90 내지 100 몰% 또는 100 몰%의 양으로 첨가 폴리머 내에 존재한다. 첨가 폴리머를 형성하는데 사용하기 위한 예시적인 적합한 모노머는 아래에 기재되어 있고, 비제한적으로 이들 구조 ("R10", "R14" 및 "X2" 및 "X3"는 상기에서 정의된 바와 같다):
Figure 112019079029236-pat00012
Figure 112019079029236-pat00013
Figure 112019079029236-pat00014
Figure 112019079029236-pat00015
Figure 112019079029236-pat00016
오버코트 조성물용 적합한 첨가 폴리머는 상기에서 기재된 모노머로부터 형성된 단독중합체 및 공중합체를 포함하고, 하기 폴리머가 바람직하고, 여기서 단위 함량은 몰%로 제공된다:
Figure 112019079029236-pat00017
Figure 112019079029236-pat00018
Figure 112019079029236-pat00019
첨가 폴리머의 함량은, 예를 들면, 리소그래피가 건식 또는 액침-형 공정인지에 의존적일 수 있다. 예를 들면, 액침 리소그래피에 대한 첨가 폴리머 하한은 일반적으로 포토레지스트 성분의 침출을 방지해야 하는 필요성에 의해 지시된다. 첨가 폴리머는 전형적으로 오버코트 조성물의 총 고형물을 기준으로 1 내지 30 wt%, 더욱 전형적으로 3 내지 20 wt% 또는 5 내지 15 wt%의 양으로 상기 조성물에 존재한다. 첨가 폴리머의 중량 평균 분자량은 전형적으로 400,000 미만, 바람직하게는 5000 내지 50,000, 더 바람직하게는 5000 내지 25,000이다.
포토레지스트 오버코트 조성물은 추가로 염기성 켄쳐를 포함한다. 염기성 켄쳐는 포토레지스트 층의 노광되지 않은 (어두운) 영역인 것으로 의도된 것에 도달하는 미광에 의해 기저를 이루는 포토레지스트 층의 표면 영역에서 산출된 산을 중화시키기 위해 존재한다. 이것은 노광되지 않은 영역에서 원치않는 탈보호 반응을 제어함으로써 디포커스(defocus) 영역에서의 초점 심도, 및 노광 관용도의 개선을 가능하게 한다. 그 결과, 형성된 레지스트 패턴에서 프로파일의 불균일, 예를 들면, 네킹(necking) 및 티-토핑(T-topping)을 최소화하거나 피할 수 있다.
상기에서 논의된 바와 같이, 염기성 켄쳐는 상기 매트릭스 폴리머에 존재할 수 있거나 첨가제 유형일 수 있다. 염기성 켄쳐와 기저를 이루는 포토레지스트 층의 어두운 영역에서 산출된 산 사이의 효과적인 상호작용을 가능하게 하기 위해, 염기성 켄쳐는 비-계면활성제-형이어야 한다. 즉, 염기성 켄쳐는, 예를 들면, 오버코트 조성물의 다른 성분에 비해 낮은 표면 자유 에너지로 인해 오버코트 층의 상부 표면으로 이동하는 유형이어서는 안된다. 그와 같은 경우에, 염기성 켄쳐는 산 탈보호를 방지하기 위해 산출된 산과 상호작용하는 포토레지스트 층 계면에 눈에 띄게 존재하지 않아야 한다. 따라서 염기성 켄쳐는 오버코트 층에 걸쳐 균일하게 분산되든 오버코트 층/포토레지스트 층 계면에 단계적인 또는 격리된 층을 형성하든 오버코트 층/포토레지스트 층 계면에 존재하는 유형이어야 한다. 그와 같은 층은 오버코트 조성물의 다른 성분에 비해 높은 표면 자유 에너지를 갖는 염기성 켄쳐를 선택하여 달성될 수 있다.
적합한 염기성 켄쳐는 예를 들면 하기를 포함한다: 선형 및 사이클릭 아미드 및 그것의 유도체 예컨대 N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민 예컨대 피리딘, 및 디-tert-부틸 피리딘; 지방족 아민 예컨대 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2",2"'-(에탄-1,2-디일비스(아잔트리일))테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2"-니트릴로트리에탄올; 사이클릭 지방족 아민 예컨대 1-(tert-부톡시카보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카복실레이트, 디-tert-부틸 피페라진-1,4-디카복실레이트 및 N (2-아세톡시-에틸) 모폴린. 이들 염기성 켄쳐 중에서, 1-(tert-부톡시카보닐)-4-하이드록시피페리딘 및 트리이소프로판올아민이 바람직하다. 염기성 켄쳐의 함량이, 예를 들면, 기저를 이루는 포토레지스트 층에서 광산 발생제의 함량에 의존적일지라도, 전형적으로 오버코트 조성물의 총 고형물을 기준으로 0.1 내지 5 wt%, 바람직하게는 0.5 내지 3 wt%, 더 바람직하게는 1 내지 3 wt%의 양으로 존재한다.
오버코트 조성물은 추가로 유기 용매 또는 유기 용매의 혼합물을 포함한다. 오버코트 조성물을 제형화하고 캐스팅하는데 적합한 용매 물질은 오버코트 조성물의 비-용매 성분에 대하여 탁월한 용해도 특성을 나타내지만, 기저를 이루는 포토레지스트 층을 눈에 띄게 용해시키지 않는다. 오버코트 조성물에 적합한 유기 용매는 예를 들면 하기를 포함한다: 알킬 에스테르 예컨대 알킬 프로피오네이트 예컨대 n-부틸 프로피오네이트, n-펜틸 프로피오네이트, n-헥실 프로피오네이트 및 n-헵틸 프로피오네이트, 및 알킬 부티레이트 예컨대 n-부틸 부티레이트, 이소부틸 부티레이트 및 이소부틸 이소부티레이트; 케톤 예컨대 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논; 지방족 탄화수소 예컨대 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산 및 2,3,4-트리메틸펜탄, 및 플루오르화된 지방족 탄화수소 예컨대 퍼플루오로헵탄; 및 알코올 예컨대 직쇄형, 분지형 또는 사이클릭 C4-C9 1가 알코올 예컨대 1-부탄올, 2-부탄올, 3-메틸-1-부탄올, 이소부틸 알코올, tert-부틸 알코올, 1-펜타놀, 2-펜타놀, 1-헥사놀, 1-헵타놀, 1-옥타놀, 2-헥사놀, 2-헵타놀, 2-옥타놀, 3-헥사놀, 3-헵타놀, 3-옥타놀 및 4-옥타놀; 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜타놀 및 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥사놀, 및 C5-C9 플루오르화된 디올 예컨대 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올 및 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-옥탄디올; 및 이들 용매 중 하나 이상을 함유하는 혼합물. 이들 유기 용매 중에서, 알킬 프로피오네이트, 알킬 부티레이트 및 케톤, 바람직하게는 분지형 케톤이 바람직하고, 더 바람직하게는, C8-C9 알킬 프로피오네이트, C8-C9 알킬 프로피오네이트, C8-C9 케톤, 및 이들 용매 중 하나 이상을 함유하는 혼합물이다. 적합한 혼합 용매는, 예를 들면, 알킬 케톤 및 알킬 프로피오네이트 예컨대 상기 기재된 알킬 케톤 및 알킬 프로피오네이트의 혼합물을 포함한다. 오버코트 조성물의 용매 성분은 전형적으로 오버코트 조성물을 기준으로 90 내지 99 wt%의 양으로 존재한다.
포토레지스트 오버코트 조성물은 1 이상의 임의의 물질을 포함할 수 있다. 예를 들면, 상기 조성물은 하나 이상의 화학선 및 콘트라스트 염료, 항-줄무늬 제제 등을 포함할 수 있다. 이들 중에서, 조성물로부터 형성된 층들의 반사방지 특성을 향상시키는데 화학선 및 콘트라스트 염료가 바람직하다. 그와 같은 임의의 첨가제는 사용된다면 전형적으로 소량 예컨대 오버코트 조성물의 총 고형물을 기준으로 0.1 내지 10 wt%로 상기 조성물에 존재한다. 오버코트 조성물은 바람직하게는 산 발생제 화합물, 예를 들면, 열적 산 발생제 화합물 및 광산 발생제 화합물이 없기 때문에, 그와 같은 화합물은 오버코트 조성물에서 염기성 켄쳐의 효과를 상쇄할 수 있다.
포토레지스트 오버코트 조성물은 공지된 절차에 따라서 제조될 수 있다. 예를 들면, 조성물 중 고형물 성분을 용매 성분에 용해시켜 상기 조성물을 제조할 수 있다. 상기 조성물 중 원하는 총 고형물 함량은 상기 조성물 중 특정한 폴리머(들) 및 원하는 최종 층 두께와 같은 인자에 의존적일 것이다. 바람직하게는, 오버코트 조성물 중 고형물 함량은 상기 조성물의 총 중량을 기준으로 1 내지 10 wt%, 더 바람직하게는 1 내지 5 wt%이다.
상기 조성물로부터 형성된 레지스트 오버코트 층은 전형적으로 193 nm에서 1.4 이상의 굴절률, 바람직하게는 193 nm에서 1.47 이상의 굴절률을 갖는다. 굴절률은 매트릭스 폴리머, 첨가 폴리머 또는 오버코트 조성물의 다른 성분의 조성을 변화시켜 조율될 수 있다. 예를 들면, 오버코트 조성물에서 유기 함량의 상대적인 양을 증가시키면 상기 층의 굴절률을 증가시킬 수 있다. 바람직한 오버코트 조성물 층은 표적 노광 파장에서 침액 및 포토레지스트의 굴절률 사이의 굴절률을 가질 것이다.
오버코트 층의 반사율은, 오버코트 층의 굴절률 (n 1)이 양면에서 물질의 굴절률의 기하 평균 (n 1 = √(n 0 n 2))인 경우 감소될 수 있으며, 상기 식에서 n 0은 액침 리소그래피의 경우에 물의 굴절률이거나 건식 리소그래피의 경우 공기의 굴절률이고, n 2는 포토레지스트의 굴절률이다. 또한 오버코트 조성물로부터 형성된 층의 반사방지 특성을 향상시키기 위해, 오버코트 (d 1)의 두께는, 오버코트에서의 파장이 도래파 파장 (λ0)의 4분의 1이 되도록 선택되는 것이 바람직하다. 4분의 1 파장에 대해, 최소 반사를 제공하는 굴절률 n 1, 두께 d 1을 갖는 오버코트 조성물의 반사방지 코팅은 d 1 = λ0/(4 n 1)로 계산된다.
NTD 포토레지스트 조성물
본 발명에 유용한 포토레지스트 조성물은 산-민감한 매트릭스 수지를 포함하는 화학적으로-증폭된 포토레지스트 조성물을 포함하며, 이것은, 수지 및 조성물 층이 포토레지스트 조성물 층의 일부로서 소프트베이크(softbake), 활성화 방사선으로의 노광 및 노광후 베이크 이후 광산 발생제에 의해 산출된 산과의 반응 결과로서 유기 현상액 중의 용해도 변화를 겪음을 의미한다. 용해도의 변화는, 매트릭스 폴리머에서 산-절단가능 이탈 그룹 예컨대 광산-불안정한 에스테르 또는 아세탈 그룹이 활성화 방사선으로의 노광 및 열처리시 광산-촉진된 탈보호 반응을 겪어 산 또는 알코올 그룹을 생산하는 경우 일어난다. 본 발명에 유용한 적합한 포토레지스트 조성물은 상업적으로 이용가능하다.
하위-200nm 파장 예컨대 193nm에서의 이미지화의 경우, 매트릭스 폴리머는 전형적으로 페닐, 벤질 또는 다른 방향족 그룹이 실질적으로 없거나 (예를 들면, 15 몰% 미만) 또는 완전히 없으며 상기 그룹은 방사선을 고도로 흡수한다. 바람직한 산 불안정한 그룹은, 예를 들면, 매트릭스 폴리머의 에스테르의 카복실 산소에 공유 결합된 3차 비환식 알킬 탄소 (예를 들면, t-부틸) 또는 3차 지환족 탄소 (예를 들면, 메틸아다만틸)를 함유하는 아세탈 그룹 또는 에스테르 그룹을 포함한다.
적합한 매트릭스 폴리머는 추가로, (알킬)아크릴레이트 단위, 바람직하게는 산-불안정한 (알킬)아크릴레이트 단위, 예컨대 t 부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 에틸펜칠 아크릴레이트, 에틸펜칠 메타크릴레이트 등, 및 다른 비환식 알킬 및 지환족 (알킬)아크릴레이트를 함유하는 폴리머를 포함한다. 다른 적합한 매트릭스 폴리머는, 예를 들면, 비-방향족 사이클릭 올레핀 (엔도사이클릭 이중 결합) 예컨대 임의로 치환된 노르보르넨의 중합 단위를 함유하는 폴리머를 포함한다.
또 다른 적합한 매트릭스 폴리머는 유럽 공개 출원 EP01008913A1 및 미국 특허 번호 6,048,662에 개시된 바와 같은 중합된 무수물 단위, 특히 중합된 말레산 무수물 및/또는 이타콘산 무수물 단위를 함유하는 폴리머를 포함한다.
헤테로 원자, 특히 산소 및/또는 황을 함유하는 반복 단위 (무수물을 제외함, 즉, 상기 단위는 케토 고리 원자를 함유하지 않는다)를 함유하는 수지가 매트릭스 폴리머로서 또한 적합하다. 헤테로지환족 단위는 폴리머 골격에 융합될 수 있으며, 예컨대 노르보르넨 그룹의 중합에 의해 제공된 융합된 탄소 지환족 단위 및/또는 예컨대 말레산 무수물 또는 이타콘산 무수물의 중합에 의해 제공된 무수물 단위를 포함할 수 있다. 그와 같은 폴리머는 PCT/US01/14914 및 미국 특허 번호 6,306,554에 개시되어 있다. 다른 적합한 헤테로-원자 그룹 함유 매트릭스 폴리머는 미국 특허 번호 7,244,542에 개시된 바와 같이 1 이상 헤테로-원자 (예를 들면, 산소 또는 황) 함유 그룹, 예를 들면, 하이드록시 나프틸 그룹으로 치환된 중합된 카보사이클릭 아릴 단위를 함유하는 폴리머를 포함한다.
상기-기재된 매트릭스 폴리머 중 2 이상의 블렌드가 포토레지스트 조성물에 적당하게 사용될 수 있다. 포토레지스트 조성물에 사용하기에 적합한 매트릭스 폴리머는 상업적으로 이용가능하고 당해분야의 숙련가에 의해 쉽게 제조될 수 있다. 매트릭스 폴리머는 레지스트의 노광된 코팅 층이 적합한 현상액 용액에서 현상가능하도록 충분한 양으로 레지스트 조성물에 존재한다. 전형적으로, 매트릭스 폴리머는 레지스트 조성물의 총 고형물을 기준으로 50 내지 95 wt%의 양으로 상기 조성물에 존재한다. 매트릭스 폴리머의 중량 평균 분자량 Mw은 전형적으로 100,000 미만, 예를 들면, 5000 내지 100,000, 더욱 전형적으로 5000 내지 15,000이다.
포토레지스트 조성물은 추가로, 활성화 방사선으로의 노광시 상기 조성물의 코팅 층에서 잠상를 산출하는데 충분한 양으로 이용되는 광산 발생제 (PAG)를 포함한다. 예를 들면, 광산 발생제는 포토레지스트 조성물의 총 고형물을 기준으로 약 1 내지 20 wt%의 양으로 적당하게 존재할 것이다. 전형적으로, 비-화학적으로 증폭된 물질과 비교하여 더 적은 양의 PAG가 화학적으로 증폭된 레지스트에 대해 적합할 것이다.
적합한 PAG는 화학적으로 증폭된 포토레지스트의 기술에서 공지되어 있고 예를 들면 하기를 포함한다: 오늄 염, 예를 들면, 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들면, 2-니트로벤질-p-톨루엔설포네이트, 2,6-디나이트로벤질-p-톨루엔설포네이트, 및 2,4-디나이트로벤질-p-톨루엔설포네이트; 설폰산 에스테르, 예를 들면, 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들면, 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글라이옥이심 유도체, 예를 들면, 비스-O-(p-톨루엔설포닐)-α-디메틸글라이옥이심, 및 비스-O-(n-부탄설포닐)-α-디메틸글라이옥이심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들면, N-하이드록시석신이미드 메탄설폰산 에스테르, N-하이드록시석신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들면, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진. 그와 같은 PAG 중 하나 이상이 사용될 수 있다.
포토레지스트 조성물의 적합한 용매는 예를 들면 하기를 포함한다: 글라이콜 에테르 예컨대 2-메톡시에틸 에테르 (디글라임), 에틸렌 글리콜 모노메틸 에테르, 및 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트 예컨대 메틸 락테이트 및 에틸 락테이트; 프로피오네이트 예컨대 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 이소부티레이트; 셀로솔브 에스테르 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예컨대 톨루엔 및 자일렌; 및 케톤 예컨대 아세톤, 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논. 용매의 블렌트 예컨대 상기 기재된 용매의 2, 3 또는 그 초과의 블렌드가 적합하다. 용매는 전형적으로, 포토레지스트 조성물의 총 중량을 기준으로 90 내지 99 wt%, 더욱 전형적으로 95 내지 98 wt%의 양으로 조성물 내에 존재한다.
포토레지스트 조성물은 다른 임의의 물질을 추가로 포함할 수 있다. 예를 들면, 네가티브-작용 레지스트 조성물은 전형적으로 가교결합제 성분을 또한 포함한다. 적합한 가교결합제 성분은, 예를 들면, 아민-기반 물질 예컨대 멜라민 수지를 포함하고, 이것은 광산 발생제의 작용화 방사선에의 노출시 산에 대한 노출 시, 경화, 가교결합 또는 경화할 것이다. 바람직한 가교결합제는 멜라민, 글라이콜루릴, 벤조구아나민-기반 물질 및 우레아-기반 물질을 포함하는 아민-기반 물질을 포함한다. 멜라민-포름알데하이드 수지는 일반적으로 가장 바람직하다. 그와 같은 가교결합제가 상업적으로 이용가능하고, 그 예는 상표명 Cymel 300, 301 및 303 하에서 American Cyanamid에 의해 시판되는 멜라민 수지. 글라이콜루릴 수지는 상표명 Cymel 1170, 1171, 1172 하에서 American Cyanamid에 의해 시판되고, 우레아-기반 수지는 Beetle 60, 65 및 80의 상표명으로 시판되고, 및 벤조구아나민 수지는 상표명 Cymel 1123 및 1125으로 시판된다. 서브-200nm 파장 예컨대 193nm에서 이미지화를 위해, 바람직한 네가티브-작용 포토레지스트는 WO 03077029 (Shipley Company)에서 개시되어 있다.
포토레지스트 조성물은 다른 임의의 물질을 또한 포함할 수 있다. 예를 들면, 조성물은 화학선 및 콘트라스트 염료, 항-횡문 제제, 가소제, 속도 인핸서, 증감제, 등 중 하나 이상을 포함할 수 있다. 그와 같은 임의의 첨가제는, 사용된다면, 전형적으로, 포토레지스트 조성물의 총 고형물을 기준으로 소량 예컨대 0.1 내지 10 wt%으로 조성물 내에 존재한다.
레지스트 조성물의 바람직한 임의의 첨가제는 부가 염기이다. 적합한 염기는 예를 들면 하기를 포함한다: 선형 및 사이클릭 아미드 및 그것의 유도체 예컨대 N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민 예컨대 피리딘, 및 디-tert-부틸 피리딘; 지방족 아민 예컨대 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2'',2'''-(에탄-1,2-디일비스(아잔트리일))테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2''-니트릴로트리에탄올; 사이클릭 지방족 아민 예컨대 1-(tert-부톡시카보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카복실레이트, 디-tert-부틸 피페라진-1,4-디카복실레이트 및 N (2-아세톡시-에틸) 모폴린. 부가된 염기는 전형적으로, 포토레지스트 조성물의 총 고형물을 기준으로 비교적 작은 양, 예를 들면, 0.01 내지 5 wt%, 바람직하게는 0.1 내지 2 wt%으로 사용된다.
포토레지스트는 공지된 절차에 따라 제조될 수 있다. 예를 들면, 레지스트는 적합한 용매에서 포토레지스트의 성분을 용해시켜 코팅 조성물로서 제조될 수 있고, 예를 들면, 그 용매는 하기 중 하나 이상이다: 글라이콜 에테르 예컨대 2-메톡시에틸 에테르 (디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트 예컨대 에틸 락테이트 또는 메틸 락테이트, 에틸 락테이트가 바람직함; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스테르 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예컨대 톨루엔 또는 자일렌; 또는 케톤 예컨대 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논. 포토레지스트의 원하는 총 고형물 함량은 인자 예컨대 조성물 중 특정한 폴리머, 최종 층 두께 및 노출 파장에 의존할 것이다. 전형적으로 포토레지스트의 고형물 함량은 포토레지스트 조성물의 총 중량을 기준으로 1 내지 10 wt%, 더욱 전형적으로 2 내지 5 wt%에서 변한다.
적합한 NTD 포토레지스트는 당해기술에 공지되어 있고, 예를 들면, 하기에서 기재된 것을 포함한다: US 특허 공보 US20130115559A1, US20110294069A1, US20120064456A1, US20120288794A1, US20120171617A1, US20120219902A1 및 US7998655B2.
네거티브 톤 현상 방법
이제, 본 발명에 따른 공정이 도 1A-C를 참조하여 기재될 것이며, 상기 도면은 네거티브 톤 현상에 의해 포토리소그래픽 패턴을 형성하는데 있어서 예시적인 공정 흐름을 설명한다.
도 1A는 다양한 층 및 특징을 포함할 수 있는 기판(100)의 단면을 도시한다. 기판은 반도체, 예컨대 규소 또는 화합물 반도체 (예를 들면, III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 반도체 웨이퍼, 예컨대 단일 결정 규소 또는 화합물 반도체 웨이퍼이고, 1 이상 층 및 그것의 표면 위에 형성된 패턴화된 특징을 가질 수 있다. 패턴화될 1 이상의 층(102)은 기판(100) 위에 제공될 수 있다. 임의로, 예를 들면, 기저를 이루는 기재 기판 물질에 트렌치(trench)를 형성하는 것을 원하는 경우, 상기 기판 물질 자체가 패턴화될 수 있다. 기재 기판 물질 자체를 패턴화하는 경우에, 패턴은 기판 층에 형성되는 것으로 여겨질 것이다.
층들은, 예를 들면, 1 이상의 전도성 층들 예컨대 알루미늄, 구리, 몰리브데늄, 탄탈럼, 티타늄, 텅스텐, 합금, 그와 같은 금속의 니트라이드 또는 실리사이드, 도핑된 비결정성 규소 또는 도핑된 폴리실리콘의 층들, 1 이상의 유전체 층들 예컨대 산화규소, 규소 니트라이드, 규소 옥시니트라이드 또는 산화금속의 층들, 반도체 층, 예컨대 단일 결정 규소, 및 이들의 조합을 포함할 수 있다. 에칭될 층은 다양한 기술, 예를 들면, 화학적 기상 증착 (CVD) 예컨대 플라즈마-강화 CVD, 저-압력 CVD 또는 에피택셜 성장, 물리적 기상 증착 (PVD) 예컨대 스퍼터링 또는 증발, 또는 전기도금에 의해 형성될 수 있다. 에칭될 1 이상의 층들(102)의 특정한 두께는 형성되는 물질 및 특정한 디바이스에 따라 가변적일 것이다.
에칭될 특정한 층들, 필름 두께 및 사용될 포토리소그래픽 물질 및 공정에 따라, 포토레지스트 층(104)이 코팅될 하드 마스크 층 및/또는 하부 반사방지 코팅 (BARC)을 층(102) 위에 배치하는 것이 바람직할 수 있다. 예를 들면, 박막 레지스트 층을 갖는 하드 마스크 층의 사용이 바람직할 수 있으며, 여기서 에칭될 층은 유의미한 에칭 심도를 필요로 하고/하거나 특정한 에칭용 시약은 좋지 못한 레지스트 선택성을 갖는다. 하드 마스크 층이 사용되는 경우, 형성될 레지스트 패턴은 하드 마스크 층으로 이동될 수 있고, 이것은, 결국, 기저를 이루는 층(102)을 에칭하기 위한 마스크로서 사용될 수 있다. 적합한 하드 마스크 물질 및 형성 방법은 당해기술에 공지되어 있다. 전형적인 물질은, 텅스텐, 티타늄, 티타늄 니트라이드, 산화티타늄, 산화지르코늄, 산화알루미늄, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 비결정성 탄소, 규소 옥시니트라이드 및 규소 니트라이드를 포함한다. 하드 마스크 층은 단일 층 또는 복수의 상이한 물질 층들을 포함할 수 있다. 하드 마스크 층은, 예를 들면, 화학적 또는 물리적 증기 증착 기술에 의해 형성될 수 있다.
기판 및/또는 기저를 이루는 층이 그 밖에 포토레지스트 노광 동안 유의미한 양의 입사 방사선을 반사하여 형성된 패턴의 품질에 부정적으로 영향을 미칠 경우에 하부 반사방지 코팅이 바람직할 수 있다. 그와 같은 코팅물은 초점 심도, 노광 관용도, 선폭 균일성 및 임계 치수 (CD) 제어를 개선시킬 수 있다. 레지스트가 심자외선 광 (300 nm 이하), 예를 들면, KrF 엑시머 레이저 광 (248nm) 또는 ArF 엑시머 레이저 광 (193 nm)에 노광되는 경우에 반사방지 코팅물이 전형적으로 사용된다. 반사방지 코팅은 단일 층 또는 복수의 상이한 층들을 포함할 수 있다. 적합한 반사방지 물질 및 형성 방법은 당해기술에 공지되어 있다. 반사방지 물질, 예를 들면, 롬 앤드 하스 일렉트로닉 매터리얼즈 엘엘씨 (Rohm and Haas Electronic Materials LLC, 미국 매사추세츠주 말버러 소재)에 의해 상표명 AR™로 시판되는 것들, 예컨대 AR™40A 및 AR™124 반사방지제 물질이 상업적으로 이용가능하다.
본원에 기재된 것과 같은 조성물로부터 형성된 포토레지스트 층(104)은 (존재하면) 반사방지 층으로 덮여있는 기판 위에 배치된다. 포토레지스트 조성물은 스핀-코팅, 딥핑, 롤러-코팅 또는 다른 종래의 코팅 기술에 의해 기판에 적용될 수 있다. 이들 중에서, 스핀-코팅이 전형적이다. 스핀-코팅을 위해, 코팅 용액의 고형물 함량은 이용되는 특수한 코팅 장비, 용액의 점도, 코팅 툴의 속도 및 스피닝에 허용되는 시간의 양을 기준으로 원하는 필름 두께를 제공하도록 조정될 수 있다. 포토레지스트 층(104)을 위한 전형적인 두께는 약 500 내지 3000 Å이다.
다음에, 포토레지스트 층을 소프트베이킹하여 상기 층에서 용매 함량을 최소화할 수 있으며, 그렇게 함으로써 무점착 코팅물을 형성하고 기판으로의 층의 부착을 개선시킬 수 있다. 소프트베이크는 핫플레이트 상에서 또는 오븐에서 수행될 수 있으며, 핫플레이트가 전형적이다. 소프트베이크 온도 및 시간은, 예를 들면, 특정 포토레지스트 물질 및 두께에 의존적일 것이다. 전형적인 소프트베이크는 약 90 내지 150℃의 온도에서 약 30 내지 90 초의 시간에 수행된다.
본원에 기재된 바와 같은 오버코트 조성물로부터 형성된 포토레지스트 오버코트 층(106)은 포토레지스트 층(104) 위에 형성된다. 오버코트 조성물은 전형적으로 스핀-코팅에 의해 기판에 적용된다. 코팅 용액의 고형물 함량은 이용되는 특수한 코팅 장비, 용액의 점도, 코팅 툴의 속도 및 스피닝에 허용되는 시간의 양을 기준으로 원하는 필름 두께를 제공하도록 조정될 수 있다. 오버코트 층의 반사율을 감소시키기 위하여, 두께는 바람직하게는 상기 오버코트에서의 파장이 도래파 파장의 4분의 1이 되도록 선택된다. 포토레지스트 오버코트 층(106)에 전형적인 두께는 200 내지 1000 Å이다. 염기성 켄쳐는 오버코트 층(106)에 걸쳐 균질하게 분산된 상태로 오버코트 층에 존재할 수 있거나 오버코트 층-포토레지스트 층 계면에 배치된 영역(107)에 격리된 또는 단계적인 켄쳐로서 제공될 수 있다.
다음에, 포토레지스트 오버코트 층을 베이킹하여 상기 층에서 용매 함량을 최소화할 수 있다. 베이크는 핫플레이트 상에서 또는 오븐에서 수행될 수 있으며, 핫플레이트가 전형적이다. 전형적인 베이크는 약 80 내지 120℃의 온도에서 약 30 내지 90 초의 시간에 수행된다.
다음에 포토레지스트 층(104)은 제1 포토마스크(110)를 통해 활성화 방사선(108)에 노광되어 노광된 영역과 노광되지 않은 영역 사이의 용해도 차이를 생성한다. 본원에서 포토레지스트 조성물을 활성화시키는 방사선으로 포토레지스트 조성물을 노광시키는 것에 대한 언급은 방사선이 포토레지스트 조성물에서 잠상를 형성할 수 있음을 지시한다. 포토마스크는 차후의 현상 단계에서 각각 잔류하고 제거된 레지스트 층의 영역에 상응하는 광학적으로 투명한 영역(112) 및 광학적으로 불투명한 영역(114)을 갖는다. 노광 파장은 전형적으로 하위-400 nm, 하위-300 nm 또는 하위-200 nm이고, 248 nm 및 193 nm가 전형적이다. 상기 방법은 액침 또는 건식 (비-액침) 리소그래피 기술에서 사용된다. 노광 에너지는 전형적으로 노광 툴 및 광증감성 조성물의 성분에 따라 약 10 내지 80 mJ/cm2이다.
포토레지스트 층(104)의 노광 후, 후-노광 베이크 (PEB)를 수행한다. PEB는, 예를 들면, 핫플레이트 상에서 또는 오븐에서 수행될 수 있다. PEB를 위한 조건은, 예를 들면, 특정한 포토레지스트 조성물 및 층 두께에 의존적일 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도에서 약 30 내지 90 초의 시간에 수행된다. 후 노광 베이크 후, 염기성 켄쳐는 단속선(109)으로 보여주는 바와 같이 포토레지스트 층(104)의 표면 영역으로 확산되는 것으로 여겨진다. 극성-스위칭된 및 비스위칭된 영역 (각각 노광된 영역 및 노광되지 않은 영역에 상응함) 사이의 경계 (단속선)로 정의된 잠상(116)은 도 1B에서 보여주는 바와 같이 포토레지스트에 형성된다. 포토레지스트에서 확산된 염기성 켄쳐는 포토레지스트 층의 원하지 않는 어두운 영역에서 극성 스위치를 방지하여 수직 벽을 갖는 잠상을 생성하는 것으로 여겨진다.
다음에, 오버코트 층(106) 및 노광된 포토레지스트 층을 현상하여 포토레지스트 층(104)의 노광되지 않은 영역을 제거하고 노광된 영역을 남겨두고 도 1C에서 보여주는 바와 같이 수직 측벽을 갖는 컨택 홀 패턴(120)을 포함하는 개방 레지스트 패턴(104')을 형성한다. 현상액은 전형적으로 유기 현상액, 예를 들면, 케톤, 에스테르, 에테르, 탄화수소, 및 이들의 혼합물로부터 선택된 용매이다. 적합한 케톤 용매는, 예를 들면, 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 사이클로헥사논, 메틸사이클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤을 포함한다. 적합한 에스테르 용매는, 예를 들면, 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트를 포함한다. 적합한 에테르 용매는, 예를 들면, 디옥산, 테트라하이드로푸란 및 글라이콜 에테르 용매, 예를 들면, 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올을 포함한다. 적합한 아미드 용매는, 예를 들면, N-메틸-2-피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드를 포함한다. 적합한 탄화수소 용매는, 예를 들면, 방향족 탄화수소 용매 예컨대 톨루엔 및 자일렌을 포함한다. 또한, 이들 용매의 혼합물, 또는 상기에서 기재된 것들 이외의 용매 또는 물과 혼합된 하나 이상의 상기 열거된 용매가 사용될 수 있다. 다른 적합한 용매는 포토레지스트 조성물에 사용되는 것들을 포함한다. 현상액은 바람직하게는 2-헵타논 또는 부틸 아세테이트 예컨대 n-부틸 아세테이트이다.
현상액으로서 유기 용매의 혼합물, 예를 들면, 제1 및 제2 유기 용매의 혼합물이 이용될 수 있다. 제1 유기 용매는 하이드록시 알킬 에스테르 예컨대 메틸-2-하이드록시이소부티레이트 및 에틸 락테이트; 및 선형 또는 분지형 C5 내지 C6 알콕시 알킬 아세테이트 예컨대 프로필렌 글리콜 모노메틸 에테르 아세테이트 (PGMEA)로부터 선택될 수 있다. 제1 유기 용매 중에서, 2-헵타논 및 5-메틸-2-헥사논이 바람직하다. 제2 유기 용매는 선형 또는 분지형 비치환된 C6 내지 C8 알킬 에스테르 예컨대 n-부틸 아세테이트, n-펜틸 아세테이트, n-부틸 프로피오네이트, n-헥실 아세테이트, n-부틸 부티레이트 및 이소부틸 부티레이트; 및 선형 또는 분지형 C8 내지 C9 케톤 예컨대 4-옥타논, 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논으로부터 선택될 수 있다. 제2 유기 용매 중에서, n-부틸 아세테이트, n-부틸 프로피오네이트 및 2,6-디메틸-4-헵타논이 바람직하다. 제1 및 제2 유기 용매의 바람직한 조합은 2-헵타논/n-부틸 프로피오네이트, 사이클로헥사논/n-부틸 프로피오네이트, PGMEA/n-부틸 프로피오네이트, 5-메틸-2-헥사논/n-부틸 프로피오네이트, 2-헵타논/2,6-디메틸-4-헵타논 및 2-헵타논/n-부틸 아세테이트를 포함한다. 이들 중에서, 2-헵타논/n-부틸 아세테이트 및 2-헵타논/n-부틸 프로피오네이트가 특히 바람직하다.
유기 용매는 전형적으로 현상액의 총 중량을 기준으로 90 wt% 내지 100 wt%, 더욱 전형적으로 95 wt% 초과, 98 wt% 초과, 99 wt% 초과 또는 100 wt%의 조합된 양으로 현상액에 존재한다.
현상액 물질은, 임의의 첨가제, 예를 들면, 포토레지스트에 대하여 상기에서 기재된 바와 같은 계면활성제를 포함할 수 있다. 그와 같은 임의의 첨가제는 전형적으로 최소의 농도, 예를 들면, 현상액의 총 중량을 기준으로 약 0.01 내지 5 wt%의 양으로 존재할 것이다.
현상액은 공지된 기술, 예를 들면, 스핀-코팅 또는 푸들-코팅에 의해 기판에 적용될 수 있다. 현상 시간은 포토레지스트의 노광되지 않은 영역을 제거하는데 효과적인 기간 동안이며, 5 내지 30 초의 시간이 전형적이다. 현상은 전형적으로 실온에서 수행된다. 현상 공정은 현상 후 세정 헹굼 없이 수행될 수 있다. 이와 관련하여, 현상 공정은 잔류물이 없는 웨이퍼 표면을 초래할 수 있으며, 이것은 그와 같은 가외의 헹굼 단계를 불필요하게 함을 발견하였다.
BARC 층은, 존재한다면, 기저를 이루는 하드마스크 층의 노광시, 에칭 마스크로서 레지스트 패턴(104')을 사용하여 선택적으로 에칭된다. 다음에 하드마스크 층은 다시 에칭 마스크로서 레지스트 패턴(104')을 사용하여 선택적으로 에칭되고, 패턴화된 BARC 및 하드마스크 층을 생성한다. BARC 층 및 하드마스크 층을 에칭하는데 적합한 에칭 기술 및 화학은 당해기술에 공지되어 있고, 예를 들면, 이들 층들의 특정한 물질에 의존적일 것이다. 건식-에칭 공정 예컨대 반응성 이온 에칭이 전형적이다. 다음에 레지스트 패턴(104') 및 패턴화된 BARC 층을 공지된 기술, 예를 들면, 산소 플라즈마 애슁을 사용하여 기판으로부터 제거한다.
에칭 마스크로서 하드마스크 패턴을 사용하여, 1 이상의 층(102)이 선택적으로 에칭된다. 기저를 이루는 층(102)을 에칭하는데 적합한 에칭 기술 및 화학은 당해기술에 공지되어 있고, 건식-에칭 공정 예컨대 반응성 이온 에칭이 전형적이다. 다음에 패턴화된 하드마스크 층을 공지된 기술, 예를 들면, 건식-에칭 공정 예컨대 반응성 이온 에칭을 사용하여 기판 표면으로부터 제거할 수 있다. 수득한 구조는 에칭된 특징을 갖는 패턴이다. 대안적인 예시적인 방법에서, 하드마스크 층의 사용 없이 레지스트 패턴(104')을 직접적으로 사용하여 층(102)을 패턴화하는 것이 바람직할 수 있다. 직접적인 패턴화가 이용되는지 여부는 관여된 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수와 같은 인자에 의존적일 것이다.
본 발명의 네거티브 톤 현상 방법은 상기 기재된 예시적인 방법에 제한되지 않는다. 예를 들면, 포토레지스트 오버코트 조성물은 컨택 홀을 만들기 위한 네거티브 톤 현상 이중 노광 방법에 사용될 수 있다. 예시적인 그와 같은 공정은 도 1을 참조하여 기재된 기술의 변형이지만, 제1 노광과 상이한 패턴으로 포토레지스트 층의 추가 노광을 사용한다. 이 공정에서, 포토레지스트 층은 제1 노광 단계에서 포토마스크를 통해 화학 방사선으로 노광된다. 포토마스크는 상기 마스크의 불투명한 영역을 형성하는 일련의 평행선을 포함한다. 제1 노광 후, 포토레지스트 층의 제2 노광을, 제1 포토마스크의 것과 수직 방향의 일련의 선들을 포함하는 제2 포토마스크를 통해 수행된다. 수득한 포토레지스트 층은 노광되지 않은 영역, 1회-노광된 영역 및 2회-노광된 영역을 포함한다. 제2 노광 후, 포토레지스트 층을 후-노광 베이킹하고 상기에서 기재된 바와 같은 현상액을 사용하여 현상한다. 2개의 마스크의 선들의 교차점에 상응하는 노광되지 않은 영역을 제거하고, 상기 레지스트의 1회- 및 2-회 노광된 영역은 남겨둔다. 다음에 수득한 구조물은 도 1을 참조하여 상기에서 기재된 바와 같이 패턴화될 수 있다.
컨택 홀 및 트렌치 패턴과 같은 특징을 위한 추가의 정제된 분해는 NTD 과다 노광 공정을 사용하여 달성될 수 있다. 이 공정에서, 포토마스크는 웨이퍼 상에 인쇄될 패턴에 비해 큰 패턴을 갖는다. 노광 조건은, 포토마스크 패턴의 가장자리 아래로 광이 확산되어 상기 레지스트에서 극성 스위치가 이들 가장자리 영역 아래로 확장되도록 선택된다.
하기 비-제한적인 예는 본 발명에 따른 패턴화 방법 및 오버코트 조성물을 추가로 설명하기 위해 제공된다.
실시예
포토레지스트 폴리머 (PP) 합성
Figure 112019079029236-pat00020
폴리 ( IPAMA / IPCPMA / aGBLMA /X-GM- HL -2/ HAMA ) (PP-1)
IPAMA (20.68 g), IPCPMA (24.72 g), aGBLMA (27.78 g), X-GM-HL-2 (17.26 g) 및 HAMA (11.46 g)의 모노머를 108.33 g의 에틸 락테이트 / γ-부티로락톤 (gBL) (7/3)에 용해시켰다. 상기 모노머 용액을 질소로 20분간 버블링하여 탈기시켰다. 에틸 락테이트 / γ-부티로락톤 (gBL) (113 g)을 콘덴서 및 기계 교반기가 장착된 500 mL 재킷 반응기에 충전하고 질소로 20분간 버블링하여 탈기시켰다. 이후, 상기 반응 플라스크 내의 용매를 80℃의 온도로 맞추었다. V-601 (디메틸-2,2-아조디이소부티레이트) (3.974 g)을 12 g의 에틸 락테이트 / gBL에 용해시키고, 개시제 용액을 질소로 20분간 버블링하여 탈기시켰다. 상기 개시제 용액을 반응기에 가한 다음, 상기 모노머 용액을 격렬히 교반하면서 질소 환경하에 3.5시간 동안 반응기에 적가하여 공급하였다. 모노머 공급이 완료된 후, 중합 혼합물을 80℃에서 0.5시간 더 방치하였다. 반응이 완료된 후, 상기 중합 혼합물을 실온으로 냉각하였다. MeOH (3000 g) 중에서 침전을 수행하였다. 침전된 분말을 여과에 의해 수집하고, 밤새 공기 건조시키고, 120 g의 THF에 재용해시키고, MeOH (3000 g) 내로 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조시키고 60℃에서 48시간 동안 진공하에 건조시켜 폴리머 PP-1 (Mw = 7785; PDI = 1.36; 수율 = 82.75%)을 수득하였다.
Figure 112019079029236-pat00021
오버코트 매트릭스 폴리머 (MP) 합성
Figure 112019079029236-pat00022
폴리(iBMA/nBMA) (75/25) (MP-1)
30 g의 이소부틸 메타크릴레이트 (iBMA) 및 10 g의 n-부틸 메타크릴레이트 (nBMA) 모노머를 60 g의 PGMEA에 용해시켰다. 상기 모노머 용액을 질소로 20분간 버블링하여 탈기시켰다. PGMEA (32.890 g)를 콘덴서 및 기계 교반기가 장착된 500 mL 3-목 플라스크에 충전하고 질소로 20분간 버블링하여 탈기시켰다. 이후, 상기 반응 플라스크 내의 용매를 80℃의 온도로 맞추었다. V601 (3.239 g)을 8 g의 PGMEA에 용해시키고, 개시제 용액을 질소로 20분간 버블링하여 탈기시켰다. 상기 개시제 용액을 반응 플라스크에 가한 다음, 모노머 용액을 격렬히 교반하면서 질소 환경하에 3시간 동안 적가하여 반응기에 공급하였다. 모노머 공급이 완료된 후, 상기 중합 혼합물을 80℃에서 추가의 시간 동안 방치하였다. 총 4시간의 중합 시간 (3시간 공급 및 1시간 공급후 교반) 후, 상기 중합 혼합물을 실온으로 냉각하였다. 메탄올/물 (8/2) 혼합물 (1730 g) 중에서 침전을 수행하였다. 침전된 폴리머를 여과에 의해 수집하고, 밤새 공기 건조시키고, 120 g의 THF에 재용해시키고, 메탄올/물 (8/2) 혼합물 (1730 g) 내로 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조시키고 25℃에서 48시간 동안 진공하에 더 건조시켜 33.1 g의 폴리(iBMA/nBMA) (75/25) 공중합체 (MP-1) (Mw = 9,203; Mw/Mn =1.60; 수율 = 82.75%)를 수득하였다. 상기 합성 결과가 표 1에 기재되어 있다.
Figure 112019079029236-pat00023
오버코트 첨가 폴리머 (AP) 합성
Figure 112019079029236-pat00024
폴리(MA-MIB-HFA/MA-DM-EATf/233-tMBA) (55/25/20) (AP-1)
25 g의 PGMEA를 200 ml 반응기에 충전하고 질소 퍼지하에 30분 동안 99℃로 가열하였다. 27.5 g의 MA-MIB-HFA 모노머, 12.5 g의 MA-DM-EATf 모노머 및 10 g의 233-tMBA 모노머를 7.02 g의 PGMEA 용매에 용해시키고, 상기 모노머 용액을 질소로 버블링하여 탈기시켰다. 1.80 g의 V601 (디메틸-2,2-아조디이소부티레이트)을 16.18 g의 PGMEA에 용해시키고 상기 모노머 용액을 질소로 버블링하여 탈기시켰다. 개시제 용액을 0.150 g/분의 공급 속도로 120분간 적가하여 반응기에 공급하였다. 상기 모노머 용액을 또한 격렬히 교반하면서 질소 환경하에 공급 속도 0.475 g/분으로 120분간 적가하여 반응기에 공급하였다. 공급이 완료된 후, 상기 중합 혼합물을 2시간 더 방치하였다. 그리고 나서, 상기 중합 혼합물을 실온으로 냉각시켜, 폴리(MA-MIB-HFA/MA-DM-EATf/tMBA) (55/25/20) 공중합체 (AP-1) (Mw = 9017; Mw/Mn = 1.87; 수율 = 35.7%)의 용액을 수득하였다. 상기 합성 결과가 표 1에 기재되어 있다.
Figure 112019079029236-pat00025
폴리(MA-MIB-HFA/233-tMBA) (80/20) (AP-2)
25 g의 PGMEA를 200 ml 반응기에 충전하고 30분 동안 질소 퍼지하에 99℃로 가열하였다. 40 g의 MA-MIB-HFA 모노머 및 10 g의 233-tMBA 모노머를 혼합하고 10 g의 PGMEA 용매에 용해시켰다. 1.50 g의 V601 (디메틸-2,2-아조디이소부티레이트)을 13.5 g의 PGMEA에 용해시켰다. 상기 모노머 및 개시제 용액을 질소로 버블링하여 탈기시켰다. 상기 개시제 용액을 0.136g/분의 공급 속도로 110분간 적가하여 반응기에 공급하였다. 상기 모노머 용액을 격렬히 교반하면서 질소 환경하에 0.545g/분의 공급 속도로 110분간 적가하여 반응기에 공급하였다. 공급이 완료된 후, 상기 중합 혼합물을 2시간 더 방치하였다. 그리고 나서, 상기 중합 혼합물을 실온으로 냉각시켜, 폴리(MA-MIB-HFA/233-tMBA) (80/20) 공중합체 (AP-2) (Mw = 8945; Mw/Mn = 1.91; 수율 = 42.1%)의 용액을 수득하였다. 상기 합성 결과가 표 1에 기재되어 있다.
Figure 112019079029236-pat00026
폴리(MA-MIB-HFA) (AP-3)
300 g의 이소부틸 이소부티레이트 (IBIB)를 2 L 반응기에 충전하고 질소 퍼지하에 99℃로 가열하였다. 400 g의 MA-MIB-HFA 모노머 및 14.4 g V601 (디메틸-2,2-아조디이소부티레이트)을 285.7 g의 IBIB에 용해시키고 상기 모노머 용액을 질소로 버블링하여 탈기시킨 다음, 격렬히 교반하면서 질소 환경하에 120분간 적가하여 반응기에 공급하였다. 모노머 공급이 완료된 후, 상기 중합 혼합물을 99℃에서 5시간 더 방치하였다. 그리고 나서, 상기 중합 혼합물을 실온으로 냉각시켜, 폴리(MA-MIB-HFA) 호모폴리머 (AP-3) (Mw = 6768; Mw/Mn = 1.658; 수율 = 29.83%)의 용액을 수득하였다. 상기 합성 결과가 표 1에 기재되어 있다.
Figure 112019079029236-pat00027
폴리 ( TBMA / MHFPMA ) (70/30) (AP-4)
53.94 g의 PGMEA를 300 ml 반응기에 충전하고 30분 동안 질소 퍼지하에 99℃로 가열하였다. 57.18 g의 TBMA 모노머 및 50.7 g의 MHFPMA 모노머를 혼합하고 15.14 g의 PGMEA에 용해시켰다. 상기 모노머 용액을 질소로 버블링하여 탈기시켰다. 3.88 g의 V601 (디메틸-2,2-아조디이소부티레이트)을 34.91 g의 PGMEA에 용해시키고, 상기 개시제 용액을 0.353 g/분의 공급 속도로 110분간 적가하여 반응기에 공급하였다. 상기 모노머 용액을 또한 격렬히 교반하면서 질소 환경하에 1.118 g/분의 공급 속도로 110분간 적가하여 반응기에 공급하였다. 공급이 완료된 후, 상기 중합 혼합물을 2시간 더 방치하였다. 그리고 나서, 상기 중합 혼합물을 실온으로 냉각시켜, 폴리(TBMA/MHFPMA) 공중합체 (AP-4) (Mw = 10,944; Mw/Mn = 1.74; 수율 = 45.2%)의 용액을 수득하였다. 상기 합성 결과가 표 1에 기재되어 있다.
Figure 112019079029236-pat00028
표 1
Figure 112019079029236-pat00029
*중합에서 몰 공급비
포토레지스트 조성물 (PC- 1)의 제조
PGMEA 중의 31.219 g의 폴리머 PP-1 용액 (10 중량%), PGMEA 중의 5.415 g의 트리페닐설포늄 퍼플루오로부탄 설포네이트 용액 (1 중량%), PGMEA 중의 15.047 g의 트리페닐설포늄 2-(((3r,5r,7r)-아다만탄-1-카보닐)옥시)-1,1-디플루오로에탄설포네이트 용액 (1 중량%), PGMEA 중의 3.01 g의 2,2'-(도데실아잔디일)디에탄올 용액 (1%), PGMEA 중의 14.336 g의 트리페닐설포늄 ((1S,4S)-7,7-디메틸-2-옥소바이사이클로[2.2.1]헵탄-1-일)메탄설포네이트 (1%), 21.324 g PGMEA 및 9.650 g의 γ-부티로락톤을 4시간 동안 혼합하였다. 상기 혼합물을 0.2 마이크론 나일론 필터로 여과하여 포토레지스트 조성물 PC-1을 제공하였다.
레지스트 오버코트 조성물 ( OC )의 제조
표 2에 제시된 성분 및 양을 이용하여 용매에 오버코트 매트릭스 폴리머, 첨가 폴리머 및 염기성 켄쳐를 용해시킴으로써 레지스트 오버코트 조성물을 제조하였다. 수득한 혼합물을 3시간 동안 기계 롤러 상에서 압연한 다음 0.2 마이크론 공극 크기를 갖는 테플론 필터를 통해 여과하였다. 상기 조성물을 오버코트 표면에서의 반사율을 감소시키기 위해 도래파(incoming wave) 파장의 1/4에 상응하는, 290 Å의 표적 두께 (~1500 rpm에서 스핀 코팅 후)에 기초하여 제형화하였다.
표 2
Figure 112019079029236-pat00030
IBIB = 이소부틸 이소부티레이트; 염기성 켄쳐 = IBIB 중의 터트-부틸 4-하이드록시피페리딘-1-카복실레이트 용액 (1 중량%).
접촉각 측정
각각의 레지스트 오버코트 조성물에 대하여 탈이온수에 관한 정접촉각 (SCA), 후진 접촉각 (RCA), 전진 접촉각 (ACA) 및 미끄럼 각 (SA)을 측정하였다. 정접촉각 및 동접촉각은 KRUSS 낙하 형상 분석기 모델 100을 이용하여 측정하였다. 동접촉각 측정의 경우, 탈이온수의 액적 크기는 50 μl (마이크로리터)였고, 웨이퍼 스테이지 경사 비율은 l 단위/sec였다. 물 방울이 시험 웨이퍼 표면 상에 놓이면, 상기 웨이퍼 스테이지는 즉시 기울기 시작하였다. 웨이퍼 스테이지 기울기 동안, 액적이 그 원래 위치에서 미끄러질 때까지 초당 20 프레임의 속도로 상기 액적의 비디오를 촬영하였다. 그리고 나서, 상기 비디오 내의 각 프레임을 분석하고, 상기 액적이 막 미끄러지기 시작할 때의 프레임 상의 액적 이미지를 사용하여 이들의 상응하는 접선에 의해 동접촉각 (전진 및 후진)을 결정하였다. 미끄럼 각은 액적이 막 미끄러지기 시작할 때의 프레임에 상응하는 웨이퍼 스테이지 경사각이다. 정접촉각 측정에서, 상기 물 방울은 2.5 μl였고, 기울이지 않고 시험 웨이퍼 표면에 두었다. 접촉각은 상기 액적의 양 측면 상의 접선에 의해 결정하였다. 보고된 정접촉각은 액적의 좌측면과 우측면으로부터 접촉각의 평균이었다. 표면 에너지는 확장된 포우키스 이론(Extended Fowkes theory)을 이용한 물의 정접촉각에 기초하여 계산하였다. 정접촉각 (SCA), 후진 접촉각 (RCA), 전진 접촉각 (ACA), 미끄럼 각 (SA) 및 OC-1에 대한 RCA 차이 (OC-1으로부터의 ΔRCA)에 대한 결과가 표 3에 제시되어 있다.
표 3
Figure 112019079029236-pat00031
표 3에 볼 수 있는 바와 같이, 본 발명에 따른 첨가 폴리머를 함유하는 레지스트 오버코트 조성물 OC-2 ~ OC-7은 비교 오버코트 조성물 OC-1과 비교하여 유리한 SCA, RCA 및 ACA 특징, 및 SA의 경우 대등한 특징을 나타내었다.
리소그래픽 공정
리소그래피 성능에 대한 오버코트 조성물의 효과를 조사하기 위하여 건식 리소그래피를 수행하였다. ASML/1100 스캐너에 연결된 TEL CleanTrack ACT 8 상에서, 200 mm 실리콘 웨이퍼를 AR™19 바닥-반사방지 코팅 (BARC) 물질 (Rohm and Haas Electronic Materials, Marlborough, MA)로 스핀-코팅하고, 205℃에서 60초간 베이킹하여 860 Å의 필름 두께를 수득하였다. 상기 BARC-코팅된 웨이퍼 상에 포토레지스트 조성물 PC-1을 코팅하고 TEL CleanTrack ACT 8 코팅기/현상기 상에서 90℃에서 60초간 소프트-베이팅하여 1000 Å의 레지스트 층 두께를 제공하였다. 오버코트 조성물을, 만약 사용되면, 레지스트의 상부에 코팅하고 TEL CleanTrack ACT 8 코팅기/현상기 상에서 90℃에서 60초간 소프트-베이킹하여 290 Å의 레지스트 오버코트 두께를 제공하였다. 상기 웨이퍼를 ASML 1100 스캐너로 0.75 NA, 0.89 아우터 시그마(outer sigma) 및 0.64 이너 시그마(inner sigma)를 갖는 사중극자 조명 조건을 이용하여 노광하였다. 노광된 웨이퍼를 90℃에서 60초간 노광후 베이킹하고 TEL CleanTrack ACT 8 코팅기/현상기 상에서 30초간 n-부틸 아세테이트 (NBA) 현상제를 이용하여 현상하였다. 동일한 마스크 특징 (6% PSM)에서 200 nm 피치로 덴스 컨택 홀(dense contact hole)에 대해 100 nm에서 임계 치수 (CD)를 표적화하고 200K 배율을 갖는 Hitachi 9380 CD SEM 상에서 홀 패턴의 직경에서 측정하였다.
상기 리소그래픽 결과로부터 하기 값을 결정하였다: Es = 200 nm 피치로 덴스 컨택 홀에 대해 100 nm의 타겟 CD (CDt)를 프린트하는 노광 에너지; EL = 하기 식에 따라 CDt의 ±10% 이내의 노광 에너지 당 퍼센트 CD 변화 (DCD)에 의해 정의된, 노광 관용도:
EL = (1.1 × CDt - 0.9 × CDt)/(1.1 × CDt의 Eopt - 0.9 × CDt의 Eopt)
여기서 EL 및 CDt는 상기에서 정의된 바와 같고, Eopt는 상기 명시된 CD를 프린트하는 최적의 노광 에너지이며; Es에서의 FL= Es에서 초점 관용도로서, 여기서 초점 관용도는 CD 변화를 CDt의 ±10% 이내로 유지하는 초점의 범위이고; PW는 공정 윈도우를 의미하며, 이는 최종 CD를 설명서 또는 제한된 데이타 영역 내에 유지하는 초점 및 노광의 중첩 영역이고 (PW는 KLA/Tencor Prodata™ 소프트웨어로 결정되었음); 5% EL에서의 PW = ±5% CD 변화 범위의 공정 윈도우; 저선량(underdose)에서의 FL = Es보다 1mJ 적은 노광 선량에서의 초점 관용도 및 과선량(overdose)에서의 FL = Es보다 1 mJ 큰 노광 선량에서 초점 관용도. 상기 결과가 표 4에 요약되어 있다.
표 4
Figure 112019079029236-pat00032
표 4에서 볼 수 있는 바와 같이, 본 발명에 따른 레지스트 오버코트를 이용한 실시예에 대한 리소그래픽 성능은 우수한 전체 리소그래픽 성능을 제공하였다.
레지스트 오버코트 조성물 ( OC )의 제조
표 5에 제시된 성분을 이용하여 9 g 매트릭스 폴리머, 0.95 g 첨가 폴리머 및 0.05 g 터트-부틸 4-하이드록시피페리딘-1-카복실레이트를 990 g의 용매에 용해시킴으로써 레지스트 오버코트 조성물을 제조한다. 수득한 혼합물을 3시간 동안 기계 롤러 상에서 압연한 다음 0.2 마이크론 공극 크기를 갖는 테플론 필터를 통해 여과한다.
표 5
Figure 112019079029236-pat00033
TB-4HP = 터트-부틸 4-하이드록시피페리딘-1-카복실레이트; TIPA = 트리이소프로판올아민; TBMA = N1,N1,N3,N3-테트라부틸말론아미드; TBDA = n-터트-부틸디에탄올아민; IBIB = 이소부틸 이소부티레이트; 및 NHP = n-헵틸 프로피오네이트.
매트릭스 폴리머
Figure 112019079029236-pat00034
Figure 112019079029236-pat00035
첨가 폴리머
Figure 112019079029236-pat00036
Figure 112019079029236-pat00037
리소그래픽 공정
300 mm 실리콘 웨이퍼를 AR™19 바닥-반사방지 코팅 (BARC) 물질 (Rohm and Haas Electronic Materials, Marlborough, MA)로 스핀-코팅하고 205℃에서 60초간 베이킹하여 860 Å의 필름 두께를 수득한다. BARC-코팅된 웨이퍼 상에 포토레지스트 조성물 PC-1을 스핀-코팅하고 90℃에서 60초간 소프트-베이킹하여 1000 Å의 레지스트 층 두께를 제공한다. 표 5에서의 오버코트 조성물을 상기 레지스트의 상부에 스핀-코팅하고 90℃에서 60초간 소프트-베이킹하여 레지스트 오버코트 층을 제공한다. 상기 웨이퍼를 액침 스캐너 상에서 노광시킨 다음 스핀-코팅기 상에서 25초간 2-헵타논을 이용하여 현상시켜 네거티브 톤 패턴을 수득한다.

Claims (10)

  1. (a) 패턴화될 하나 이상의 층을 포함하는 반도체 기판을 제공하는 단계;
    (b) 상기 패턴화될 하나 이상의 층 상에 포토레지스트 층을 형성하는 단계;
    (c) 상기 포토레지스트 층 상에 포토레지스트 오버코트 조성물을 코팅하는 단계;
    (d) 상기 포토레지스트 층을 활성화 방사선에 노광시키는 단계;
    (e) 상기 기판을 노광후 소성 공정에서 가열하는 단계; 및
    (f) 상기 노광된 필름을 유기 용매 현상액으로 현상하는 단계;를 포함하며,
    여기서,
    상기 포토레지스트 층은 산 불안정성 기를 갖는 단위를 포함하는 매트릭스 폴리머; 광산 발생제; 및 유기 용매;를 포함하는 조성물로부터 형성되고,
    상기 포토레지스트 오버코트 조성물은 매트릭스 폴리머; 첨가제 폴리머; 염기성 켄쳐(basic quencher); 및 유기 용매를 포함하며,
    상기 첨가제 폴리머는 상기 매트릭스 폴리머의 표면 에너지보다 더 낮은 표면 에너지를 가지며, 또한 상기 첨가제 폴리머는, 상기 포토레지스트 오버코트 조성물의 총 고형물을 기준으로, 1 내지 20 wt%의 양으로 상기 포토레지스트 오버코트 조성물 내에 존재하고,
    상기 매트릭스 폴리머는 불소 및 규소를 함유하지 않고,
    상기 첨가제 폴리머는 하나 이상의 플루오르화된 및/또는 규소-함유 그룹을 포함하며,
    상기 매트릭스 폴리머는 상기 첨가제 폴리머와 불혼화성이어서, 상기 첨가제 폴리머가 상기 매트릭스 폴리머로부터 상 분리되어 오버코트 층의 상면으로 이동하는 것을 허용하는,
    패턴 형성 방법.
  2. 제1항에 있어서, 상기 첨가제 폴리머는 규소 원자를 함유하는 단위를 포함하는, 패턴 형성 방법.
  3. 제1항에 있어서, 상기 첨가제 폴리머는 불소 원자를 함유하는 단위를 포함하는, 패턴 형성 방법.
  4. 제3항에 있어서, 상기 첨가제 폴리머는 플루오로알코올을 함유하는 단위를 포함하는, 패턴 형성 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 매트릭스 폴리머는 하기 일반식 (I)의 모노머로부터 형성된 단위를 포함하는, 패턴 형성 방법:
    Figure 112021020986574-pat00038

    여기서, R1은 수소 및 임의로 치환된 C1 내지 C3 알킬로부터 선택되고; R2는 임의로 치환된 C1 내지 C15 알킬로부터 선택되고; X1은 산소, 황이거나, 또는 식 NR3로 나타내고, 여기서 R3은 수소 및 임의로 치환된 C1 내지 C10 알킬로부터 선택되고; 그리고 Z1은 단일 결합 또는 스페이서 단위이고, 이 스페이서 단위는 임의로 치환된 지방족 및 방향족 탄화수소, 및 이들의 조합으로부터 선택되되, -O-, -S-, -COO- 및 -CONR4-로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며, 여기서 R4는 수소 및 임의로 치환된 C1 내지 C10 알킬로부터 선택된다.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 첨가제 폴리머는 하기 일반식 (II) 또는 (III)를 갖는 모노머로부터 형성되는, 패턴 형성 방법:
    Figure 112021020986574-pat00039

    여기서, R10은 수소 및 임의로 치환된 C1 내지 C3 알킬로부터 선택되고; R11은 임의로 치환된 C1 내지 C15 알킬로부터 선택되고; X2는 산소, 황이거나, 또는 식 NR12로 나타내고, 여기서 R12는 수소 및 임의로 치환된 C1 내지 C10 알킬로부터 선택되고; 그리고 Z3은 단일 결합 또는 스페이서 단위이고, 이 스페이서 단위는 임의로 치환된 지방족 및 방향족 탄화수소, 및 이들의 조합으로부터 선택되되, -O-, -S-, -NHSO2-, -COO- 및 -CONR13-로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며, 여기서 R13은 수소 및 임의로 치환된 C1 내지 C10 알킬로부터 선택되고;
    Figure 112021020986574-pat00040

    여기서, R14는 수소 및 임의로 치환된 C1 내지 C3 알킬로부터 선택되고; R15는 임의로 치환된 C1 내지 C15 알킬로부터 독립적으로 선택되고; X3은 산소, 황이거나, 또는 식 NR16로 나타내고, 여기서 R16은 수소 및 임의로 치환된 C1 내지 C10 알킬로부터 선택되고; Z4는 단일 결합 또는 스페이서 단위이고, 이 스페이서 단위는 임의로 치환된 지방족 및 방향족 탄화수소, 및 이들의 조합으로부터 선택되되, -O-, -S-, -COO- 및 -CONR17-로부터 선택된 1 이상의 연결 모이어티를 임의로 가지며, 여기서 R17은 수소 및 임의로 치환된 C1 내지 C10 알킬로부터 선택되고; 그리고 n은 정수 0 내지 2이다.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 염기성 켄쳐는 상기 매트릭스 폴리머 상에 염기성 모이어티를 포함하는, 패턴 형성 방법.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 염기성 켄쳐는 상기 매트릭스 폴리머와는 별도의 첨가제를 포함하는, 패턴 형성 방법.
  9. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 첨가제 폴리머는, 상기 포토레지스트 오버코트 조성물의 총 고형물을 기준으로, 3 내지 15 wt%의 양으로 상기 포토레지스트 오버코트 조성물 내에 존재하는, 패턴 형성 방법.
  10. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 포토레지스트 층은 액침 리소그래피 공정에서 상기 활성화 방사선에 노광되는, 패턴 형성 방법.
KR1020190093695A 2014-10-31 2019-08-01 패턴 형성 방법들 KR102269796B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462073769P 2014-10-31 2014-10-31
US62/073,769 2014-10-31
KR1020170134181A KR102008264B1 (ko) 2014-10-31 2017-10-16 패턴 형성 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170134181A Division KR102008264B1 (ko) 2014-10-31 2017-10-16 패턴 형성 방법들

Publications (2)

Publication Number Publication Date
KR20190093540A KR20190093540A (ko) 2019-08-09
KR102269796B1 true KR102269796B1 (ko) 2021-06-25

Family

ID=55852525

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150146048A KR20160051611A (ko) 2014-10-31 2015-10-20 패턴 형성 방법들
KR1020170134181A KR102008264B1 (ko) 2014-10-31 2017-10-16 패턴 형성 방법들
KR1020190093695A KR102269796B1 (ko) 2014-10-31 2019-08-01 패턴 형성 방법들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020150146048A KR20160051611A (ko) 2014-10-31 2015-10-20 패턴 형성 방법들
KR1020170134181A KR102008264B1 (ko) 2014-10-31 2017-10-16 패턴 형성 방법들

Country Status (5)

Country Link
US (2) US20160124309A1 (ko)
JP (1) JP6138886B2 (ko)
KR (3) KR20160051611A (ko)
CN (1) CN105573063B (ko)
TW (1) TWI582536B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI609243B (zh) * 2013-12-31 2017-12-21 羅門哈斯電子材料有限公司 光微影方法
JP6603303B2 (ja) * 2015-02-26 2019-11-06 富士フイルム株式会社 パターン形成方法、レジストパターン、電子デバイスの製造方法、及び、電子デバイス
KR102095314B1 (ko) 2015-09-30 2020-03-31 후지필름 가부시키가이샤 패턴 형성 방법, 전자 디바이스의 제조 방법, 및 적층체
US10133179B2 (en) * 2016-07-29 2018-11-20 Rohm And Haas Electronic Materials Llc Pattern treatment methods
JP6780602B2 (ja) * 2017-07-31 2020-11-04 信越化学工業株式会社 レジスト組成物及びパターン形成方法
US11940731B2 (en) * 2018-06-30 2024-03-26 Rohm And Haas Electronic Materials Llc Photoresist topcoat compositions and methods of processing photoresist compositions
US11567408B2 (en) * 2019-10-15 2023-01-31 Rohm And Haas Electronic Materials Korea Ltd. Coating composition for use with an overcoated photoresist
US11940732B2 (en) * 2020-05-02 2024-03-26 Rohm And Haas Electronic Materials Llc Coating compositions and methods of forming electronic devices
US11656550B2 (en) 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8241832B2 (en) 2008-12-31 2012-08-14 Rohm And Haas Electronic Materials Llc Compositions and processes for photolithography
US20120264053A1 (en) 2011-04-14 2012-10-18 Rohm And Haas Electronic Materials Llc Compositions and processes for photolithography
JP2014056194A (ja) 2012-09-13 2014-03-27 Jsr Corp 保護膜形成組成物及びネガ型レジストパターン形成方法
US8697338B2 (en) 2011-09-09 2014-04-15 Rohm And Haas Electronics Materials Llc Photolithographic methods

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4743529A (en) * 1986-11-21 1988-05-10 Eastman Kodak Company Negative working photoresists responsive to shorter visible wavelengths and novel coated articles
JP5183069B2 (ja) * 2006-01-08 2013-04-17 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトレジストのためのコーティング組成物
JP2010107793A (ja) * 2008-10-31 2010-05-13 Fujifilm Corp レジスト膜用トップコート組成物及びそれを用いたパターン形成方法
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法
JP5741521B2 (ja) * 2011-05-11 2015-07-01 信越化学工業株式会社 レジスト組成物及びパターン形成法
JP5771570B2 (ja) * 2011-06-30 2015-09-02 富士フイルム株式会社 パターン形成方法、積層レジストパターン、及び、電子デバイスの製造方法
JP2013061648A (ja) * 2011-09-09 2013-04-04 Rohm & Haas Electronic Materials Llc フォトレジスト上塗り組成物および電子デバイスを形成する方法
JP5954020B2 (ja) * 2011-09-30 2016-07-20 Jsr株式会社 液浸上層膜形成用組成物及びレジストパターン形成方法
JP6141620B2 (ja) * 2011-11-07 2017-06-07 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 上塗り組成物およびフォトリソグラフィ方法
JP6254377B2 (ja) * 2012-07-31 2017-12-27 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法
JPWO2016052384A1 (ja) * 2014-09-30 2017-05-25 富士フイルム株式会社 パターン形成方法、上層膜形成用組成物、レジストパターン、及び、電子デバイスの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8241832B2 (en) 2008-12-31 2012-08-14 Rohm And Haas Electronic Materials Llc Compositions and processes for photolithography
US20120264053A1 (en) 2011-04-14 2012-10-18 Rohm And Haas Electronic Materials Llc Compositions and processes for photolithography
US8697338B2 (en) 2011-09-09 2014-04-15 Rohm And Haas Electronics Materials Llc Photolithographic methods
JP2014056194A (ja) 2012-09-13 2014-03-27 Jsr Corp 保護膜形成組成物及びネガ型レジストパターン形成方法

Also Published As

Publication number Publication date
KR20160051611A (ko) 2016-05-11
KR20190093540A (ko) 2019-08-09
CN105573063A (zh) 2016-05-11
TW201616240A (zh) 2016-05-01
US20160124309A1 (en) 2016-05-05
KR102008264B1 (ko) 2019-08-07
US20190204742A1 (en) 2019-07-04
CN105573063B (zh) 2017-11-24
KR20170142952A (ko) 2017-12-28
JP6138886B2 (ja) 2017-05-31
JP2016091016A (ja) 2016-05-23
TWI582536B (zh) 2017-05-11

Similar Documents

Publication Publication Date Title
KR102161015B1 (ko) 포토리소그래픽 방법
KR102269796B1 (ko) 패턴 형성 방법들
US9458348B2 (en) Photoresist overcoat compositions and methods of forming electronic devices
US9703200B2 (en) Photolithographic methods
KR101826110B1 (ko) 포토레지스트 오버코트 조성물
US20150185607A1 (en) Photoresist overcoat compositions

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant