TWI399649B - 用於提供不確定讀取資料等待時間之方法、集線器裝置、記憶體控制器及記憶體系統 - Google Patents

用於提供不確定讀取資料等待時間之方法、集線器裝置、記憶體控制器及記憶體系統 Download PDF

Info

Publication number
TWI399649B
TWI399649B TW095143452A TW95143452A TWI399649B TW I399649 B TWI399649 B TW I399649B TW 095143452 A TW095143452 A TW 095143452A TW 95143452 A TW95143452 A TW 95143452A TW I399649 B TWI399649 B TW I399649B
Authority
TW
Taiwan
Prior art keywords
data packet
upstream
read
memory
read data
Prior art date
Application number
TW095143452A
Other languages
English (en)
Other versions
TW200739353A (en
Inventor
Kevin C Gower
Paul W Coteus
Robert B Tremaine
Warren E Maule
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200739353A publication Critical patent/TW200739353A/zh
Application granted granted Critical
Publication of TWI399649B publication Critical patent/TWI399649B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/1652Handling requests for interconnection or transfer for access to memory bus based on arbitration in a multiprocessor architecture
    • G06F13/1657Access to multiple memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1673Details of memory controller using buffers

Description

用於提供不確定讀取資料等待時間之方法、集線器裝置、記憶體控制器及記憶體系統
本發明係關於由一菊鏈通道連接至一記憶體控制器之集線器裝置組成的記憶體系統。將集線器裝置附接至或駐留在含有記憶體裝置的記憶體模組上。更特定言之,本發明係關於讀取資料之流程控制及由每一集線器裝置傳回至控制器之讀取資料的識別。
許多高效能計算性主記憶體系統使用由一或多個通道連接至一記憶體控制器之多個充分緩衝的記憶體模組。記憶體模組含有一集線器裝置及多個記憶體裝置。該集線器裝置充分緩衝在記憶體控制器與記憶體裝置之間的指令、位址及資料信號。使用一分級等待時間或位置依賴性等待時間技術來控制讀取資料的流程。在兩種情況下,記憶體控制器均能夠預測自記憶體模組請求之讀取資料的傳回時間且能夠排程指令以避免在讀取資料由每一記憶體模組合並至控制器介面上時發生的碰撞。
在一些情況下,記憶體控制器能夠發送一連同讀取指令一起的讀取資料延遲加法指令。此指示目標集線器裝置將額外延遲添加至讀取資料之傳回上以便簡化指令的發送及避免碰撞。在所有情況下,讀取資料必須按照其被請求的次序傳回。此外,總讀取資料等待時間必須完全可由記憶體控制器來預測。在執行時間操作期間,此兩項限制導致將額外間隙添加至自記憶體模組傳回之讀取資料的封包。
此將等待時間添加至平均讀取操作。此外,集線器不能夠使用不確定技術來比正常速度更快或更慢地將讀取資料傳回。此等技術包括(但不限於)局部地快取讀取資料、猜測性地讀取記憶體裝置、獨立地管理記憶體裝置位址頁、資料壓縮等。
為在實際工作負荷條件下最佳化平均讀取等待時間,且賦予高級集線器裝置之能力,需要允許記憶體模組於一不可預測之時間將讀取資料傳回至記憶體控制器的方法。必須以不會破壞讀取資料且允許記憶體控制器識別每一讀取資料封包的方式來完成此過程。因為集線器裝置將本端讀取資料合併至一級聯記憶體控制器通道上,所以藉由避免資料碰撞來防止資料破壞尤其複雜。
例示性實施例包括一用於提供不確定讀取資料等待時間之方法。該方法包括判定是否已接收到一本端資料封包。若已接收到一本端資料封包,則將該本端資料封包儲存至一緩衝器裝置中。該方法亦包括判定該緩衝器裝置是否含有一資料封包且判定一用於經由一上游通道將資料封包傳輸至一記憶體控制器之上游驅動器是否閒置。若該緩衝器含有一資料封包且該上游驅動器閒置,則將該資料封包傳輸至該上游驅動器。該方法進一步包括判定是否已接收到一上游資料封包。該上游資料封包呈一包括一訊框開始指示符及一識別標籤之訊框格式,該記憶體控制器使用該訊框格式以使該上游資料封包與其對應的讀取指令相關聯。若已接收到一上游資料封包且該上游驅動器並非閒置,則將該上游資料封包儲存至該緩衝器裝置中。若已接收到一上游資料封包且該緩衝器裝置不含有一資料封包且該上游驅動器閒置,則將該上游資料封包傳輸至該上游驅動器。若該上游驅動器並非閒置,則將進程中之任何資料封包繼續傳輸至該上游驅動器。
例示性實施例包括在一記憶體系統中之一集線器裝置。該集線器裝置包括一用於接收資料封包之裝置、一用於經由一上游通道將資料封包傳輸至一記憶體控制器之上游驅動器,及一包括用於促進不確定讀取資料等待時間之指令的機件。用於接收資料封包之裝置包括一上游接收器,其用於自一下游集線器裝置接收上游資料封包;及一記憶體介面,其用於自一本端儲存裝置接收本端資料封包。每一資料封包呈一包括一訊框開始指示符及一識別標籤之訊框格式,一記憶體控制器使用該訊框格式以使資料封包與其對應的讀取指令相關聯。該機件上之指令促進判定是否已接收到一本端資料封包。若已接收到一本端資料封包,則將該本端資料封包儲存至一緩衝器裝置中。該等指令亦促進判定該緩衝器裝置是否含有一資料封包及判定該上游驅動器是否閒置。若該緩衝器含有一資料封包且該上游驅動器閒置,則將該資料封包傳輸至該上游驅動器。該等指令進一步促進判定是否已接收到一上游資料封包。若已接收到一上游資料封包且該上游驅動器並非閒置,則將該上游資料封包儲存至該緩衝器裝置中。若已接收到一上游資料封包且該緩衝器裝置不含有一資料封包且該上游驅動器閒置,則將該上游資料封包傳輸至該上游驅動器。若該上游驅動器並非閒置,則將進程中之任何資料封包繼續傳輸至該上游驅動器。
例示性實施例包括一具有一或多個記憶體模組之記憶體子系統。該等記憶體模組包括由一菊鏈通道連接至一記憶體控制器之一或多個記憶體裝置。使用一包括一識別標籤及訊框開始指示符之訊框格式來將讀取資料傳回至該記憶體控制器。該記憶體系統亦包括在記憶體模組上以用於緩衝位址、指令及資料之一或多個集線器裝置。該等集線器裝置包括控制器通道緩衝器,該等控制器通道緩衝器與一先佔式本端資料合併演算法(preemptive local data merge algorithm)結合使用,以最小化讀取資料等待時間及使得能將不確定讀取資料傳回時間提供至記憶體控制器。
其他例示性實施例包括一具有一或多個記憶體模組之記憶體系統。該等記憶體模組包括由一菊鏈通道連接至一記憶體控制器之記憶體裝置。使用一包括一識別標籤及訊框開始指示符之訊框格式來將讀取資料傳回至該記憶體控制器。該記憶體系統亦包括連接至該等記憶體模組以用於緩衝位址、指令及資料之一或多個集線器裝置。該等集線器裝置包括控制器通道緩衝器,該等控制器通道緩衝器與一先佔式本端資料合併演算法結合使用以最小化讀取資料等待時間及使得能將不確定讀取資料傳回時間提供至記憶體控制器。
例示性實施例利用控制器通道緩衝器(CCB)、具有識別標籤之讀取資料訊框格式及一先佔式資料合併技術來使得能提供最小化及不確定讀取資料等待時間。例示性實施例允許記憶體模組在未預知的時間將讀取資料傳回至一記憶體控制器。將識別標籤資訊添加至讀取資料封包以指示用以得到資料之讀取指令以及讀取資料所用之集線器。識別標籤資訊由控制器利用以將讀取資料封包與由控制器所發送的讀取指令匹配。藉由使用識別標籤資訊,可以不同於對應讀取指令之發送次序的次序來傳回讀取資料。
例示性實施例亦提供一先佔式資料合併處理以防止在實施不確定讀取資料等待時間時在上游通道上發生資料碰撞。將一CCB添加至集線器裝置以暫時儲存讀取資料。當記憶體模組上之一記憶體裝置讀取資料時,資料自記憶體介面傳送至緩衝器。當集線器裝置偵測到一上游資料封包(亦即,自偵測集線器裝置下游之一集線器裝置發送至控制器的資料封包)不在經由一上游通道(其通常採用若干次傳送以發送整個資料封包)至偵測集線器裝置傳送之中時,偵測集線器裝置檢查在其CCB中是否存在一等待於上游發送的讀取資料封包。若集線器裝置在CCB中偵測到一讀取資料封包,則其將該讀取資料封包自CCB驅動至上游資料匯流排上。同時,若一新的上游資料封包經由上游資料匯流排被接收,則將資料封包儲存在集線器裝置上的CCB中。以此方式,來自上游之資料封包不會與自集線器裝置上的CCB發送至上游之資料封包碰撞。在CCB中存在一個以上資料封包的情況下,可實施各種方法來判定接著將發送之資料封包(例如,可首先發送來自最舊讀取指令的資料封包)。
例示性實施例適用於由一或多個記憶體模組110建構之記憶體系統,該等記憶體模組110係經由菊鏈記憶體通道114連接至一記憶體控制器102,如圖1所描繪。該等記憶體模組110含有:緩衝往返於控制器記憶體通道114之指令、位址及資料信號的集線器裝置112;以及連接至該集線器裝置112的一或多個記憶體裝置108。記憶體通道114之下游部分(下游通道104)將寫入資料及記憶體操作指令傳輸至集線器裝置112。控制器通道114之上游部分(上游通道106)傳回所請求的讀取資料(本文稱為上游資料封包)。
圖2描繪一替代性例示性實施例,其包括由連接至集線器裝置112之一或多個記憶體模組110建構的記憶體系統,集線器裝置112進一步由菊鏈記憶體通道114連接至記憶體控制器102。在此實施例中,未將集線器裝置112定位於記憶體模組110上;而是使集線器裝置112與記憶體模組110通信。如圖2所描繪,記憶體模組110可經由多點鏈接及/或點對點鏈接與集線器裝置112通信。其他硬體組態為可能的,例如例示性實施例可僅利用一單級菊鏈集線器裝置112及/或記憶體模組110。
圖3描繪具有流程控制邏輯308之集線器裝置112,其由例示性實施例利用以執行本文所描述之處理。可用硬體及/或軟體來建構集線器裝置112及集線器裝置112內之組件。集線器裝置112經由接收器邏輯304(本文亦稱為上游接收器)接收上游通道104上之上游資料封包。上游資料封包係自集線器裝置112發送至控制器102之資料封包,該集線器裝置112係在接收集線器裝置112的下游。可將一上游資料封包發送至驅動器邏輯306(本文亦稱為上游驅動器),以供在上游通道106上朝向控制器102驅動,或者,若上游通道106忙碌,則可將上游資料封包暫時儲存在集線器裝置112上的CCB 310中。上游資料封包之目的地由流程控制邏輯308確定且藉由發送一信號至本端資料多工器312來實施。
在例示性實施例中,CCB 310或緩衝器裝置駐留在集線器裝置112中且(經由接收器邏輯304)安全地擷取在集線器裝置112將其本端資料封包合併至上游通道106上時分流至CCB 310中之上游資料封包傳送。本端資料封包係讀取自附接至由集線器裝置112導引之記憶體模組110之記憶體裝置108的資料封包。此等記憶體裝置108本文亦稱為本端儲存裝置。將自本端儲存裝置讀取之資料(本端資料封包)格式化以用於在上游控制器介面上經由上游驅動器傳回且將其儲存在CCB 310中。格式化包括將本端資料封包串列化成適當訊框格式(例如,見圖5中描繪之例示性訊框格式),及將值插入至識別標籤(來源於讀取請求)、第一傳送欄位,及匯流排循環冗餘碼(CRC)欄位中,在例示性實施例中,本端資料封包之格式化執行為將本端資料封包儲存至CCB 310中之部分。
當在記憶體介面302處接收到一資料封包時,該資料封包在本端資料封包正等待(經由驅動器邏輯306)合併至上游通道106上時被儲存至CCB 310中。資料封包內之識別標籤允許記憶體控制器102將一傳回之讀取資料封包與其對應的讀取資料請求指令相關聯。資料封包亦含有在接近一上游讀取資料訊框(將資料封包格式化為讀取資料訊框)之開始而傳遞的小且易於解碼之"開始"或第一傳送("ft")欄位(本文亦稱為訊框開始指示符),其指示一讀取資料訊框存在於該資料封包中。此由集線器裝置112中之流程控制邏輯308使用以監控通道讀取資料之活動性。
當藉由一本端讀取操作或藉由自下游集線器裝置之先前經分流讀取資料封包(CCB中之資料封包本文稱為經儲存之資料封包)而使得在CCB 310中存在資料時,集線器裝置112將在獲得允許後立即將資料經由驅動器邏輯306合併至上游通道106上。集線器裝置112在上游通道106閒置之任何時候或在當前處於進程中之一資料封包的最後一次傳送之後立即將本端資料合併至上游通道106上。使用此方法始終不會對分讀取資料訊框,但傳送於上游通道106上之仍未抵達集線器裝置112之本端資料多工器312的讀取資料訊框可被搶佔並被分流至CCB 310中。此允許上游通道106上之讀取資料中的間隙最小化,此在實際完全工作負載條件下增加了匯流排效率且產生減少的平均讀取資料等待時間。
當多個讀取資料封包存在於CCB 310中時,集線器裝置112可經組態以發送對應於最早讀取指令之讀取資料封包。此最小化了發送至遠離記憶體控制器102的許多菊鏈位置之集線器裝置112之讀取請求的不當等待時間。亦可實施其他CCB 310卸載優先權排序演算法。舉例而言,讀取資料訊框之識別標籤欄位可含有一優先權欄位。該優先權欄位可用於引導卸載CCB 310。或者,可在請求讀取資料時傳遞優先權資訊。集線器裝置112隨後可將識別標籤與先前記錄之優先權資訊比較以確定CCB 310中接著將發送之位置。亦可使用有時在高優先權資料之前發送低優先權資料以確保低優先權資料不會被已標記有較高優先權之請求完全停止的方法。
圖4為由例示性實施例中位於集線器裝置112中之流程控制邏輯308促進之方法流程。圖4中所描繪的方法執行先佔式本端資料合併且可由包括硬體及/或軟體指令之機件(諸如流程控制邏輯308中之有限狀態器)來實施。該方法始於方塊402且在例示性實施例中週期性地重複(例如,在每次控制器通道傳送之後或上游通道循環之後)。在方塊404處,將記憶體介面302中之任何本端讀取資料封包(亦即,來自附接至集線器裝置112之記憶體模組110上的記憶體裝置108)載入至CCB 310中。此確保流程控制邏輯308清楚並管理本端讀取資料之上游驅動。在方塊406處,判定CCB 310中是否存在資料。若CCB 310中不存在資料,則在方塊412處將資料自接收器邏輯304投送至驅動器邏輯306。由流程控制邏輯308導引該投送,其方式係:設定本端資料多工器312,以將上游資料封包發送至驅動器邏輯306,來將上游資料封包朝向控制器102驅動至上游通道106上。處理隨後繼續至414,在下一上游通道循環時處理將於此處發送回方塊404。
若在方塊406處判定在CCB 310中存在資料,則執行方塊408來判定一上游通道操作是否在進行中(亦即,是上游資料封包還是本端讀取資料封包處於正經由驅動器邏輯306驅動至上游通道106上之中)。在上游通道操作在進行中(亦即,驅動器忙碌)時,處理繼續至方塊412處。在方塊412處,藉由設定本端資料多工器312,將上游資料封包發送至驅動器邏輯306,來將上游讀取資料封包自接收器邏輯304投送至驅動器邏輯306。或者,在上游通道操作不在進行中(亦即,驅動器閒置)且CCB 310中存在資料時處理繼續至方塊410處。在方塊410處,將來自CCB 310之資料驅動至上游通道106,同時將自上游通道106接收於接收器邏輯304中之任何資料封包分流(儲存)至下一可用CCB 310位置中。由導引上游資料封包以供載入至CCB 310中之流程控制邏輯308來執行分流。處理隨後繼續至414處,其在下一上游通道循環時將處理發送回方塊404。
圖5為用於上游通道106上之上游資料封包及本端讀取資料封包的例示性讀取資料訊框格式。在圖5中所描繪之訊框格式使用21個信號線道且每一封包包括16個傳送。其包括一個位元之第一開始指示符502及識別標籤504,以及256個位元(32B)之讀取資料506及用於傳輸誤差偵測之匯流排CRC 508。可使用信號線道及傳送深度之其他組合,來建立包括與本發明相容之一訊框開始指示符、讀取資料識別標籤及讀取資料的訊框格式。
例示性實施例係關於由連接至記憶體模組或包含在記憶體模組上之菊鏈集線器邏輯裝置組成的電腦記憶體系統。集線器被菊鏈在一記憶體控制器通道上且進一步被附接至記憶體模組上的記憶體裝置。記憶體控制器發送讀取資料請求至將此讀取資料自記憶體模組合並至記憶體通道上的集線器。使用通道緩衝器及封包識別標籤,集線器能夠在記憶體控制器不可預測之時間處及在可能搶佔較早發送之讀取請求的時間處傳回讀取資料,而無需釋放或破壞在通道上傳回至記憶體控制器之任何讀取資料。
例示性實施例可用於藉由更充分利用上游通道來最佳化平均讀取資料等待時間。經由使用CCB、具有識別標籤之讀取資料訊框格式及一先佔式資料合併技術,可執行不確定讀取資料等待時間來更充分利用控制器通道。
如上所描述,本發明之實施例可以電腦實施方法及設備之形式加以體現以實現該等過程。本發明之實施例亦可以含有包括在有形媒體(諸如,軟碟、CD-ROM(緊密光碟-唯讀記憶體)、硬碟機,或任何其他電腦可讀儲存媒體)中之指令的電腦程式碼形式加以體現,其中,當將電腦程式碼載入至一電腦中且由該電腦執行時,電腦變成用於實現本發明的設備。本發明亦可以(例如)儲存在一儲存媒體中、載入至一電腦中及/或由一電腦執行或經由一些傳輸媒體(諸如經由電線或電纜、經由光纖或經由電磁輻射)傳輸之任一電腦程式碼形式加以體現,其中,當將電腦程式碼載入至一電腦中且由該電腦執行時,電腦變成用於實現本發明的設備。當實施於一通用微處理器上時,電腦程式碼段組態該微處理器以建立特定邏輯電路。
雖然已參考例示性實施例描述了本發明,但熟習此項技術者應瞭解可進行各種改變且可以均等物取代本發明元件而不脫離本發明之範疇。此外,可進行許多修改以使一特定情況或材料適合本發明之教示而不脫離其本質範疇。因此,希望本發明不限於作為預期用於執行本發明之最佳模式所揭示的特定實施例,而希望本發明包括屬於所附申請專利範圍之範疇內的所有實施例。此外,術語第一、第二等的使用並不表示任何次序或重要性,術語第一、第二等而是用於將一個元件與另一元件區分開。
102...記憶體控制器
104...下游通道
106...上游通道
108...記憶體裝置
110...記憶體模組
112...集線器裝置
114...記憶體通道
304...接收器邏輯
306...驅動器邏輯
308...流程控制邏輯
310...控制器通道緩衝器
312...本端資料多工器
502...第一開始指示符
504...識別標籤
506...讀取資料
508...匯流排循環冗餘碼
圖1描繪一例示性記憶體系統,其具有以點對點鏈接之多級菊鏈記憶體模組;圖2描繪一例示性記憶體系統,其具有由一菊鏈通道連接至一記憶體模組及聯接至一記憶體控制器的集線器裝置;圖3描繪一可由例示性實施例利用的集線器邏輯裝置;圖4描繪由例示性實施例中之集線器邏輯裝置實施的例示性方法流程;及圖5描繪一可由例示性實施例利用的讀取資料格式。

Claims (15)

  1. 一種用於在一記憶體系統中提供不確定讀取資料等待時間之方法,該方法包含:判定是否已接收到一本端資料封包;若已接收到一本端資料封包,則將該本端資料封包儲存至一緩衝器裝置中;判定該緩衝器裝置是否含有一或多個資料封包;判定一用於經由一上游通道將該一或多個資料封包傳輸至一記憶體控制器之上游驅動器是否閒置;若該緩衝器裝置含有一或多個資料封包且該上游驅動器閒置,則將該一或多個資料封包中一經選擇資料封包傳輸至該上游驅動器;判定是否已接收到一上游資料封包,該上游資料封包呈一包括於一第一傳輸中一單一位元之訊框開始指示符及一識別標籤之多個傳輸之訊框格式,該記憶體控制器使用該訊框格式以使該上游資料封包與其對應的讀取指令相關聯,該識別標籤分佈於整個上游資料封包之多個傳輸之每一傳輸;若已接收到該上游資料封包且該上游驅動器並非閒置,則將該上游資料封包儲存至該緩衝器裝置中,該緩衝器裝置經組態以儲存該本端資料封包及該上游資料封包之兩者作為該一或多個資料封包;若已接收到該上游資料封包且該緩衝器裝置不含有一或多個資料封包且該上游驅動器閒置,則將該上游資料 封包傳輸至該上游驅動器;及若該上游驅動器並非閒置則繼續傳輸進程中之任何資料封包。
  2. 如請求項1之方法,其中在一週期性基礎上執行該判定是否已接收到該本端資料封包、該判定該緩衝器裝置是否含有一或多個資料封包、該判定該上游驅動器是否閒置及該判定是否已接收到該上游資料封包。
  3. 如請求項2之方法,其中該週期性基礎係每一上游通道循環一次。
  4. 如請求項1之方法,其中該經選擇資料封包基於一優先權排序演算法被選擇以傳輸。
  5. 如請求項4之方法,其中該優先權排序演算法係基於對應於該經選擇資料封包之該讀取指令的一齡期來選擇該經選擇資料封包。
  6. 如請求項4之方法,其中該優先權排序演算法係基於與該經選擇資料封包相關聯的一優先權來選擇該經選擇資料封包,且進一步其中該經選擇資料封包呈該多個傳輸訊框格式,其包括該訊框開始指示符及該識別標籤,該優先權包含於該識別標籤之一優先權欄位中,且該優先權排序演算法週期性地在一較高優先權資料封包之前選擇一較低優先權資料封包作為該經選擇資料封包。
  7. 如請求項1之方法,其中該多個傳輸之訊框格式進一步包括一匯流排循環冗餘碼(CRC)欄位,該匯流排CRC欄位分佈於該多個傳輸不包括該第一傳輸中,且與該訊框 開始指示符位於一共同位元位置。
  8. 如請求項7之方法,其中該將該本端資料封包儲存至該緩衝器裝置包括格式化該本端資料封包,該格式化包括將該本端資料封包串列化成該多個傳輸之訊框格式及將值插入至該訊框開始指示符、該識別標籤及該匯流排CRC欄位。
  9. 一種在一記憶體系統中之集線器裝置,該集線器裝置包含:一用於接收資料封包之裝置,該裝置包括一上游接收器,其用於自一下游集線器裝置接收上游資料封包;及一記憶體介面,其用於自一本端儲存裝置接收本端資料封包,其中每一資料封包呈一包括於一第一傳輸中一單一位元之訊框開始指示符及一識別標籤之多個傳輸之訊框格式,一記憶體控制器使用該訊框格式以使該資料封包與其對應的讀取指令相關聯,該識別標籤分佈於整個資料封包之多個傳輸之每一傳輸;一上游驅動器,其用於經由一上游通道將該等資料封包傳輸至該記憶體控制器;及一包括用於促進以下根據請求項1至8項任一方法之各項之指令的機件。
  10. 一種用於在一記憶體系統中之記憶體控制器,該記憶體控制器包含:一上游通道,其用於在一不可預測之時間自一下游集線器裝置接收上游資料封包,呈一多個傳輸訊框格式之 每一封包包括於一第一傳輸中單一位元之訊框開始指示符及一識別標籤,該識別標籤分佈於整個資料封包之多個傳輸之每一傳輸;及用於在該記憶體控制器中用於使該等接收資料封包與其對應的讀取資料請求指令相關聯之邏輯,其使用包括於該等讀取資料封包內之識別標籤。
  11. 如請求項10之記憶體控制器,其中每一讀取資料封包在相對於其相對應之讀取請求指令之一不可預測之時間接收。
  12. 如請求項10之記憶體控制器,其中該等讀取資料封包以一相對於該等讀取資料封包相對應之讀取請求指令之一發送次序不可預測之順序接收。
  13. 如請求項10之記憶體控制器,其中該多個傳輸訊框之格式進一步包括一匯流排循環冗餘碼(CRC)欄位,該匯流排CRC欄位分佈於該多個傳輸不包括該第一傳輸中,且與該訊框開始指示符位於一共同位元位置。
  14. 一種記憶體系統,其包含:一記憶體控制器,其包含:一上游通道,其用於在一個或多個讀取資料封包相對應之讀取請求指令之一不可預測之時間接收該一個或多個讀取資料封包,呈一多個傳輸之訊框格式之每一讀取資料封包包括於一第一傳輸中之一單一位元訊框開始指示符及一識別標籤,該識別標籤分佈於整個上游讀取資料封包之多個傳輸之每一傳輸;及 若干電腦指令,其用於在該記憶體控制器中使該等接收一或多個讀取資料封包與對應的一或多個讀取資料請求指令相關聯,其使用包括於該一或多個讀取資料封包每一者內之識別標籤;一或多個記憶體模組,其具有藉由一菊鏈通道連接至該記憶體控制器之一或多個記憶體裝置,其中該讀取資料傳回至該記憶體控制器作為該一或多個讀取資料封包;及在該等記憶體模組上用於緩衝位址、指令及資料之一或多個集線器裝置,該等集線器裝置包括控制器通道緩衝器,該等控制器通道緩衝器與一先佔式本端資料合併邏輯結合使用,以最小化讀取資料等待時間及使得能將不確定讀取資料傳回時間提供至該記憶體控制器。
  15. 一種記憶體系統,其包含:一記憶體控制器,其包含:一上游通道,其用於在一個或多個讀取資料封包相對應之讀取請求指令之一不可預測之時間接收該一個或多個讀取資料封包,呈一多個傳輸之訊框格式之每一讀取資料封包包括於一第一傳輸中之一單一位元訊框開始指示符及一識別標籤,該識別標籤分佈於整個上游讀取資料封包之多個傳輸之每一傳輸;及若干電腦指令,其用於在該記憶體控制器中使該等接收一或多個讀取資料封包與對應的一或多個讀取資料請求指令相關聯,其使用包括於該一或多個讀取資料 封包每一者內之識別標籤;一或多個記憶體模組,其具有藉由一菊鏈通道連接至該記憶體控制器之一或多個記憶體裝置,其中該讀取資料傳回至該記憶體控制器作為該一或多個讀取資料封包;及在該等記憶體模組上用於緩衝位址、指令及資料之一或多個集線器裝置,該等集線器裝置包括控制器通道緩衝器,該等控制器通道緩衝器與一先佔式本端資料合併邏輯結合使用,以最小化讀取資料等待時間及使得能將不確定讀取資料傳回時間提供至該記憶體控制器,其中該一或多個集線器裝置之每一者包括一上游驅動器且執行根據請求項1至8項任一方法。
TW095143452A 2005-11-28 2006-11-23 用於提供不確定讀取資料等待時間之方法、集線器裝置、記憶體控制器及記憶體系統 TWI399649B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/289,193 US7685392B2 (en) 2005-11-28 2005-11-28 Providing indeterminate read data latency in a memory system

Publications (2)

Publication Number Publication Date
TW200739353A TW200739353A (en) 2007-10-16
TWI399649B true TWI399649B (zh) 2013-06-21

Family

ID=37698119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095143452A TWI399649B (zh) 2005-11-28 2006-11-23 用於提供不確定讀取資料等待時間之方法、集線器裝置、記憶體控制器及記憶體系統

Country Status (6)

Country Link
US (6) US7685392B2 (zh)
EP (1) EP1958073A1 (zh)
JP (1) JP5186382B2 (zh)
CN (1) CN101300556B (zh)
TW (1) TWI399649B (zh)
WO (1) WO2007060250A1 (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7296129B2 (en) * 2004-07-30 2007-11-13 International Business Machines Corporation System, method and storage medium for providing a serialized memory interface with a bus repeater
US7299313B2 (en) 2004-10-29 2007-11-20 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US7331010B2 (en) 2004-10-29 2008-02-12 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US7512762B2 (en) 2004-10-29 2009-03-31 International Business Machines Corporation System, method and storage medium for a memory subsystem with positional read data latency
US7478259B2 (en) 2005-10-31 2009-01-13 International Business Machines Corporation System, method and storage medium for deriving clocks in a memory system
US7558124B2 (en) * 2005-11-16 2009-07-07 Montage Technology Group, Ltd Memory interface to bridge memory buses
US7368950B2 (en) * 2005-11-16 2008-05-06 Montage Technology Group Limited High speed transceiver with low power consumption
US7577039B2 (en) * 2005-11-16 2009-08-18 Montage Technology Group, Ltd. Memory interface to bridge memory buses
US7685392B2 (en) 2005-11-28 2010-03-23 International Business Machines Corporation Providing indeterminate read data latency in a memory system
JP5065618B2 (ja) * 2006-05-16 2012-11-07 株式会社日立製作所 メモリモジュール
US7640386B2 (en) * 2006-05-24 2009-12-29 International Business Machines Corporation Systems and methods for providing memory modules with multiple hub devices
US7345901B2 (en) * 2006-07-26 2008-03-18 International Business Machines Corporation Computer system having daisy chained self timed memory chips
US7345900B2 (en) * 2006-07-26 2008-03-18 International Business Machines Corporation Daisy chained memory system
US7669086B2 (en) 2006-08-02 2010-02-23 International Business Machines Corporation Systems and methods for providing collision detection in a memory system
US7870459B2 (en) 2006-10-23 2011-01-11 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US7721140B2 (en) 2007-01-02 2010-05-18 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US20080298468A1 (en) * 2007-06-04 2008-12-04 Mediaphy Corporation Error tagging for decoder
US8930593B2 (en) * 2007-11-26 2015-01-06 Spansion Llc Method for setting parameters and determining latency in a chained device system
US8332572B2 (en) 2008-02-05 2012-12-11 Spansion Llc Wear leveling mechanism using a DRAM buffer
US20100005218A1 (en) * 2008-07-01 2010-01-07 International Business Machines Corporation Enhanced cascade interconnected memory system
US7969985B1 (en) * 2008-09-03 2011-06-28 Motion Engineering, Inc. Method and system for scheduling, transporting, and receiving inbound packets efficiently in networks with cyclic packet scheduling
DE102008050102B4 (de) * 2008-10-06 2010-11-04 Phoenix Contact Gmbh & Co. Kg Kommunikationsentität zur Kommunikation über ein busorientiertes Kommunikationsnetzwerk
US20100122003A1 (en) * 2008-11-10 2010-05-13 Nec Laboratories America, Inc. Ring-based high speed bus interface
US8472199B2 (en) * 2008-11-13 2013-06-25 Mosaid Technologies Incorporated System including a plurality of encapsulated semiconductor chips
CN101425052B (zh) * 2008-12-04 2010-06-09 中国科学院计算技术研究所 一种事务性内存的实现方法
US9123409B2 (en) * 2009-06-11 2015-09-01 Micron Technology, Inc. Memory device for a hierarchical memory architecture
JP5372687B2 (ja) * 2009-09-30 2013-12-18 ソニー株式会社 送信装置、送信方法、受信装置および受信方法
US8327052B2 (en) 2009-12-23 2012-12-04 Spansion Llc Variable read latency on a serial memory bus
US8631271B2 (en) 2010-06-24 2014-01-14 International Business Machines Corporation Heterogeneous recovery in a redundant memory system
US8898511B2 (en) 2010-06-24 2014-11-25 International Business Machines Corporation Homogeneous recovery in a redundant memory system
US8484529B2 (en) 2010-06-24 2013-07-09 International Business Machines Corporation Error correction and detection in a redundant memory system
US8549378B2 (en) 2010-06-24 2013-10-01 International Business Machines Corporation RAIM system using decoding of virtual ECC
TWI462103B (zh) * 2011-01-19 2014-11-21 Mstar Semiconductor Inc 記憶體控制器、記憶體控制方法及記憶體系統
US8522122B2 (en) 2011-01-29 2013-08-27 International Business Machines Corporation Correcting memory device and memory channel failures in the presence of known memory device failures
CN103164366A (zh) * 2011-12-09 2013-06-19 鸿富锦精密工业(深圳)有限公司 具有通用输入输出扩展器的电子设备及信号侦测方法
US8880819B2 (en) 2011-12-13 2014-11-04 Micron Technology, Inc. Memory apparatuses, computer systems and methods for ordering memory responses
US8938656B2 (en) 2012-09-14 2015-01-20 Sandisk Technologies Inc. Data storage device with intermediate ECC stage
US9424314B2 (en) * 2012-10-19 2016-08-23 Oracle International Corporation Method and apparatus for joining read requests
US9081700B2 (en) * 2013-05-16 2015-07-14 Western Digital Technologies, Inc. High performance read-modify-write system providing line-rate merging of dataframe segments in hardware
EP3058571A1 (en) 2013-10-15 2016-08-24 Rambus Inc. Load reduced memory module
US9165639B2 (en) * 2013-11-11 2015-10-20 Rambus Inc. High capacity memory system using standard controller component
USD733145S1 (en) * 2014-03-14 2015-06-30 Kingston Digital, Inc. Memory module
USD735201S1 (en) * 2014-07-30 2015-07-28 Kingston Digital, Inc. Memory module
US10223309B2 (en) * 2014-12-19 2019-03-05 Rambus Inc. Dynamic random access memory (DRAM) component for high-performance, high-capacity registered memory modules
AT14695U1 (de) * 2015-01-19 2016-04-15 Bachmann Gmbh Serielles Bussystem mit Koppelmodulen
KR102425470B1 (ko) * 2015-04-06 2022-07-27 에스케이하이닉스 주식회사 데이터 저장 장치 및 그것의 동작 방법
CN107122134B (zh) * 2017-04-25 2020-01-03 杭州迪普科技股份有限公司 一种数据读取的方法和装置
US10970239B2 (en) * 2018-03-16 2021-04-06 Intel Corporation Hub circuit for a DIMM having multiple components that communicate with a host
CN110612653B (zh) * 2018-04-16 2024-03-15 Lg电子株式会社 用于在无线功率传输系统中执行数据流发送的装置和方法
US11178055B2 (en) 2019-06-14 2021-11-16 Intel Corporation Methods and apparatus for providing deterministic latency for communications interfaces
US11258755B2 (en) * 2019-09-19 2022-02-22 GM Global Technology Operations LLC Method and system for processing coherent data
JP2023044544A (ja) 2021-09-17 2023-03-30 キオクシア株式会社 メモリシステム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6507592B1 (en) * 1999-07-08 2003-01-14 Cisco Cable Products And Solutions A/S (Av) Apparatus and a method for two-way data communication
US20030118044A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Queue scheduling mechanism in a data packet transmission system
TW573414B (en) * 2000-10-25 2004-01-21 Qualcomm Inc Method and apparatus for high rate packet data and low delay data transmissions
US20050086441A1 (en) * 2003-10-20 2005-04-21 Meyer James W. Arbitration system and method for memory responses in a hub-based memory system

Family Cites Families (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US676389A (en) * 1900-10-20 1901-06-11 American Tool Works Co Radial drill.
NL220449A (zh) 1956-09-04
US3333253A (en) 1965-02-01 1967-07-25 Ibm Serial-to-parallel and parallel-toserial buffer-converter using a core matrix
US3395400A (en) 1966-04-26 1968-07-30 Bell Telephone Labor Inc Serial to parallel data converter
US4028675A (en) * 1973-05-14 1977-06-07 Hewlett-Packard Company Method and apparatus for refreshing semiconductor memories in multi-port and multi-module memory system
US3825904A (en) 1973-06-08 1974-07-23 Ibm Virtual memory system
US4135240A (en) * 1973-07-09 1979-01-16 Bell Telephone Laboratories, Incorporated Protection of data file contents
US4150428A (en) 1974-11-18 1979-04-17 Northern Electric Company Limited Method for providing a substitute memory in a data processing system
US4472780A (en) 1981-09-28 1984-09-18 The Boeing Company Fly-by-wire lateral control system
US4453215A (en) 1981-10-01 1984-06-05 Stratus Computer, Inc. Central processing apparatus for fault-tolerant computing
US4475194A (en) 1982-03-30 1984-10-02 International Business Machines Corporation Dynamic replacement of defective memory words
US4641263A (en) 1982-05-17 1987-02-03 Digital Associates Corporation Controller system or emulating local parallel minicomputer/printer interface and transferring serial data to remote line printer
US4479214A (en) 1982-06-16 1984-10-23 International Business Machines Corporation System for updating error map of fault tolerant memory
US4486739A (en) 1982-06-30 1984-12-04 International Business Machines Corporation Byte oriented DC balanced (0,4) 8B/10B partitioned block transmission code
JPS59153353A (ja) 1983-02-22 1984-09-01 Nec Corp デ−タ伝送システム
US4833605A (en) * 1984-08-16 1989-05-23 Mitsubishi Denki Kabushiki Kaisha Cascaded information processing module having operation unit, parallel port, and serial port for concurrent data transfer and data processing
US4683555A (en) * 1985-01-22 1987-07-28 Texas Instruments Incorporated Serial accessed semiconductor memory with reconfigureable shift registers
US4740916A (en) * 1985-12-19 1988-04-26 International Business Machines Corporation Reconfigurable contiguous address space memory system including serially connected variable capacity memory modules and a split address bus
US4723120A (en) * 1986-01-14 1988-02-02 International Business Machines Corporation Method and apparatus for constructing and operating multipoint communication networks utilizing point-to point hardware and interfaces
US4704717A (en) * 1986-07-22 1987-11-03 Prime Computer, Inc. Receive message processor for a solicited message packet transfer system
DE3767984D1 (de) * 1986-10-16 1991-03-14 Siemens Ag Verfahren und anordnung zur versorgung einer taktleitung mit einem von zwei taktsignalen in abhaengigkeit vom pegel eines der beiden taktsignale.
JPS63231550A (ja) 1987-03-19 1988-09-27 Hitachi Ltd 多重仮想空間制御方式
US4803485A (en) * 1987-03-23 1989-02-07 Amp Incorporated Lan communication system and medium adapter for use therewith
US4782487A (en) 1987-05-15 1988-11-01 Digital Equipment Corporation Memory test method and apparatus
US4943984A (en) * 1988-06-24 1990-07-24 International Business Machines Corporation Data processing system parallel data bus having a single oscillator clocking apparatus
US4964129A (en) 1988-12-21 1990-10-16 Bull Hn Information Systems Inc. Memory controller with error logging
US4964130A (en) 1988-12-21 1990-10-16 Bull Hn Information Systems Inc. System for determining status of errors in a memory subsystem
EP0386506A3 (en) 1989-03-06 1991-09-25 International Business Machines Corporation Low cost symbol error correction coding and decoding
JP3038781B2 (ja) * 1989-04-21 2000-05-08 日本電気株式会社 メモリアクセス制御回路
US5053947A (en) 1989-09-29 1991-10-01 Allegro Microsystems, Inc. Extended multistation bus system and method
US5279813A (en) 1989-10-26 1994-01-18 Colgate-Palmolive Company Plaque inhibition with antiplaque oral composition dispensed from container having polymeric material in contact and compatible with the composition
US5206946A (en) * 1989-10-27 1993-04-27 Sand Technology Systems Development, Inc. Apparatus using converters, multiplexer and two latches to convert SCSI data into serial data and vice versa
JP2724893B2 (ja) * 1989-12-28 1998-03-09 三菱電機株式会社 半導体集積回路装置
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5517626A (en) 1990-05-07 1996-05-14 S3, Incorporated Open high speed bus for microcomputer system
GB2246494B (en) 1990-05-25 1994-08-31 Silicon Systems Inc Method and apparatus for serial communications
CA2045789A1 (en) 1990-06-29 1991-12-30 Richard Lee Sites Granularity hint for translation buffer in high performance processor
CA2045790A1 (en) 1990-06-29 1991-12-30 Richard Lee Sites Branch prediction in high-performance processor
US5530941A (en) 1990-08-06 1996-06-25 Ncr Corporation System and method for prefetching data from a main computer memory into a cache memory
US5357621A (en) 1990-09-04 1994-10-18 Hewlett-Packard Company Serial architecture for memory module control
US5522064A (en) * 1990-10-01 1996-05-28 International Business Machines Corporation Data processing apparatus for dynamically setting timings in a dynamic memory system
US5287531A (en) * 1990-10-31 1994-02-15 Compaq Computer Corp. Daisy-chained serial shift register for determining configuration of removable circuit boards in a computer system
US5214747A (en) * 1990-12-24 1993-05-25 Eastman Kodak Company Segmented neural network with daisy chain control
JP2999845B2 (ja) 1991-04-25 2000-01-17 沖電気工業株式会社 シリアルアクセスメモリの倍速コントロール方式
FR2683924B1 (fr) * 1991-11-18 1997-01-03 Bull Sa Memoire integree, son procede de gestion et systeme informatique en resultant.
US5347270A (en) * 1991-12-27 1994-09-13 Mitsubishi Denki Kabushiki Kaisha Method of testing switches and switching circuit
US5387911A (en) * 1992-02-21 1995-02-07 Gleichert; Marc C. Method and apparatus for transmitting and receiving both 8B/10B code and 10B/12B code in a switchable 8B/10B transmitter and receiver
US5715407A (en) * 1992-03-06 1998-02-03 Rambus, Inc. Process and apparatus for collision detection on a parallel bus by monitoring a first line of the bus during even bus cycles for indications of overlapping packets
US5375127A (en) 1992-03-25 1994-12-20 Ncr Corporation Method and apparatus for generating Reed-Soloman error correcting code across multiple word boundaries
US5265212A (en) 1992-04-01 1993-11-23 Digital Equipment Corporation Sharing of bus access among multiple state machines with minimal wait time and prioritization of like cycle types
EP0567707A1 (en) * 1992-04-30 1993-11-03 International Business Machines Corporation Implementation of column redundancy in a cache memory architecture
US5270964A (en) 1992-05-19 1993-12-14 Sun Microsystems, Inc. Single in-line memory module
US5410545A (en) 1992-07-28 1995-04-25 Digital Equipment Corporation Long-term storage of controller performance
WO1994003901A1 (en) * 1992-08-10 1994-02-17 Monolithic System Technology, Inc. Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration
US5594925A (en) 1993-01-05 1997-01-14 Texas Instruments Incorporated Method and apparatus determining order and identity of subunits by inputting bit signals during first clock period and reading configuration signals during second clock period
US5544309A (en) 1993-04-22 1996-08-06 International Business Machines Corporation Data processing system with modified planar for boundary scan diagnostics
US5531135A (en) * 1993-06-11 1996-07-02 Volkswagon Ag Pedal arrangement for a motor vehicle
JP3489147B2 (ja) 1993-09-20 2004-01-19 株式会社日立製作所 データ転送方式
DE69422678T2 (de) 1993-10-12 2001-02-22 Matsushita Electric Ind Co Ltd Verschlüsselungssystem, Verschlüsselungsgerät und Entschlüsselungsgerät
SE502576C2 (sv) 1993-11-26 1995-11-13 Ellemtel Utvecklings Ab Feltolerant kösystem
US5845310A (en) * 1993-12-15 1998-12-01 Hewlett-Packard Co. System and methods for performing cache latency diagnostics in scalable parallel processing architectures including calculating CPU idle time and counting number of cache misses
US5822749A (en) 1994-07-12 1998-10-13 Sybase, Inc. Database system with methods for improving query performance with cache optimization strategies
JPH0887451A (ja) 1994-09-09 1996-04-02 Internatl Business Mach Corp <Ibm> アドレス変換を管理する方法およびアドレス変換マネージャ
US5611055A (en) * 1994-09-27 1997-03-11 Novalink Technologies Method and apparatus for implementing a PCMCIA auxiliary port connector for selectively communicating with peripheral devices
US5475690A (en) 1994-11-10 1995-12-12 Digital Equipment Corporation Delay compensated signal propagation
US6002411A (en) 1994-11-16 1999-12-14 Interactive Silicon, Inc. Integrated video and memory controller with data processing and graphical processing capabilities
US6170047B1 (en) * 1994-11-16 2001-01-02 Interactive Silicon, Inc. System and method for managing system memory and/or non-volatile memory using a memory controller with integrated compression and decompression capabilities
US5513135A (en) * 1994-12-02 1996-04-30 International Business Machines Corporation Synchronous memory packaged in single/dual in-line memory module and method of fabrication
JP3467880B2 (ja) 1994-12-26 2003-11-17 ソニー株式会社 クロック信号発生装置
US5881154A (en) 1995-01-17 1999-03-09 Kokusai Denshin Denwa Co., Ltd. Data scramble transmission system
US5629685A (en) 1995-02-23 1997-05-13 International Business Machines Corporation Segmentable addressable modular communication network hubs
US6446224B1 (en) 1995-03-03 2002-09-03 Fujitsu Limited Method and apparatus for prioritizing and handling errors in a computer system
IN188196B (zh) * 1995-05-15 2002-08-31 Silicon Graphics Inc
US5546023A (en) 1995-06-26 1996-08-13 Intel Corporation Daisy chained clock distribution scheme
US5852617A (en) 1995-12-08 1998-12-22 Samsung Electronics Co., Ltd. Jtag testing of buses using plug-in cards with Jtag logic mounted thereon
US5754804A (en) 1996-01-30 1998-05-19 International Business Machines Corporation Method and system for managing system bus communications in a data processing system
JPH09231130A (ja) 1996-02-26 1997-09-05 Mitsubishi Electric Corp マイクロコンピュータ
US5764155A (en) * 1996-04-03 1998-06-09 General Electric Company Dynamic data exchange server
JP3710198B2 (ja) * 1996-04-18 2005-10-26 沖電気工業株式会社 Stm−n信号の誤り訂正符号化・復号化方法、stm−n信号の誤り訂正符号化回路及びstm−n信号の誤り訂正復号化回路
US5661677A (en) * 1996-05-15 1997-08-26 Micron Electronics, Inc. Circuit and method for on-board programming of PRD Serial EEPROMS
US5917760A (en) 1996-09-20 1999-06-29 Sldram, Inc. De-skewing data signals in a memory system
US5930359A (en) 1996-09-23 1999-07-27 Motorola, Inc. Cascadable content addressable memory and system
JPH10173122A (ja) * 1996-12-06 1998-06-26 Mitsubishi Electric Corp メモリモジュール
US20020103988A1 (en) 1996-12-18 2002-08-01 Pascal Dornier Microprocessor with integrated interfaces to system memory and multiplexed input/output bus
US5926838A (en) * 1997-03-19 1999-07-20 Micron Electronics Interface for high speed memory
US5870320A (en) 1997-06-23 1999-02-09 Sun Microsystems, Inc. Method for reducing a computational result to the range boundaries of a signed 16-bit integer in case of overflow
US6138213A (en) * 1997-06-27 2000-10-24 Advanced Micro Devices, Inc. Cache including a prefetch way for storing prefetch cache lines and configured to move a prefetched cache line to a non-prefetch way upon access to the prefetched cache line
US6292903B1 (en) * 1997-07-09 2001-09-18 International Business Machines Corporation Smart memory interface
US6011732A (en) 1997-08-20 2000-01-04 Micron Technology, Inc. Synchronous clock generator including a compound delay-locked loop
US6128746A (en) 1997-08-26 2000-10-03 International Business Machines Corporation Continuously powered mainstore for large memory subsystems
US6230236B1 (en) 1997-08-28 2001-05-08 Nortel Networks Corporation Content addressable memory system with cascaded memories and self timed signals
JP3445476B2 (ja) 1997-10-02 2003-09-08 株式会社東芝 半導体メモリシステム
US6378018B1 (en) * 1997-10-10 2002-04-23 Intel Corporation Memory device and system including a low power interface
US6347354B1 (en) * 1997-10-10 2002-02-12 Rambus Incorporated Apparatus and method for maximizing information transfers over limited interconnect resources
US6085276A (en) 1997-10-24 2000-07-04 Compaq Computers Corporation Multi-processor computer system having a data switch with simultaneous insertion buffers for eliminating arbitration interdependencies
US6851036B1 (en) 1997-11-06 2005-02-01 Renesas Technology Corp. Method and apparatus for controlling external devices through address translation buffer
US5973591A (en) 1997-11-19 1999-10-26 Schwartz; David Electronic signaling system
US6018817A (en) 1997-12-03 2000-01-25 International Business Machines Corporation Error correcting code retrofit method and apparatus for multiple memory configurations
US5917780A (en) * 1997-12-03 1999-06-29 Daniel Robbins Watch having a multiplicity of band attachment positions and wristband for use therewith
US5995988A (en) * 1997-12-04 1999-11-30 Xilinx, Inc. Configurable parallel and bit serial load apparatus
AU1798999A (en) 1997-12-05 1999-06-28 Intel Corporation Memory system including a memory module having a memory module controller
US6145028A (en) 1997-12-11 2000-11-07 Ncr Corporation Enhanced multi-pathing to an array of storage devices
US7373440B2 (en) * 1997-12-17 2008-05-13 Src Computers, Inc. Switch/network adapter port for clustered computers employing a chain of multi-adaptive processors in a dual in-line memory module format
US5987555A (en) 1997-12-22 1999-11-16 Compaq Computer Corporation Dynamic delayed transaction discard counter in a bus bridge of a computer system
US5995998A (en) 1998-01-23 1999-11-30 Sun Microsystems, Inc. Method, apparatus and computer program product for locking interrelated data structures in a multi-threaded computing environment
US6044483A (en) 1998-01-29 2000-03-28 International Business Machines Corporation Error propagation operating mode for error correcting code retrofit apparatus
US7024518B2 (en) 1998-02-13 2006-04-04 Intel Corporation Dual-port buffer-to-memory interface
US6198304B1 (en) 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
US6096091A (en) * 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
US6185718B1 (en) 1998-02-27 2001-02-06 International Business Machines Corporation Memory card design with parity and ECC for non-parity and non-ECC systems
US5959914A (en) 1998-03-27 1999-09-28 Lsi Logic Corporation Memory controller with error correction memory test application
US6643745B1 (en) 1998-03-31 2003-11-04 Intel Corporation Method and apparatus for prefetching data into cache
US5870325A (en) * 1998-04-14 1999-02-09 Silicon Graphics, Inc. Memory system with multiple addressing and control busses
US6173382B1 (en) 1998-04-28 2001-01-09 International Business Machines Corporation Dynamic configuration of memory module using modified presence detect data
US6003121A (en) 1998-05-18 1999-12-14 Intel Corporation Single and multiple channel memory detection and sizing
US6216247B1 (en) 1998-05-29 2001-04-10 Intel Corporation 32-bit mode for a 64-bit ECC capable memory subsystem
DE69817333T2 (de) 1998-06-05 2004-06-09 International Business Machines Corp. Verfahren und Vorrichtung zum Laden von Befehlskodes in einen Speicher und zum Verbinden dieser Befehlskodes
EP0964526B1 (en) 1998-06-08 2003-09-03 Texas Instruments Incorporated Data forwarding for communication of serial and parallel data
JPH11353228A (ja) * 1998-06-10 1999-12-24 Mitsubishi Electric Corp メモリモジュールシステム
US6170059B1 (en) * 1998-07-10 2001-01-02 International Business Machines Corporation Tracking memory modules within a computer system
US6260127B1 (en) * 1998-07-13 2001-07-10 Compaq Computer Corporation Method and apparatus for supporting heterogeneous memory in computer systems
US6505305B1 (en) 1998-07-16 2003-01-07 Compaq Information Technologies Group, L.P. Fail-over of multiple memory blocks in multiple memory modules in computer system
US6021076A (en) 1998-07-16 2000-02-01 Rambus Inc Apparatus and method for thermal regulation in memory subsystems
US6496540B1 (en) 1998-07-22 2002-12-17 International Business Machines Corporation Transformation of parallel interface into coded format with preservation of baud-rate
US6158040A (en) 1998-07-29 2000-12-05 Neomagic Corp. Rotated data-aligmnent in wade embedded DRAM for page-mode column ECC in a DVD controller
US6272609B1 (en) 1998-07-31 2001-08-07 Micron Electronics, Inc. Pipelined memory controller
JP4083302B2 (ja) 1998-08-12 2008-04-30 株式会社東芝 動画像スクランブル/デスクランブル装置
US6587912B2 (en) 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
US5995405A (en) 1998-10-27 1999-11-30 Micron Technology, Inc. Memory module with flexible serial presence detect configuration
US6226729B1 (en) 1998-11-03 2001-05-01 Intel Corporation Method and apparatus for configuring and initializing a memory device and a memory channel
US6442698B2 (en) 1998-11-04 2002-08-27 Intel Corporation Method and apparatus for power management in a memory subsystem
US6349390B1 (en) 1999-01-04 2002-02-19 International Business Machines Corporation On-board scrubbing of soft errors memory module
US6233639B1 (en) 1999-01-04 2001-05-15 International Business Machines Corporation Memory card utilizing two wire bus
US6357018B1 (en) 1999-01-26 2002-03-12 Dell Usa, L.P. Method and apparatus for determining continuity and integrity of a RAMBUS channel in a computer system
JP3275867B2 (ja) 1999-01-26 2002-04-22 日本電気株式会社 スキャンテスト回路及びスキャンテスト回路を含む半導体集積回路及びスキャンテスト回路を搭載した半導体集積回路試験用基板
JP3484093B2 (ja) 1999-02-01 2004-01-06 インターナショナル・ビジネス・マシーンズ・コーポレーション 連想メモリ
US6115278A (en) * 1999-02-09 2000-09-05 Silicon Graphics, Inc. Memory system with switching for data isolation
US6341315B1 (en) 1999-02-26 2002-01-22 Crossroads Systems, Inc. Streaming method and system for fiber channel network devices
US6564329B1 (en) * 1999-03-16 2003-05-13 Linkup Systems Corporation System and method for dynamic clock generation
US6460107B1 (en) * 1999-04-29 2002-10-01 Intel Corporation Integrated real-time performance monitoring facility
JP3512678B2 (ja) 1999-05-27 2004-03-31 富士通株式会社 キャッシュメモリ制御装置および計算機システム
US6393528B1 (en) 1999-06-30 2002-05-21 International Business Machines Corporation Optimized cache allocation algorithm for multiple speculative requests
US6425044B1 (en) 1999-07-13 2002-07-23 Micron Technology, Inc. Apparatus for providing fast memory decode using a bank conflict table
US6839393B1 (en) * 1999-07-14 2005-01-04 Rambus Inc. Apparatus and method for controlling a master/slave system via master device synchronization
US7017020B2 (en) * 1999-07-16 2006-03-21 Broadcom Corporation Apparatus and method for optimizing access to memory
US6792495B1 (en) 1999-07-27 2004-09-14 Intel Corporation Transaction scheduling for a bus system
US7155016B1 (en) 1999-08-20 2006-12-26 Paradyne Corporation Communication device and method for using non-self-synchronizing scrambling in a communication system
US6549971B1 (en) * 1999-08-26 2003-04-15 International Business Machines Corporation Cascaded differential receiver circuit
US6594713B1 (en) 1999-09-10 2003-07-15 Texas Instruments Incorporated Hub interface unit and application unit interfaces for expanded direct memory access processor
US6484271B1 (en) 1999-09-16 2002-11-19 Koninklijke Philips Electronics N.V. Memory redundancy techniques
US6393512B1 (en) 1999-09-27 2002-05-21 Ati International Srl Circuit and method for detecting bank conflicts in accessing adjacent banks
US6467013B1 (en) 1999-09-30 2002-10-15 Intel Corporation Memory transceiver to couple an additional memory channel to an existing memory channel
US6262493B1 (en) * 1999-10-08 2001-07-17 Sun Microsystems, Inc. Providing standby power to field replaceable units for electronic systems
US6889284B1 (en) 1999-10-19 2005-05-03 Intel Corporation Method and apparatus for supporting SDRAM memory
US6493843B1 (en) 1999-10-28 2002-12-10 Hewlett-Packard Company Chipkill for a low end server or workstation
US6584576B1 (en) 1999-11-12 2003-06-24 Kingston Technology Corporation Memory system using complementary delay elements to reduce rambus module timing skew
US6557069B1 (en) * 1999-11-12 2003-04-29 International Business Machines Corporation Processor-memory bus architecture for supporting multiple processors
US6526469B1 (en) 1999-11-12 2003-02-25 International Business Machines Corporation Bus architecture employing varying width uni-directional command bus
US6513091B1 (en) * 1999-11-12 2003-01-28 International Business Machines Corporation Data routing using status-response signals
JP2001167077A (ja) 1999-12-09 2001-06-22 Nec Kofu Ltd ネットワークシステムにおけるデータアクセス方法、ネットワークシステムおよび記録媒体
US6601149B1 (en) * 1999-12-14 2003-07-29 International Business Machines Corporation Memory transaction monitoring system and user interface
US6487627B1 (en) 1999-12-22 2002-11-26 Intel Corporation Method and apparatus to manage digital bus traffic
US6499090B1 (en) * 1999-12-28 2002-12-24 Intel Corporation Prioritized bus request scheduling mechanism for processing devices
US6307789B1 (en) * 1999-12-28 2001-10-23 Intel Corporation Scratchpad memory
US6408398B1 (en) 1999-12-29 2002-06-18 Intel Corporation Method and apparatus for detecting time domains on a communication channel
US6609171B1 (en) * 1999-12-29 2003-08-19 Intel Corporation Quad pumped bus architecture and protocol
KR100575864B1 (ko) 1999-12-30 2006-05-03 주식회사 하이닉스반도체 램버스 디램
US6910146B2 (en) 1999-12-31 2005-06-21 Intel Corporation Method and apparatus for improving timing margin in an integrated circuit as determined from recorded pass/fail indications for relative phase settings
US6502161B1 (en) 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
US7266634B2 (en) 2000-01-05 2007-09-04 Rambus Inc. Configurable width buffered module having flyby elements
US6845472B2 (en) 2000-01-25 2005-01-18 Hewlett-Packard Development Company, L.P. Memory sub-system error cleansing
US6219288B1 (en) * 2000-03-03 2001-04-17 International Business Machines Corporation Memory having user programmable AC timings
AU2001247324A1 (en) 2000-03-08 2001-09-17 Sun Microsystems, Inc. Vliw computer processing architecture with on-chip dynamic ram
JP4569913B2 (ja) 2000-03-10 2010-10-27 エルピーダメモリ株式会社 メモリモジュール
JP2001290697A (ja) 2000-04-06 2001-10-19 Hitachi Ltd 情報処理システム
US6704842B1 (en) * 2000-04-12 2004-03-09 Hewlett-Packard Development Company, L.P. Multi-processor system with proactive speculative data transfer
US7269765B1 (en) 2000-04-13 2007-09-11 Micron Technology, Inc. Method and apparatus for storing failing part locations in a module
JP2001297535A (ja) 2000-04-14 2001-10-26 Sony Corp データ記録方法、データ記録装置、データ再生方法、データ再生装置および記録媒体
US6546359B1 (en) * 2000-04-24 2003-04-08 Sun Microsystems, Inc. Method and apparatus for multiplexing hardware performance indicators
US6721944B2 (en) 2000-05-31 2004-04-13 Sun Microsystems, Inc. Marking memory elements based upon usage of accessed information during speculative execution
US7039755B1 (en) 2000-05-31 2006-05-02 Advanced Micro Devices, Inc. Method and apparatus for powering down the CPU/memory controller complex while preserving the self refresh state of memory in the system
US6461013B1 (en) 2000-06-02 2002-10-08 Richard L. Simon Door knob lighting assembly
US6748518B1 (en) 2000-06-06 2004-06-08 International Business Machines Corporation Multi-level multiprocessor speculation mechanism
US6622217B2 (en) 2000-06-10 2003-09-16 Hewlett-Packard Development Company, L.P. Cache coherence protocol engine system and method for processing memory transaction in distinct address subsets during interleaved time periods in a multiprocessor system
US6697919B2 (en) * 2000-06-10 2004-02-24 Hewlett-Packard Development Company, L.P. System and method for limited fanout daisy chaining of cache invalidation requests in a shared-memory multiprocessor system
US6415376B1 (en) 2000-06-16 2002-07-02 Conexant Sytems, Inc. Apparatus and method for issue grouping of instructions in a VLIW processor
US6791555B1 (en) 2000-06-23 2004-09-14 Micron Technology, Inc. Apparatus and method for distributed memory control in a graphics processing system
US6611905B1 (en) * 2000-06-29 2003-08-26 International Business Machines Corporation Memory interface with programable clock to output time based on wide range of receiver loads
US6587112B1 (en) * 2000-07-10 2003-07-01 Hewlett-Packard Development Company, L.P. Window copy-swap using multi-buffer hardware support
US6446174B1 (en) 2000-07-11 2002-09-03 Intel Corporation Computer system with dram bus
US6977979B1 (en) 2000-08-31 2005-12-20 Hewlett-Packard Development Company, L.P. Enhanced clock forwarding data recovery
US6738836B1 (en) * 2000-08-31 2004-05-18 Hewlett-Packard Development Company, L.P. Scalable efficient I/O port protocol
US6487102B1 (en) 2000-09-18 2002-11-26 Intel Corporation Memory module having buffer for isolating stacked memory devices
US6625687B1 (en) 2000-09-18 2003-09-23 Intel Corporation Memory module employing a junction circuit for point-to-point connection isolation, voltage translation, data synchronization, and multiplexing/demultiplexing
US6553450B1 (en) * 2000-09-18 2003-04-22 Intel Corporation Buffer to multiply memory interface
US6317352B1 (en) 2000-09-18 2001-11-13 Intel Corporation Apparatus for implementing a buffered daisy chain connection between a memory controller and memory modules
US6625685B1 (en) 2000-09-20 2003-09-23 Broadcom Corporation Memory controller with programmable configuration
US6532525B1 (en) * 2000-09-29 2003-03-11 Ati Technologies, Inc. Method and apparatus for accessing memory
US7595659B2 (en) 2000-10-09 2009-09-29 Pact Xpp Technologies Ag Logic cell array and bus system
US6636875B1 (en) 2000-10-25 2003-10-21 International Business Machines Corporation System and method for synchronizing related data elements in disparate storage systems
US6611902B2 (en) 2000-11-13 2003-08-26 Matsushita Electric Industrial Co., Ltd. Information processor and information processing method
US6285172B1 (en) 2000-11-13 2001-09-04 Texas Instruments Incorporated Digital phase-locked loop circuit with reduced phase jitter frequency
US6898726B1 (en) 2000-11-15 2005-05-24 Micron Technology, Inc. Memory system that sets a predetermined phase relationship between read and write clock signals at a bus midpoint for a plurality of spaced device locations
US6978419B1 (en) 2000-11-15 2005-12-20 Justsystem Corporation Method and apparatus for efficient identification of duplicate and near-duplicate documents and text spans using high-discriminability text fragments
US6590827B2 (en) * 2000-11-21 2003-07-08 Via Technologies, Inc. Clock device for supporting multiplicity of memory module types
US6510100B2 (en) * 2000-12-04 2003-01-21 International Business Machines Corporation Synchronous memory modules and memory systems with selectable clock termination
US6993612B2 (en) 2000-12-07 2006-01-31 Micron Technology, Inc. Arbitration method for a source strobed bus
US6834355B2 (en) 2000-12-15 2004-12-21 Intel Corporation Circuit in which the time delay of an input clock signal is dependent only on its logic phase width and a ratio of capacitances
US6751684B2 (en) * 2000-12-21 2004-06-15 Jonathan M. Owen System and method of allocating bandwidth to a plurality of devices interconnected by a plurality of point-to-point communication links
US6934785B2 (en) * 2000-12-22 2005-08-23 Micron Technology, Inc. High speed interface with looped bus
US6622227B2 (en) 2000-12-27 2003-09-16 Intel Corporation Method and apparatus for utilizing write buffers in memory control/interface
US6493250B2 (en) 2000-12-28 2002-12-10 Intel Corporation Multi-tier point-to-point buffered memory interface
TW527537B (en) * 2001-01-03 2003-04-11 Leadtek Research Inc Conversion device of SDR and DDR, and interface card, motherboard and memory module interface using the same
US6832329B2 (en) 2001-02-08 2004-12-14 International Business Machines Corporation Cache thresholding method, apparatus, and program for predictive reporting of array bit line or driver failures
US6445624B1 (en) 2001-02-23 2002-09-03 Micron Technology, Inc. Method of synchronizing read timing in a high speed memory system
JP3888070B2 (ja) 2001-02-23 2007-02-28 株式会社ルネサステクノロジ 消費電力制御インタフェースを有する論理回路モジュール及び該モジュールを記憶した記憶媒体
US20020124201A1 (en) 2001-03-01 2002-09-05 International Business Machines Corporation Method and system for log repair action handling on a logically partitioned multiprocessing system
US6754762B1 (en) * 2001-03-05 2004-06-22 Honeywell International Inc. Redundant bus switching
US6874102B2 (en) 2001-03-05 2005-03-29 Stratus Technologies Bermuda Ltd. Coordinated recalibration of high bandwidth memories in a multiprocessor computer
US6882082B2 (en) * 2001-03-13 2005-04-19 Micron Technology, Inc. Memory repeater
US6658523B2 (en) 2001-03-13 2003-12-02 Micron Technology, Inc. System latency levelization for read data
US6678811B2 (en) * 2001-04-07 2004-01-13 Hewlett-Packard Development Company, L.P. Memory controller with 1X/MX write capability
US6625702B2 (en) 2001-04-07 2003-09-23 Hewlett-Packard Development Company, L.P. Memory controller with support for memory modules comprised of non-homogeneous data width RAM devices
US6721185B2 (en) 2001-05-01 2004-04-13 Sun Microsystems, Inc. Memory module having balanced data I/O contacts pads
US6779075B2 (en) 2001-05-15 2004-08-17 Leadtek Research Inc. DDR and QDR converter and interface card, motherboard and memory module interface using the same
US6766389B2 (en) 2001-05-18 2004-07-20 Broadcom Corporation System on a chip for networking
EP1402388A4 (en) 2001-06-04 2005-03-16 Nct Group Inc SYSTEM AND METHOD FOR MODIFYING A DATA STREAM USING ELEMENT ANALYSIS
US20030090879A1 (en) * 2001-06-14 2003-05-15 Doblar Drew G. Dual inline memory module
US6760817B2 (en) 2001-06-21 2004-07-06 International Business Machines Corporation Method and system for prefetching utilizing memory initiated prefetch write operations
US7245632B2 (en) * 2001-08-10 2007-07-17 Sun Microsystems, Inc. External storage for modular computer systems
US6681292B2 (en) 2001-08-27 2004-01-20 Intel Corporation Distributed read and write caching implementation for optimized input/output applications
US20040098549A1 (en) 2001-10-04 2004-05-20 Dorst Jeffrey R. Apparatus and methods for programmable interfaces in memory controllers
US6965952B2 (en) * 2001-10-15 2005-11-15 Intel Corporation Bus framer
US6901491B2 (en) * 2001-10-22 2005-05-31 Sun Microsystems, Inc. Method and apparatus for integration of communication links with a remote direct memory access protocol
US6594748B1 (en) 2001-11-09 2003-07-15 Lsi Logic Corporation Methods and structure for pipelined read return control in a shared RAM controller
DE10155449A1 (de) 2001-11-12 2003-05-28 Infineon Technologies Ag Verfahren zur Rekonfiguration eines Speichers
US6675280B2 (en) * 2001-11-30 2004-01-06 Intel Corporation Method and apparatus for identifying candidate virtual addresses in a content-aware prefetcher
JP4063533B2 (ja) 2001-12-10 2008-03-19 日本碍子株式会社 フレキシブル配線板
US6792516B2 (en) 2001-12-28 2004-09-14 Intel Corporation Memory arbiter with intelligent page gathering logic
US6925534B2 (en) 2001-12-31 2005-08-02 Intel Corporation Distributed memory module cache prefetch
US6865646B2 (en) 2001-12-31 2005-03-08 Intel Corporation Segmented distributed memory module cache
US7389387B2 (en) 2001-12-31 2008-06-17 Intel Corporation Distributed memory module cache writeback
US6799241B2 (en) 2002-01-03 2004-09-28 Intel Corporation Method for dynamically adjusting a memory page closing policy
US6775747B2 (en) * 2002-01-03 2004-08-10 Intel Corporation System and method for performing page table walks on speculative software prefetch operations
KR100454126B1 (ko) 2002-01-15 2004-10-26 삼성전자주식회사 분리된 클록 라인을 구비한 정보 처리 시스템
US7227949B2 (en) 2002-01-31 2007-06-05 Texas Instruments Incorporated Separate self-synchronizing packet-based scrambler having replay variation
JP3925234B2 (ja) * 2002-02-18 2007-06-06 ソニー株式会社 データ通信システム、データ通信管理装置、および方法、並びにコンピュータ・プログラム
GB2386441B (en) 2002-03-12 2006-09-27 Advanced Risc Mach Ltd Bus interface selection by page table attributes
US7000077B2 (en) * 2002-03-14 2006-02-14 Intel Corporation Device/host coordinated prefetching storage system
US6918068B2 (en) 2002-04-08 2005-07-12 Harris Corporation Fault-tolerant communications system and associated methods
DE10215362A1 (de) 2002-04-08 2003-10-30 Infineon Technologies Ag Integrierter Speicher mit einem Speicherzellenfeld mit mehreren Speicherbänken und Schaltungsanordnung mit einem integrierten Speicher
US6948091B2 (en) 2002-05-02 2005-09-20 Honeywell International Inc. High integrity recovery from multi-bit data failures
KR100945766B1 (ko) * 2002-05-10 2010-03-08 인터디지탈 테크날러지 코포레이션 무선 링크 제어 재전송을 지원하기 위해 프로토콜 데이터 단위의 재전송의 순위 지정을 하는 시스템 및 방법
US7376146B2 (en) * 2002-05-16 2008-05-20 Intel Corporation Bus conversion device, system and method
US6807650B2 (en) 2002-06-03 2004-10-19 International Business Machines Corporation DDR-II driver impedance adjustment control algorithm and interface circuits
US7133972B2 (en) 2002-06-07 2006-11-07 Micron Technology, Inc. Memory hub with internal cache and/or memory access prediction
US7203318B2 (en) 2002-06-17 2007-04-10 M/A-Com Private Radio Systems, Inc. Secure transmission system for a digital trunked radio system
TW559694B (en) 2002-06-21 2003-11-01 Via Tech Inc Method and system of calibrating the control delay time
US7212548B2 (en) * 2002-06-21 2007-05-01 Adtran, Inc. Multiple T1 channel inverse multiplexing method and apparatus
US7300545B2 (en) 2002-06-21 2007-11-27 Hymo Corporation Water-soluble polymer dispersion, process for producing the same and method of use therefor
US6832286B2 (en) 2002-06-25 2004-12-14 Hewlett-Packard Development Company, L.P. Memory auto-precharge
US7047384B2 (en) 2002-06-27 2006-05-16 Intel Corporation Method and apparatus for dynamic timing of memory interface signals
US6996766B2 (en) 2002-06-28 2006-02-07 Sun Microsystems, Inc. Error detection/correction code which detects and corrects a first failing component and optionally a second failing component
US6741096B2 (en) 2002-07-02 2004-05-25 Lsi Logic Corporation Structure and methods for measurement of arbitration performance
US6854043B2 (en) * 2002-07-05 2005-02-08 Hewlett-Packard Development Company, L.P. System and method for multi-modal memory controller system operation
JP4159415B2 (ja) 2002-08-23 2008-10-01 エルピーダメモリ株式会社 メモリモジュール及びメモリシステム
AU2003255246A1 (en) * 2002-08-16 2004-03-03 Thomson Licensing S.A. Download optimization in the presence of multicast data
US6820181B2 (en) * 2002-08-29 2004-11-16 Micron Technology, Inc. Method and system for controlling memory accesses to memory modules having a memory hub architecture
JP2004093462A (ja) 2002-09-02 2004-03-25 Oki Electric Ind Co Ltd 半導体集積回路とその試験方法
KR20040021485A (ko) 2002-09-04 2004-03-10 삼성전자주식회사 메모리 접근시간을 줄이기 위하여 페이지 모드를 선택할수 있는 메모리 제어장치 및 메모리 접근 제어방법
US20040054864A1 (en) 2002-09-13 2004-03-18 Jameson Neil Andrew Memory controller
US20040078615A1 (en) 2002-10-17 2004-04-22 Intel Corporation (A Delaware Corporation) Multi-module system, distribution circuit and their methods of operation
DE10248672B4 (de) * 2002-10-18 2016-02-11 Robert Bosch Gmbh Verfahren zur Übertragung von Daten auf einem Bus
US7313583B2 (en) 2002-10-22 2007-12-25 Broadcom Corporation Galois field arithmetic unit for use within a processor
US6963516B2 (en) 2002-11-27 2005-11-08 International Business Machines Corporation Dynamic optimization of latency and bandwidth on DRAM interfaces
US6996639B2 (en) 2002-12-10 2006-02-07 Intel Corporation Configurably prefetching head-of-queue from ring buffers
US7093076B2 (en) 2002-12-12 2006-08-15 Samsung Electronics, Co., Ltd. Memory system having two-way ring topology and memory device and memory module for ring-topology memory system
US20040117588A1 (en) 2002-12-12 2004-06-17 International Business Machines Corporation Access request for a data processing system having no system memory
US6978416B2 (en) 2002-12-19 2005-12-20 International Business Machines Corporation Error correction with low latency for bus structures
US6944084B2 (en) 2002-12-31 2005-09-13 Intel Corporation Memory system that measures power consumption
US6879468B2 (en) 2003-01-08 2005-04-12 Shin-Etsu Chemical Co., Ltd. Magnetic head actuator having an improved microactuator oscillatably supported by metallic micro-beams
US7308524B2 (en) 2003-01-13 2007-12-11 Silicon Pipe, Inc Memory chain
US7047370B1 (en) 2003-01-14 2006-05-16 Cisco Technology, Inc. Full access to memory interfaces via remote request
US7096407B2 (en) 2003-02-18 2006-08-22 Hewlett-Packard Development Company, L.P. Technique for implementing chipkill in a memory system
US7216276B1 (en) * 2003-02-27 2007-05-08 Marvell International Ltd. Apparatus and method for testing and debugging an integrated circuit
US6922658B2 (en) 2003-03-31 2005-07-26 International Business Machines Corporation Method and system for testing the validity of shared data in a multiprocessing system
US7234099B2 (en) 2003-04-14 2007-06-19 International Business Machines Corporation High reliability memory module with a fault tolerant address and command bus
US7086949B2 (en) 2003-05-08 2006-08-08 Logitech Europe S.A. Convertible single-turn to multi-turn gaming steering wheel utilizing sliding stops
WO2004102403A2 (en) 2003-05-13 2004-11-25 Advanced Micro Devices, Inc. A system including a host connected to a plurality of memory modules via a serial memory interconnect
US7320100B2 (en) 2003-05-20 2008-01-15 Cray Inc. Apparatus and method for memory with bit swapping on the fly and testing
TW200427224A (en) 2003-05-21 2004-12-01 Myson Century Inc Clock multiplier
US7127629B2 (en) 2003-06-03 2006-10-24 Intel Corporation Redriving a data signal responsive to either a sampling clock signal or stable clock signal dependent on a mode signal
US7165153B2 (en) 2003-06-04 2007-01-16 Intel Corporation Memory channel with unidirectional links
US7428644B2 (en) 2003-06-20 2008-09-23 Micron Technology, Inc. System and method for selective memory module power management
US7260685B2 (en) 2003-06-20 2007-08-21 Micron Technology, Inc. Memory hub and access method having internal prefetch buffers
DE10330812B4 (de) 2003-07-08 2006-07-06 Infineon Technologies Ag Halbleiterspeichermodul
US20050022091A1 (en) 2003-07-21 2005-01-27 Holman Thomas J. Method, system, and apparatus for adjacent-symbol error correction and detection code
CN1272712C (zh) * 2003-07-23 2006-08-30 威盛电子股份有限公司 内存写入延迟时间的决定方法及其装置
KR100500454B1 (ko) 2003-07-28 2005-07-12 삼성전자주식회사 메모리 모듈 테스트 시스템 및 메모리 모듈 평가 시스템
US7844801B2 (en) 2003-07-31 2010-11-30 Intel Corporation Method and apparatus for affinity-guided speculative helper threads in chip multiprocessors
DE10335978B4 (de) 2003-08-06 2006-02-16 Infineon Technologies Ag Hub-Baustein zum Anschließen von einem oder mehreren Speicherbausteinen
US7210059B2 (en) 2003-08-19 2007-04-24 Micron Technology, Inc. System and method for on-board diagnostics of memory modules
US20050050237A1 (en) 2003-08-28 2005-03-03 Jeddeloh Joseph M. Memory module and method having on-board data search capabilities and processor-based system using such memory modules
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7194593B2 (en) 2003-09-18 2007-03-20 Micron Technology, Inc. Memory hub with integrated non-volatile memory
US20050080581A1 (en) 2003-09-22 2005-04-14 David Zimmerman Built-in self test for memory interconnect testing
US7197594B2 (en) 2003-09-23 2007-03-27 Infineon Technologies Flash Gmbh & Co. Kg Circuit, system and method for encoding data to be stored on a non-volatile memory array
US7124329B2 (en) 2003-09-26 2006-10-17 International Business Machines Corporation Implementing memory failure analysis in a data processing system
US7334159B1 (en) 2003-09-29 2008-02-19 Rockwell Automation Technologies, Inc. Self-testing RAM system and method
US7386765B2 (en) 2003-09-29 2008-06-10 Intel Corporation Memory device having error checking and correction
US20050071707A1 (en) 2003-09-30 2005-03-31 Hampel Craig E. Integrated circuit with bi-modal data strobe
US7139965B2 (en) 2003-10-08 2006-11-21 Hewlett-Packard Development Company, L.P. Bus device that concurrently synchronizes source synchronous data while performing error detection and correction
US7433258B2 (en) 2003-10-10 2008-10-07 Datasecure Llc. Posted precharge and multiple open-page RAM architecture
US7779212B2 (en) * 2003-10-17 2010-08-17 Micron Technology, Inc. Method and apparatus for sending data from multiple sources over a communications bus
US20050086424A1 (en) 2003-10-21 2005-04-21 Infineon Technologies North America Corp. Well-matched echo clock in memory system
US7234070B2 (en) 2003-10-27 2007-06-19 Micron Technology, Inc. System and method for using a learning sequence to establish communications on a high-speed nonsynchronous interface in the absence of clock forwarding
US7113418B2 (en) 2003-11-04 2006-09-26 Hewlett-Packard Development Company, L.P. Memory systems and methods
US7177211B2 (en) 2003-11-13 2007-02-13 Intel Corporation Memory channel test fixture and method
US7447953B2 (en) * 2003-11-14 2008-11-04 Intel Corporation Lane testing with variable mapping
US7206962B2 (en) 2003-11-25 2007-04-17 International Business Machines Corporation High reliability memory subsystem using data error correcting code symbol sliced command repowering
US7073010B2 (en) * 2003-12-02 2006-07-04 Super Talent Electronics, Inc. USB smart switch with packet re-ordering for interleaving among multiple flash-memory endpoints aggregated as a single virtual USB endpoint
US7155623B2 (en) 2003-12-03 2006-12-26 International Business Machines Corporation Method and system for power management including local bounding of device group power consumption
US7752470B2 (en) 2003-12-03 2010-07-06 International Business Machines Corporation Method and system for power management including device controller-based device use evaluation and power-state control
US7171591B2 (en) 2003-12-23 2007-01-30 International Business Machines Corporation Method and apparatus for encoding special uncorrectable errors in an error correction code
TWI237767B (en) 2003-12-23 2005-08-11 High Tech Comp Corp Serial/parallel data transformer module and related computer systems
US20050138267A1 (en) 2003-12-23 2005-06-23 Bains Kuljit S. Integral memory buffer and serial presence detect capability for fully-buffered memory modules
JP4085389B2 (ja) 2003-12-24 2008-05-14 日本電気株式会社 マルチプロセッサシステム、マルチプロセッサシステムにおける一貫性制御装置及び一貫性制御方法
US7216196B2 (en) * 2003-12-29 2007-05-08 Micron Technology, Inc. Memory hub and method for memory system performance monitoring
US7197670B2 (en) 2003-12-31 2007-03-27 Intel Corporation Methods and apparatuses for reducing infant mortality in semiconductor devices utilizing static random access memory (SRAM)
US7321979B2 (en) * 2004-01-22 2008-01-22 International Business Machines Corporation Method and apparatus to change the operating frequency of system core logic to maximize system memory bandwidth
US7181584B2 (en) 2004-02-05 2007-02-20 Micron Technology, Inc. Dynamic command and/or address mirroring system and method for memory modules
US7412574B2 (en) * 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7363436B1 (en) 2004-02-26 2008-04-22 Integrated Device Technology, Inc. Collision detection in a multi-port memory system
US7114109B2 (en) 2004-03-11 2006-09-26 International Business Machines Corporation Method and apparatus for customizing and monitoring multiple interfaces and implementing enhanced fault tolerance and isolation features
US7257683B2 (en) * 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7120723B2 (en) 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US7200832B2 (en) 2004-03-26 2007-04-03 Lsi Logic Corp Macro cell for integrated circuit physical layer interface
US7213082B2 (en) 2004-03-29 2007-05-01 Micron Technology, Inc. Memory hub and method for providing memory sequencing hints
US20050220232A1 (en) * 2004-03-31 2005-10-06 Nokia Corporation Circuit arrangement and a method to transfer data on a 3-level pulse amplitude modulation (PAM-3) channel
US9047094B2 (en) 2004-03-31 2015-06-02 Icera Inc. Apparatus and method for separate asymmetric control processing and data path processing in a dual path processor
US7724750B2 (en) * 2004-04-01 2010-05-25 Nokia Corporation Expedited data transmission in packet based network
US20050235072A1 (en) * 2004-04-17 2005-10-20 Smith Wilfred A Data storage controller
US7027336B2 (en) 2004-05-10 2006-04-11 Hynix Semiconductor Inc. Semiconductor memory device for controlling output timing of data depending on frequency variation
US7162567B2 (en) 2004-05-14 2007-01-09 Micron Technology, Inc. Memory hub and method for memory sequencing
US7222213B2 (en) 2004-05-17 2007-05-22 Micron Technology, Inc. System and method for communicating the synchronization status of memory modules during initialization of the memory modules
US7304905B2 (en) 2004-05-24 2007-12-04 Intel Corporation Throttling memory in response to an internal temperature of a memory device
US7363419B2 (en) 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
US20060010339A1 (en) 2004-06-24 2006-01-12 Klein Dean A Memory system and method having selective ECC during low power refresh
US7500123B2 (en) 2004-06-28 2009-03-03 Ati Technologies Ulc Apparatus and method for reducing power consumption in a graphics processing device
US7318130B2 (en) 2004-06-29 2008-01-08 Intel Corporation System and method for thermal throttling of memory modules
US20060004953A1 (en) * 2004-06-30 2006-01-05 Vogt Pete D Method and apparatus for increased memory bandwidth
US7224595B2 (en) 2004-07-30 2007-05-29 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US7539800B2 (en) 2004-07-30 2009-05-26 International Business Machines Corporation System, method and storage medium for providing segment level sparing
US7296129B2 (en) 2004-07-30 2007-11-13 International Business Machines Corporation System, method and storage medium for providing a serialized memory interface with a bus repeater
US20060036826A1 (en) 2004-07-30 2006-02-16 International Business Machines Corporation System, method and storage medium for providing a bus speed multiplier
US7091890B1 (en) 2004-08-17 2006-08-15 Xilinx, Inc. Multi-purpose source synchronous interface circuitry
US7404118B1 (en) 2004-09-02 2008-07-22 Sun Microsystems, Inc. Memory error analysis for determining potentially faulty memory components
US7260661B2 (en) * 2004-09-03 2007-08-21 Intel Corporation Processing replies to request packets in an advanced switching context
US8621304B2 (en) * 2004-10-07 2013-12-31 Hewlett-Packard Development Company, L.P. Built-in self-test system and method for an integrated circuit
US7360027B2 (en) * 2004-10-15 2008-04-15 Intel Corporation Method and apparatus for initiating CPU data prefetches by an external agent
US20060095679A1 (en) * 2004-10-28 2006-05-04 Edirisooriya Samantha J Method and apparatus for pushing data into a processor cache
US7334070B2 (en) 2004-10-29 2008-02-19 International Business Machines Corporation Multi-channel memory architecture for daisy chained arrangements of nodes with bridging between memory channels
US7331010B2 (en) 2004-10-29 2008-02-12 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US20060112238A1 (en) 2004-11-23 2006-05-25 Sujat Jamil Techniques for pushing data to a processor cache
US7404133B2 (en) 2004-12-12 2008-07-22 Hewlett-Packard Development Company, L.P. Error detection and correction employing modulation symbols satisfying predetermined criteria
US20060161733A1 (en) * 2005-01-19 2006-07-20 Emulex Design & Manufacturing Corporation Host buffer queues
US20060168407A1 (en) 2005-01-26 2006-07-27 Micron Technology, Inc. Memory hub system and method having large virtual page size
US20060195631A1 (en) 2005-01-31 2006-08-31 Ramasubramanian Rajamani Memory buffers for merging local data from memory modules
JP4516458B2 (ja) * 2005-03-18 2010-08-04 株式会社日立製作所 フェイルオーバークラスタシステム及びフェイルオーバー方法
US7481526B2 (en) 2005-03-31 2009-01-27 Fujifilm Corporation Image forming apparatus
US7861055B2 (en) 2005-06-07 2010-12-28 Broadcom Corporation Method and system for on-chip configurable data ram for fast memory and pseudo associative caches
US20070016698A1 (en) * 2005-06-22 2007-01-18 Vogt Pete D Memory channel response scheduling
US20070005922A1 (en) * 2005-06-30 2007-01-04 Swaminathan Muthukumar P Fully buffered DIMM variable read latency
US20070025304A1 (en) * 2005-07-26 2007-02-01 Rangsan Leelahakriengkrai System and method for prioritizing transmission legs for precaching data
US7328381B2 (en) * 2005-08-01 2008-02-05 Micron Technology, Inc. Testing system and method for memory modules having a memory hub architecture
US7319340B2 (en) 2005-08-01 2008-01-15 Micron Technology, Inc. Integrated circuit load board and method having on-board test circuit
US7865570B2 (en) 2005-08-30 2011-01-04 Illinois Institute Of Technology Memory server
US7430145B2 (en) 2005-09-16 2008-09-30 Hewlett-Packard Development Company, L.P. System and method for avoiding attempts to access a defective portion of memory
US20070165457A1 (en) 2005-09-30 2007-07-19 Jin-Ki Kim Nonvolatile memory system
US7496777B2 (en) 2005-10-12 2009-02-24 Sun Microsystems, Inc. Power throttling in a memory system
US7685392B2 (en) 2005-11-28 2010-03-23 International Business Machines Corporation Providing indeterminate read data latency in a memory system
US7386771B2 (en) 2006-01-06 2008-06-10 International Business Machines Corporation Repair of memory hard failures during normal operation, using ECC and a hard fail identifier circuit
US7353316B2 (en) 2006-03-24 2008-04-01 Micron Technology, Inc. System and method for re-routing signals between memory system components
US7636813B2 (en) 2006-05-22 2009-12-22 International Business Machines Corporation Systems and methods for providing remote pre-fetch buffers
JP5388406B2 (ja) 2006-06-20 2014-01-15 キヤノン株式会社 メモリシステム
US20080010566A1 (en) 2006-06-21 2008-01-10 Chang Tsung-Yung Jonathan Disabling portions of memory with non-deterministic errors
US20080162807A1 (en) 2006-12-29 2008-07-03 Rothman Michael A Method and apparatus for redundant memory arrays
US7877666B2 (en) 2006-12-30 2011-01-25 Intel Corporation Tracking health of integrated circuit structures
US8041989B2 (en) 2007-06-28 2011-10-18 International Business Machines Corporation System and method for providing a high fault tolerant memory system
US20090003335A1 (en) * 2007-06-29 2009-01-01 International Business Machines Corporation Device, System and Method of Fragmentation of PCI Express Packets

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6507592B1 (en) * 1999-07-08 2003-01-14 Cisco Cable Products And Solutions A/S (Av) Apparatus and a method for two-way data communication
TW573414B (en) * 2000-10-25 2004-01-21 Qualcomm Inc Method and apparatus for high rate packet data and low delay data transmissions
US20030118044A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Queue scheduling mechanism in a data packet transmission system
US20050086441A1 (en) * 2003-10-20 2005-04-21 Meyer James W. Arbitration system and method for memory responses in a hub-based memory system

Also Published As

Publication number Publication date
US7685392B2 (en) 2010-03-23
US8145868B2 (en) 2012-03-27
US20120151171A1 (en) 2012-06-14
CN101300556A (zh) 2008-11-05
US8151042B2 (en) 2012-04-03
WO2007060250A1 (en) 2007-05-31
US20070286078A1 (en) 2007-12-13
JP5186382B2 (ja) 2013-04-17
US20070160053A1 (en) 2007-07-12
EP1958073A1 (en) 2008-08-20
CN101300556B (zh) 2010-05-19
US20070286199A1 (en) 2007-12-13
US20120151172A1 (en) 2012-06-14
US8327105B2 (en) 2012-12-04
TW200739353A (en) 2007-10-16
US20070183331A1 (en) 2007-08-09
JP2009517725A (ja) 2009-04-30
US8495328B2 (en) 2013-07-23

Similar Documents

Publication Publication Date Title
TWI399649B (zh) 用於提供不確定讀取資料等待時間之方法、集線器裝置、記憶體控制器及記憶體系統
TWI351615B (en) Apparatus,method,and system for controller link fo
EP2472403B1 (en) Memory arbitration system and method having an arbitration packet protocol
US7716430B2 (en) Separate handling of read and write of read-modify-write
US8364863B2 (en) Method and apparatus for universal serial bus (USB) command queuing
US20110246677A1 (en) Systems and methods for controlling commands for target devices
US6917992B2 (en) Method and apparatus for efficient command queuing within a serial ATA environment
US7404016B2 (en) Enhanced power reduction capabilities for streaming direct memory access engine
CN111448543B (zh) 内存访问技术及计算机系统
JP2010033125A (ja) ストレージ装置及びデータ転送方法
KR101134069B1 (ko) 멀티 레벨 raid 구조를 위한 하이브리드 저장 시스템
US8924610B1 (en) SAS/SATA store-and-forward buffering for serial-attached-SCSI (SAS) storage network
JPH05502314A (ja) データ転送方法
US8266331B2 (en) Transmitting retry request associated with non-posted command via response credit channel
TW202230112A (zh) 用於致能非同步獨立平面讀取(aipr)驅動器的基於平面的佇列配置
US20050089033A1 (en) Method, system, and program for constructing a packet
US20080183921A1 (en) Serial advanced technology attachment (SATA) frame information structure (FIS) processing
US6751686B2 (en) Automated transfer of a data unit comprising a plurality of fundamental data units between a host device and a storage medium
US20110116511A1 (en) Directly Providing Data Messages To A Protocol Layer
US20080104286A1 (en) Data transfer apparatus and data transfer method
KR20140006205A (ko) 씨리얼 ata 프로토콜을 이용하는 반도체 장치 및 이를 포함하는 시스템
US20070174738A1 (en) Disk device, method of writing data in disk device, and computer product
US20040111537A1 (en) Method, system, and program for processing operations
US20030172207A1 (en) Automated multiple data unit transfers between a host device and a storage medium
JP2006301810A (ja) データ転送処理方法、データ転送処理装置およびデータ転送処理制御プログラム

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees